mirror of
https://github.com/Polprzewodnikowy/SummerCart64.git
synced 2024-11-22 14:09:16 +01:00
cleanup123
This commit is contained in:
parent
1722b3cd3f
commit
43bf7ecbd1
@ -19,7 +19,7 @@
|
||||
#
|
||||
# Quartus Prime
|
||||
# Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition
|
||||
# Date created = 23:35:14 August 23, 2021
|
||||
# Date created = 00:43:07 August 26, 2021
|
||||
#
|
||||
# -------------------------------------------------------------------------- #
|
||||
#
|
||||
@ -79,6 +79,7 @@ set_global_assignment -name SYSTEMVERILOG_FILE rtl/system/config.sv
|
||||
set_global_assignment -name SYSTEMVERILOG_FILE rtl/system/sc64.sv
|
||||
set_global_assignment -name SYSTEMVERILOG_FILE rtl/system/system.sv
|
||||
set_global_assignment -name SYSTEMVERILOG_FILE rtl/usb/usb_ft1248.sv
|
||||
set_global_assignment -name SIGNALTAP_FILE output_files/signaltap.stp
|
||||
|
||||
# Pin & Location Assignments
|
||||
# ==========================
|
||||
@ -297,6 +298,7 @@ set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to i_usb_miso
|
||||
set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
|
||||
set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
|
||||
set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
|
||||
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
|
||||
|
||||
# end DESIGN_PARTITION(Top)
|
||||
# -------------------------
|
||||
@ -321,5 +323,3 @@ set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
|
||||
|
||||
# end ENTITY(intel_gpio_ddro)
|
||||
# ---------------------------
|
||||
set_global_assignment -name SIGNALTAP_FILE output_files/signaltap.stp
|
||||
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
|
@ -48,12 +48,6 @@ module SummerCart64 (
|
||||
logic [7:0] gpio_i;
|
||||
logic [7:0] gpio_oe;
|
||||
|
||||
always_comb begin
|
||||
o_led = gpio_oe[0] ? gpio_o[0] : 1'bZ;
|
||||
o_n64_irq = gpio_oe[1] ? gpio_o[1] : 1'bZ;
|
||||
gpio_i = {4'b0000, i_n64_nmi, i_n64_reset, o_n64_irq, o_led};
|
||||
end
|
||||
|
||||
if_system sys (
|
||||
.in_clk(i_clk),
|
||||
.n64_reset(i_n64_reset),
|
||||
@ -125,4 +119,13 @@ module SummerCart64 (
|
||||
.sd_dat(io_sd_dat)
|
||||
);
|
||||
|
||||
always_comb begin
|
||||
o_led = gpio_oe[0] ? gpio_o[0] : 1'bZ;
|
||||
o_n64_irq = gpio_oe[1] ? gpio_o[1] : 1'bZ;
|
||||
end
|
||||
|
||||
always_ff @(posedge sys.clk) begin
|
||||
gpio_i <= {4'b0000, i_n64_nmi, i_n64_reset, o_n64_irq, o_led};
|
||||
end
|
||||
|
||||
endmodule
|
||||
|
@ -113,6 +113,7 @@ module cpu_dma (
|
||||
0: bus.rdata = {28'd0, state != S_IDLE, direction, 2'b00};
|
||||
1: bus.rdata = dma.address;
|
||||
2: bus.rdata = {2'b00, dma.id, length};
|
||||
default: bus.rdata = 32'd0;
|
||||
endcase
|
||||
end
|
||||
end
|
||||
|
@ -203,7 +203,7 @@ module memory_sdram (
|
||||
|
||||
S_BUSY: begin
|
||||
if (ack) begin
|
||||
next_state <= S_ACTIVE;
|
||||
next_state = S_ACTIVE;
|
||||
end
|
||||
end
|
||||
|
||||
|
@ -26,6 +26,7 @@ module n64_cfg (
|
||||
9: bus.rdata = cfg.response[15:0];
|
||||
10: bus.rdata = cfg.arg[0][31:16];
|
||||
11: bus.rdata = cfg.arg[0][15:0];
|
||||
default: bus.rdata = 16'd0;
|
||||
endcase
|
||||
end
|
||||
end
|
||||
|
@ -65,8 +65,8 @@ module system (if_system.internal sys);
|
||||
|
||||
always_comb begin
|
||||
sys.reset = ~locked | external_reset;
|
||||
sys.n64_hard_reset <= ~n64_reset_ff[1];
|
||||
sys.n64_soft_reset <= ~n64_nmi_ff[1];
|
||||
sys.n64_hard_reset = ~n64_reset_ff[1];
|
||||
sys.n64_soft_reset = ~n64_nmi_ff[1];
|
||||
end
|
||||
|
||||
endmodule
|
||||
|
Loading…
Reference in New Issue
Block a user