From 97b729100101d0a47970d85eedc4c73a151fd274 Mon Sep 17 00:00:00 2001 From: Polprzewodnikowy Date: Sat, 21 Aug 2021 23:51:54 +0200 Subject: [PATCH] pre usb disaster --- fw/SummerCart64.qsf | 5 +- fw/SummerCart64.sdc | 23 +- fw/rtl/intel/fifo/intel_fifo_8.qip | 4 + fw/rtl/intel/fifo/intel_fifo_8.v | 163 +++++++ fw/rtl/memory/memory_flash.sv | 2 +- fw/rtl/n64/n64_sdram.sv | 49 +- fw/rtl/usb/usb_ft1248.sv | 14 +- fw/stp.stp | 608 +++++++++++++----------- fw/{rtl/intel/fifo => unused}/fifo8.qip | 0 fw/{rtl/intel/fifo => unused}/fifo8.v | 0 10 files changed, 549 insertions(+), 319 deletions(-) create mode 100644 fw/rtl/intel/fifo/intel_fifo_8.qip create mode 100644 fw/rtl/intel/fifo/intel_fifo_8.v rename fw/{rtl/intel/fifo => unused}/fifo8.qip (100%) rename fw/{rtl/intel/fifo => unused}/fifo8.v (100%) diff --git a/fw/SummerCart64.qsf b/fw/SummerCart64.qsf index e80f964..630c80f 100644 --- a/fw/SummerCart64.qsf +++ b/fw/SummerCart64.qsf @@ -48,7 +48,8 @@ set_global_assignment -name NUM_PARALLEL_PROCESSORS ALL set_global_assignment -name FLOW_ENABLE_POWER_ANALYZER ON set_global_assignment -name QSYS_FILE rtl/intel/flash/intel_flash.qsys set_global_assignment -name QSYS_FILE rtl/intel/snp/intel_snp.qsys -set_global_assignment -name QIP_FILE rtl/intel/fifo/fifo8.qip +# set_global_assignment -name QIP_FILE rtl/intel/fifo/fifo8.qip +set_global_assignment -name QIP_FILE rtl/intel/fifo/intel_fifo_8.qip set_global_assignment -name QIP_FILE rtl/intel/pll/intel_pll.qip set_global_assignment -name SDC_FILE SummerCart64.sdc set_global_assignment -name SIGNALTAP_FILE stp.stp @@ -301,4 +302,4 @@ set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top # ------------------------ set_global_assignment -name QIP_FILE rtl/intel/gpio/intel_gpio_ddro.qip set_global_assignment -name SLD_FILE db/stp_auto_stripped.stp -set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top diff --git a/fw/SummerCart64.sdc b/fw/SummerCart64.sdc index a118471..15d2550 100644 --- a/fw/SummerCart64.sdc +++ b/fw/SummerCart64.sdc @@ -2,11 +2,11 @@ derive_pll_clocks -create_base_clocks -# set sys_clk {sys_pll|altpll_component|auto_generated|pll1|clk[0]} -# set sdram_pll_clk {sys_pll|altpll_component|auto_generated|pll1|clk[1]} +set sys_clk {system_inst|intel_pll_inst|altpll_component|auto_generated|pll1|clk[0]} +set sdram_pll_clk {system_inst|intel_pll_inst|altpll_component|auto_generated|pll1|clk[1]} # set sd_reg_clk {sd_interface_inst|sd_clk_inst|o_sd_clk|q} -create_generated_clock -name sdram_clk -source [get_pins {system_inst|intel_pll_inst|altpll_component|auto_generated|pll1|clk[1]}] [get_ports {o_sdram_clk}] +create_generated_clock -name sdram_clk -source [get_pins $sdram_pll_clk] [get_ports {o_sdram_clk}] # create_generated_clock -name sd_reg_clk -source [get_pins {sd_interface_inst|sd_clk_inst|o_sd_clk|clk}] -divide_by 2 [get_pins $sd_reg_clk] # create_generated_clock -name sd_clk -source [get_pins $sd_reg_clk] [get_ports {o_sd_clk}] @@ -18,7 +18,7 @@ create_generated_clock -name flash_se_neg_reg \ derive_clock_uncertainty -# # SDRAM timings +# SDRAM timings set sdram_outputs {o_sdram_cs o_sdram_ras o_sdram_cas o_sdram_we o_sdram_a[*] o_sdram_ba[*] io_sdram_dq[*]} set sdram_inputs {io_sdram_dq[*]} @@ -29,16 +29,10 @@ set_output_delay -clock [get_clocks {sdram_clk}] -min -0.8 [get_ports $sdram_out set_input_delay -clock [get_clocks {sdram_clk}] -max 5.4 [get_ports $sdram_inputs] set_input_delay -clock [get_clocks {sdram_clk}] -min 2.5 [get_ports $sdram_inputs] -set_multicycle_path -setup -end 2 -from [get_clocks {sdram_clk}] -to [get_clocks {system_inst|intel_pll_inst|altpll_component|auto_generated|pll1|clk[0]}] +set_multicycle_path -setup -end 2 -from [get_clocks {sdram_clk}] -to [get_clocks $sys_clk] -# # FTDI timings - -# set_false_path -to [get_ports {o_ftdi_clk o_ftdi_si}] -# set_false_path -from [get_ports {i_ftdi_so i_ftdi_cts}] - - -# # SD card timings +# SD card timings # set_output_delay -clock [get_clocks {sd_clk}] -max 6.0 [get_ports {io_sd_cmd io_sd_dat[*]}] # set_output_delay -clock [get_clocks {sd_clk}] -min -2.0 [get_ports {io_sd_cmd io_sd_dat[*]}] @@ -57,6 +51,7 @@ set_multicycle_path -setup -end 2 -from [get_clocks {sdram_clk}] -to [get_clocks set_false_path -to [get_ports {o_usb_clk io_usb_miosi[*] o_usb_cs}] set_false_path -from [get_ports {io_usb_miosi[*] i_usb_miso}] + # N64, PI and SI timings set_false_path -to [get_ports {o_n64_irq}] @@ -88,5 +83,5 @@ set_false_path -from [get_ports {io_rtc_sda}] # JTAG timings -set_false_path -to [get_ports {altera_reserved_tdo}] -set_false_path -from [get_ports {altera_reserved_tdi altera_reserved_tms}] +# set_false_path -to [get_ports {altera_reserved_tdo}] +# set_false_path -from [get_ports {altera_reserved_tdi altera_reserved_tms}] diff --git a/fw/rtl/intel/fifo/intel_fifo_8.qip b/fw/rtl/intel/fifo/intel_fifo_8.qip new file mode 100644 index 0000000..a7494b6 --- /dev/null +++ b/fw/rtl/intel/fifo/intel_fifo_8.qip @@ -0,0 +1,4 @@ +set_global_assignment -name IP_TOOL_NAME "FIFO" +set_global_assignment -name IP_TOOL_VERSION "20.1" +set_global_assignment -name IP_GENERATED_DEVICE_FAMILY "{MAX 10}" +set_global_assignment -name VERILOG_FILE [file join $::quartus(qip_path) "intel_fifo_8.v"] diff --git a/fw/rtl/intel/fifo/intel_fifo_8.v b/fw/rtl/intel/fifo/intel_fifo_8.v new file mode 100644 index 0000000..dafd81e --- /dev/null +++ b/fw/rtl/intel/fifo/intel_fifo_8.v @@ -0,0 +1,163 @@ +// megafunction wizard: %FIFO% +// GENERATION: STANDARD +// VERSION: WM1.0 +// MODULE: scfifo + +// ============================================================ +// File Name: intel_fifo_8.v +// Megafunction Name(s): +// scfifo +// +// Simulation Library Files(s): +// altera_mf +// ============================================================ +// ************************************************************ +// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! +// +// 20.1.1 Build 720 11/11/2020 SJ Lite Edition +// ************************************************************ + + +//Copyright (C) 2020 Intel Corporation. All rights reserved. +//Your use of Intel Corporation's design tools, logic functions +//and other software and tools, and any partner logic +//functions, and any output files from any of the foregoing +//(including device programming or simulation files), and any +//associated documentation or information are expressly subject +//to the terms and conditions of the Intel Program License +//Subscription Agreement, the Intel Quartus Prime License Agreement, +//the Intel FPGA IP License Agreement, or other applicable license +//agreement, including, without limitation, that your use is for +//the sole purpose of programming logic devices manufactured by +//Intel and sold by Intel or its authorized distributors. Please +//refer to the applicable agreement for further details, at +//https://fpgasoftware.intel.com/eula. + + +// synopsys translate_off +`timescale 1 ps / 1 ps +// synopsys translate_on +module intel_fifo_8 ( + clock, + data, + rdreq, + sclr, + wrreq, + empty, + full, + q); + + input clock; + input [7:0] data; + input rdreq; + input sclr; + input wrreq; + output empty; + output full; + output [7:0] q; + + wire sub_wire0; + wire sub_wire1; + wire [7:0] sub_wire2; + wire empty = sub_wire0; + wire full = sub_wire1; + wire [7:0] q = sub_wire2[7:0]; + + scfifo scfifo_component ( + .clock (clock), + .data (data), + .rdreq (rdreq), + .sclr (sclr), + .wrreq (wrreq), + .empty (sub_wire0), + .full (sub_wire1), + .q (sub_wire2), + .aclr (), + .almost_empty (), + .almost_full (), + .eccstatus (), + .usedw ()); + defparam + scfifo_component.add_ram_output_register = "ON", + scfifo_component.intended_device_family = "MAX 10", + scfifo_component.lpm_numwords = 1024, + scfifo_component.lpm_showahead = "ON", + scfifo_component.lpm_type = "scfifo", + scfifo_component.lpm_width = 8, + scfifo_component.lpm_widthu = 10, + scfifo_component.overflow_checking = "ON", + scfifo_component.underflow_checking = "ON", + scfifo_component.use_eab = "ON"; + + +endmodule + +// ============================================================ +// CNX file retrieval info +// ============================================================ +// Retrieval info: PRIVATE: AlmostEmpty NUMERIC "0" +// Retrieval info: PRIVATE: AlmostEmptyThr NUMERIC "-1" +// Retrieval info: PRIVATE: AlmostFull NUMERIC "0" +// Retrieval info: PRIVATE: AlmostFullThr NUMERIC "-1" +// Retrieval info: PRIVATE: CLOCKS_ARE_SYNCHRONIZED NUMERIC "0" +// Retrieval info: PRIVATE: Clock NUMERIC "0" +// Retrieval info: PRIVATE: Depth NUMERIC "1024" +// Retrieval info: PRIVATE: Empty NUMERIC "1" +// Retrieval info: PRIVATE: Full NUMERIC "1" +// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "MAX 10" +// Retrieval info: PRIVATE: LE_BasedFIFO NUMERIC "0" +// Retrieval info: PRIVATE: LegacyRREQ NUMERIC "0" +// Retrieval info: PRIVATE: MAX_DEPTH_BY_9 NUMERIC "0" +// Retrieval info: PRIVATE: OVERFLOW_CHECKING NUMERIC "0" +// Retrieval info: PRIVATE: Optimize NUMERIC "1" +// Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0" +// Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" +// Retrieval info: PRIVATE: UNDERFLOW_CHECKING NUMERIC "0" +// Retrieval info: PRIVATE: UsedW NUMERIC "0" +// Retrieval info: PRIVATE: Width NUMERIC "8" +// Retrieval info: PRIVATE: dc_aclr NUMERIC "0" +// Retrieval info: PRIVATE: diff_widths NUMERIC "0" +// Retrieval info: PRIVATE: msb_usedw NUMERIC "0" +// Retrieval info: PRIVATE: output_width NUMERIC "8" +// Retrieval info: PRIVATE: rsEmpty NUMERIC "1" +// Retrieval info: PRIVATE: rsFull NUMERIC "0" +// Retrieval info: PRIVATE: rsUsedW NUMERIC "0" +// Retrieval info: PRIVATE: sc_aclr NUMERIC "0" +// Retrieval info: PRIVATE: sc_sclr NUMERIC "1" +// Retrieval info: PRIVATE: wsEmpty NUMERIC "0" +// Retrieval info: PRIVATE: wsFull NUMERIC "1" +// Retrieval info: PRIVATE: wsUsedW NUMERIC "0" +// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all +// Retrieval info: CONSTANT: ADD_RAM_OUTPUT_REGISTER STRING "ON" +// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "MAX 10" +// Retrieval info: CONSTANT: LPM_NUMWORDS NUMERIC "1024" +// Retrieval info: CONSTANT: LPM_SHOWAHEAD STRING "ON" +// Retrieval info: CONSTANT: LPM_TYPE STRING "scfifo" +// Retrieval info: CONSTANT: LPM_WIDTH NUMERIC "8" +// Retrieval info: CONSTANT: LPM_WIDTHU NUMERIC "10" +// Retrieval info: CONSTANT: OVERFLOW_CHECKING STRING "ON" +// Retrieval info: CONSTANT: UNDERFLOW_CHECKING STRING "ON" +// Retrieval info: CONSTANT: USE_EAB STRING "ON" +// Retrieval info: USED_PORT: clock 0 0 0 0 INPUT NODEFVAL "clock" +// Retrieval info: USED_PORT: data 0 0 8 0 INPUT NODEFVAL "data[7..0]" +// Retrieval info: USED_PORT: empty 0 0 0 0 OUTPUT NODEFVAL "empty" +// Retrieval info: USED_PORT: full 0 0 0 0 OUTPUT NODEFVAL "full" +// Retrieval info: USED_PORT: q 0 0 8 0 OUTPUT NODEFVAL "q[7..0]" +// Retrieval info: USED_PORT: rdreq 0 0 0 0 INPUT NODEFVAL "rdreq" +// Retrieval info: USED_PORT: sclr 0 0 0 0 INPUT NODEFVAL "sclr" +// Retrieval info: USED_PORT: wrreq 0 0 0 0 INPUT NODEFVAL "wrreq" +// Retrieval info: CONNECT: @clock 0 0 0 0 clock 0 0 0 0 +// Retrieval info: CONNECT: @data 0 0 8 0 data 0 0 8 0 +// Retrieval info: CONNECT: @rdreq 0 0 0 0 rdreq 0 0 0 0 +// Retrieval info: CONNECT: @sclr 0 0 0 0 sclr 0 0 0 0 +// Retrieval info: CONNECT: @wrreq 0 0 0 0 wrreq 0 0 0 0 +// Retrieval info: CONNECT: empty 0 0 0 0 @empty 0 0 0 0 +// Retrieval info: CONNECT: full 0 0 0 0 @full 0 0 0 0 +// Retrieval info: CONNECT: q 0 0 8 0 @q 0 0 8 0 +// Retrieval info: GEN_FILE: TYPE_NORMAL intel_fifo_8.v TRUE +// Retrieval info: GEN_FILE: TYPE_NORMAL intel_fifo_8.inc FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL intel_fifo_8.cmp FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL intel_fifo_8.bsf FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL intel_fifo_8_inst.v FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL intel_fifo_8_bb.v FALSE +// Retrieval info: LIB_FILE: altera_mf diff --git a/fw/rtl/memory/memory_flash.sv b/fw/rtl/memory/memory_flash.sv index c40dc12..2aefc25 100644 --- a/fw/rtl/memory/memory_flash.sv +++ b/fw/rtl/memory/memory_flash.sv @@ -35,7 +35,7 @@ module memory_flash ( e_state state; always_ff @(posedge sys.clk) begin - dummy_ack <= 1'b1; + dummy_ack <= 1'b0; if (sys.reset) begin state <= S_IDLE; diff --git a/fw/rtl/n64/n64_sdram.sv b/fw/rtl/n64/n64_sdram.sv index 6fed911..fa19b7d 100644 --- a/fw/rtl/n64/n64_sdram.sv +++ b/fw/rtl/n64/n64_sdram.sv @@ -19,14 +19,51 @@ module n64_sdram ( logic [15:0] mem_rdata; logic [15:0] mem_wdata; + typedef enum bit [0:0] { + S_IDLE, + S_WAIT + } e_state; + + typedef enum bit [0:0] { + T_BUS, + T_DMA + } e_bus_or_dma; + + e_state state; + e_bus_or_dma bus_or_dma; + + always_ff @(posedge sys.clk) begin + if (sys.reset) begin + state <= S_IDLE; + mem_request <= 1'b0; + end else begin + case (state) + S_IDLE: begin + if (bus.request || dma.request) begin + state <= S_WAIT; + mem_request <= 1'b1; + mem_write <= bus.request ? bus.write : dma.write; + mem_address <= bus.request ? bus.address : dma.address; + mem_wdata <= bus.request ? bus.wdata : dma.wdata; + bus_or_dma <= bus.request ? T_BUS : T_DMA; + end + end + + S_WAIT: begin + if (mem_ack) begin + state <= S_IDLE; + mem_request <= 1'b0; + end + end + endcase + end + end + always_comb begin - mem_request = bus.request || dma.request; - bus.ack = bus.request && mem_ack; - dma.ack = dma.request && mem_ack; - mem_write = (bus.request && bus.write) || (dma.request && dma.write); - mem_address = dma.request ? dma.address : bus.address; - mem_wdata = dma.request ? dma.wdata : bus.wdata; + bus.ack = bus_or_dma == T_BUS && mem_ack; bus.rdata = mem_rdata; + + dma.ack = bus_or_dma == T_DMA && mem_ack; dma.rdata = mem_rdata; end diff --git a/fw/rtl/usb/usb_ft1248.sv b/fw/rtl/usb/usb_ft1248.sv index cd8d791..caaf098 100644 --- a/fw/rtl/usb/usb_ft1248.sv +++ b/fw/rtl/usb/usb_ft1248.sv @@ -9,13 +9,13 @@ module usb_ft1248 ( input rx_flush, output rx_empty, - output rx_almost_empty, + // output rx_almost_empty, input rx_read, output [7:0] rx_rdata, input tx_flush, output tx_full, - output tx_almost_full, + // output tx_almost_full, input tx_write, input [7:0] tx_wdata ); @@ -31,22 +31,22 @@ module usb_ft1248 ( reg tx_read; wire [7:0] tx_rdata; - fifo8 fifo_8_rx_inst ( + intel_fifo_8 fifo_8_rx_inst ( .clock(sys.clk), .sclr(rx_flush), .empty(rx_empty), - .almost_empty(rx_almost_empty), + // .almost_empty(rx_almost_empty), .rdreq(rx_read), .q(rx_rdata), .full(rx_full), - .almost_full(rx_almost_full), + // .almost_full(rx_almost_full), .wrreq(rx_write), .data(rx_wdata) ); - fifo8 fifo_8_tx_inst ( + intel_fifo_8 fifo_8_tx_inst ( .clock(sys.clk), .sclr(tx_flush), @@ -55,7 +55,7 @@ module usb_ft1248 ( .q(tx_rdata), .full(tx_full), - .almost_full(tx_almost_full), + // .almost_full(tx_almost_full), .wrreq(tx_write), .data(tx_wdata) ); diff --git a/fw/stp.stp b/fw/stp.stp index 61b8b4a..51d72bc 100644 --- a/fw/stp.stp +++ b/fw/stp.stp @@ -15,7 +15,7 @@ - + @@ -107,6 +107,11 @@ + + + + + @@ -159,6 +164,7 @@ + @@ -287,6 +293,11 @@ + + + + + @@ -339,6 +350,7 @@ + @@ -465,28 +477,28 @@ - - - - + + + + - - - - - - - - - - - - - + + + + + + + + + + + + + - - + + @@ -507,89 +519,95 @@ - - - - - - - + + + + + + + + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + + + @@ -665,25 +683,25 @@ - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + @@ -701,83 +719,89 @@ - - - - - - - + + + + + + + + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + @@ -853,25 +877,25 @@ - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + @@ -889,88 +913,94 @@ - - - - - - - + + + + + + + + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - + 'n64_soc:n64_soc_inst|n64_sdram:n64_sdram_inst|memory_sdram:memory_sdram_inst|request' == rising edge @@ -1009,7 +1039,7 @@ trigger;]]> - 1111111111111111001100000111001100000100000001010000111111111111111100100100000010000000110000011100110000010000000101011111111111111110001000010010000001000000000000000000001011111111111111111100110000011100110000010000000101000011111111111111110010010000001000000011000001110011000001000000010101111111111111111000100001001000000100000000000000000000101111111111111111110011000001110011000001000000010100001111111111111111001001000000100000001100000111001100000100000001010111111111111111100010000100100000010000000000000000000010111111111111111111001100000111001100000100000001010000111111111111111100100100000010000000110000011100110000010000000101011111111111111110001000010010000001000000000000000000001011111111111111111100110000011100110000010000000101000011111111111111110010010000001000000011000001110011000001000000010101111111111111111000100001001000000100000000000000000000101111111111111111110011000001110011000001000000010100001111111111111111001001000000100000001100000111001100000100000001010111111111111111100010000100100000010000000000000000000010111111111111111111001100000111001100000100000001010000111111111111111100100100000010000000110000011100110000010000000101011111111111111110001000010010000001000000000000000000001011111111111111111100110000011100110000010000000101000011111111111111110010010000001000000011000001110011000001000000010101111111111111111000100001001000000100000000000000000000101111111111111111110011000001110011000001000000010100001111111111111111001001000000100000001100000111001100000100000001010111111111111111100010000100100000010000000000000000000010111111111111111111001100000111001100000100000001010000111111111111111100100100000010000000110000011100110000010000000101011111111111111110001000010010000001000000000000000000001011111111111111111100110000011100110000010000000101000011111111111111110010010000001000000011000001110011000001000000010101111111111111111000100001001000000100000000000000000000101111111111111111110011000001110011000001000000010100001111111111111111001001000000100000001100000111001100000100000001010111111111111111100010000100100000010000000000000000000010111111111111111111001100000111001100000100000001010000111111111111111100100100000010000000110000011100110000010000000101011111111111111110001000010010000001000000000000000000001011111111111111111100110000011100110000010000000101000011111111111111110010010000001000000011000001110011000001000000010101111111111111111000100001001000000100000000000000000000101111111111111111110011000001110011000001000000010100001111111111111111001001000000100000001100000111001100000100000001010111111111111111100010000100100000010000000000000000000010111111111111111111001100000111001100000100000001010000111111111111111100100100000010000000110000011100110000010000000101011111111111111110001000010010000001000000000000000000001011111111111111111100110000011100110000010000000101000011111111111111110010010000001000000011000001110011000001000000010101111111111111111000100001001000000100000000000000000000101111111111111111110011000001110011000001000000010100001111111111111111001001000000100000001100000111001100000100000001010111111111111111100010000100100000010000000000000000000010111111111111111111001100000111001100000100000001010000111111111111111100100100000010000000110000011100110000010000000101011111111111111110001000010010000001000000000000000000001011111111111111111100110000011100110000010000000101000011111111111111110010010000001000000011000001110011000001000000010101111111111111111000100001001000000100000000000000000000101111111111111111110011000001110011000001000000010100001111111111111111001001000000100000001100000111001100000100000001010111111111111111100010000100100000010000000000000000000010111111111111111111001100000111001100000100000001010000111111111111111100100100000010000000110000011100110000010000000101011111111111111110001000010010000001000000000000000000001011111111111111111100110000011100110000010000000101000011111111111111110010010000001000000011000001110011000001000000010101111111111111111000100001001000000100000000000000000000101111111111111111110011000001110011000001000000010100001111111111111111001001000000100000001100000111001100000100000001010111111111111111100010000100100000010000000000000000000010111111111111111111001100000111001100000100000001010000111111111111111100100100000010000000110000011100110000010000000101011111111111111110001000010010000001000000000000000000001011111111111111111100110000011100110000010000000101000011111111111111110010010000001000000011000001110011000001000000010101111111111111111000100001001000000100000000000000000000101111111111111111110011000001110011000001000000010100001111111111111111001001000000100000001100000111001100000100000001010111111111111111100010000100100000010000000000000000000010111111111111111111001100000111001100000100000001010000111111111111111100100100000010000000110000011100110000010000000101011111111111111110001000010010000001000000000000000000001011111111111111111100110000011100110000010000000101000011111111111111110010010000001000000011000001110011000001000000010101111111111111111000100001001000000100000000000000000000101111111111111111110011000001110011000001000000010100001111111111111111001001000000100000001100000111001100000100000001010111111111111111100010000100100000010000000000000000000010111111111111111111001100000111001100000100000001010000111111111111111100100100000010000000110000011100110000010000000101011111111111111110001000010010000001000000000000000000001011111111111111111100110000011100110000010000000101000011111111111111110010010000001000000011000001110011000001000000010101111111111111111000100001001000000100000000000000000000101111111111111111110011000001110011000001000000010100001111111111111111001001000000100000001100000111001100000100000001010111111111111111100010000100100000010000000000000000000010111111111111111111001100000111001100000100000001010000111111111111111100100100000010000000110000011100110000010000000101011111111111111110001000010010000001000000000000000000001011111111111111111100110000011100110000010000000101000011111111111111110010010000001000000011000001110011000001000000010101111111111111111000100001001000000100000000000000000000101111111111111111110011000001110011000001000000010100001111111111111111001001000000100000001100000111001100000100000001010111111111111111100010000100100000010000000000000000000010111111111111111111001100000111001100000100000001010000111111111111111100100100000010000000110000011100110000010000000101011111111111111110001000010010000001000000000000000000001011111111111111111100110000011100110000010000000101000011111111111111110010010000001000000011000001110011000001000000010101111111111111111000100001001000000100000000000000000000101111111111111111110011000001110011000001000000010100001111111111111111001001000000100000001100000111001100000100000001010111111111111111100010000100100000010000000000000000000010111111111111111111001100000111001100000100000001010000111111111111111100100100000010000000110000011100110000010000000101011111111111111110001000010010000001000000000000000000001011111111111111111100110000011100110000010000000101000011111111111111110010010000001000000011000001110011000001000000010101111111111111111000100001001000000100000000000000000000101111111111111111110011000001110011000001000000010100001111111111111111001001000000100000001100000111001100000100000001010111111111111111100010000100100000010000000000000000000010111111111111111111001100000111001100000100000001010000111111111111111100100100000010000000110000011100110000010000000101011111111111111110001000010010000001000000000000000000001011111111111111111100110000011100110000010000000101000011111111111111110010010000001000000011000001110011000001000000010101111111111111111000100001001000000100000000000000000000101111111111111111110011000001110011000001000000010100001111111111111111001001000000100000001100000111001100000100000001010111111111111111100010000100100000010000000000000000000010111111111111111111001100000111001100000100000001010000111111111111111100100100000010000000110000011100110000010000000101011111111111111110001000010010000001000000000000000000001011111111111111111100110000011100110000010000000101000011111111111111110010010000001000000011000001110011000001000000010101111111111111111000100001001000000100000000000000000000101111111111111111110011000001110011000001000000010100001111111111111111001001000000100000001100000111001100000100000001010111111111111111100010000100100000010000000000000000000010111111111111111111001100000111001100000100000001010000111111111111111100100100000010000000110000011100110000010000000101011111111111111110001000010010000001000000000000000000001011111111111111111100110000011100110000010000000101000011111111111111110010010000001000000011000001110011000001000000010101111111111111111000100001001000000100000000000000000000101111111111111111110011000001110011000001000000010100001111111111111111001001000000100000001100000111001100000100000001010111111111111111100010000100100000010000000000000000000010111111111111111111001100000111001100000100000001010000111111111111111100100100000010000000110000011100110000010000000101011111111111111110001000010010000001000000000000000000001011111111111111111100110000011100110000010000000101000011111111111111110010010000001000000011000001110011000001000000010101111111111111111000100001001000000100000000000000000000101111111111111111110011000001110011000001000000010100001111111111111111001001000000100000001100000111001100000100000001010111111111111111100010000100100000010000000000000000000010111111111111111111001100000111001100000100000001010000111111111111111100100100000010000000110000011100110000010000000101011111111111111110001000010010000001000000000000000000001011111111111111111100110000011100110000010000000101000011111111111111110010010000001000000011000001110011000001000000010101111111111111111000100001001000000100000000000000000000101111111111111111110011000001110011000001000000010100001111111111111111001001000000100000001100000111001100000100000001010111111111111111100010000100100000010000000000000000000010111111111111111111001100000111001100000100000001010000111111111111111100100100000010000000110000011100110000010000000101011111111111111110001000010010000001000000000000000000001011111111111111111100110000011100110000010000000101000011111111111111110010010000001000000011000001110011000001000000010101111111111111111000100001001000000100000000000000000000101111111111111111110011000001110011000001000000010100001111111111111111001001000000100000001100000111001100000100000001010111111111111111100010000100100000010000000000000000000010111111111111111111001100000111001100000100000001010000111111111111111100100100000010000000110000011100110000010000000101011111111111111110001000010010000001000000000000000000001011111111111111111100110000011100110000010000000101000011111111111111110010010000001000000011000001110011000001000000010101111111111111111000100001001000000100000000000000000000101111111111111111110011000001110011000001000000010100001111111111111111001001000000100000001100000111001100000100000001010111111111111111100010000100100000010000000000000000000010111111111111111111001100000111001100000100000001010000111111111111111100100100000010000000110000011100110000010000000101011111111111111110001000010010000001000000000000000000001011111111111111111100110000011100110000010000000101000011111111111111110010010000001000000011000001110011000001000000010101111111111111111000100001001000000100000000000000000000101111111111111111110011000001110011000001000000010100001111111111111111001001000000100000001100000111001100000100000001010111111111111111100010000100100000010000000000000000000010111111111111111111001100000111001100000100000001010000111111111111111100100100000010000000110000011100110000010000000101011111111111111110001000010010000001000000000000000000001011111111111111111100110000011100110000010000000101000011111111111111110010010000001000000011000001110011000001000000010101111111111111111000100001001000000100000000000000000000101111111111111111110011000001110011000001000000010100001111111111111111001001000000100000001100000111001100000100000001010111111111111111100010000100100000010000000000000000000010111111111111111111001100000111001100000100000001010000111111111111111100100100000010000000110000011100110000010000000101011111111111111110001000010010000001000000000000000000001011111111111111111100110000011100110000010000000101000011111111111111110010010000001000000011000001110011000001000000010101111111111111111000100001001000000100000000000000000000101111111111111111110011000001110011000001000000010100001111111111111111001001000000100000001100000111001100000100000001010111111111111111100010000100100000010000000000000000000010111111111111111111001100000111001100000100000001010000111111111111111100100100000010000000110000011100110000010000000101011111111111111110001000010010000001000000000000000000001011111111111111111100110000011100110000010000000101000011111111111111110010010000001000000011000001110011000001000000010101111111111111111000100001001000000100000000000000000000101111111111111111110011000001110011000001000000010100001111111111111111001001000000100000001100000111001100000100000001010111111111111111100010000100100000010000000000000000000010111111111111111111001100000111001100000100000001010000111111111111111100100100000010000000110000011100110000010000000101011111111111111110001000010010000001000000000000000000001011111111111111111100110000011100110000010000000101000011111111111111110010010000001000000011000001110011000001000000010101111111111111111000100001001000000100000000000000000000101111111111111111110011000001110011000001000000010100001111111111111111001001000000100000001100000111001100000100000001010111111111111111100010000100100000010000000000000000000010111111111111111111001100000111001100000100000001010000111111111111111100100100000010000000110000011100110000010000000101011111111111111110001000010010000001000000000000000000001011111111111111111100110000011100110000010000000101000011111111111111110010010000001000000011000001110011000001000000010101111111111111111000100001001000000100000000000000000000101111111111111111110011000001110011000001000000010100001111111111111111001001000000100000001100000111001100000100000001010111111111111111100010000100100000010000000000000000000010111111111111111111001100000111001100000100000001010000111111111111111100100100000010000000110000011100110000010000000101011111111111111110001000010010000001000000000000000000001011111111111111111100110000011100110000010000000101000011111111111111110010010000001000000011000001110011000001000000010101111111111111111000100001001000000100000000000000000000101111111111111111110011000001110011000001000000010100001111111111111111001001000000100000001100000111001100000100000001010111111111111111100010000100100000010000000000000000000010111111111111111111001100000111001100000100000001010000111111111111111100100100000010000000110000011100110000010000000101011111111111111110001000010010000001000000000000000000001011111111111111111100110000011100110000010000000101000011111111111111110010010000001000000011000001110011000001000000010101111111111111111000100001001000000100000000000000000000101111111111111111110011000001110011000001000000010100001111111111111111001001000000100000001100000111001100000100000001010111111111111111100010000100100000010000000000000000000010111111111111111111001100000111001100000100000001010000111111111111111100100100000010000000110000011100110000010000000101011111111111111110001000010010000001000000000000000000001011111111111111111100110000011100110000010000000101000011111111111111110010010000001000000011000001110011000001000000010101111111111111111000100001001000000100000000000000000000101111111111111111110011000001110011000001000000010100001111111111111111001001000000100000001100000111001100000100000001010111111111111111100010000100100000010000000000000000000010111111111111111111001100000111001100000100000001010000111111111111111100100100000010000000110000011100110000010000000101011111111111111110001000010010000001000000000000000000001011111111111111111100110000011100110000010000000101000011111111111111110010010000001000000011000001110011000001000000010101111111111111111000100001001000000100000000000000000000101111111111111111110011000001110011000001000000010100001111111111111111001001000000100000001100000111001100000100000001010111111111111111100010000100100000010000000000000000000010111111111111111111001100000111001100000100000001010000111111111111111100100100000010000000110000011100110000010000000101011111111111111110001000010010000001000000000000000000001011111111111111111100110000011100110000010000000101000011111111111111110010010000001000000011000001110011000001000000010101111111111111111000100001001000000100000000000000000000101111111111111111110011000001110011000001000000010100001111111111111111001001000000100000001100000111001100000100000001010111111111111111100010000100100000010000000000000000000010111111111111111111001100000111001100000100000001010000111111111111111100100100000010000000110000011100110000010000000101011111111111111110001000010010000001000000000000000000001011111111111111111100110000011100110000010000000101000011111111111111110010010000001000000011000001110011000001000000010101111111111111111000100001001000000100000000000000000000101111111111111111110011000001110011000001000000010100001111111111111111001001000000100000001100000111001100000100000001010111111111111111100010000100100000010000000000000000000010111111111111111111001100000111001100000100000001010000111111111111111100100100000010000000110000011100110000010000000101011111111111111110001000010010000001000000000000000000001011111111111111111100110000011100110000010000000101000011111111111111110010010000001000000011000001110011000001000000010101111111111111111000100001001000000100000000000000000000101111111111111111110011000001110011000001000000010100001111111111111111001001000000100000001100000111001100000100000001010111111111111111100010000100100000010000000000000000000010111111111111111111001100000111001100000100000001010000111111111111111100100100000010000000110000011100110000010000000101011111111111111110001000010010000001000000000000000000001011111111111111111100110000011100110000010000000101000011111111111111110010010000001000000011000001110011000001000000010101111111111111111000100001001000000100000000000000000000101111111111111111110011000001110011000001000000010100001111111111111111001001000000100000001100000111001100000100000001010111111111111111100010000100100000010000000000000000000010111111111111111111001100000111001100000100000001010000111111111111111100100100000010000000110000011100110000010000000101011111111111111110001000010010000001000000000000000000001011111111111111111100110000011100110000010000000101000011111111111111110010010000001000000011000001110011000001000000010101111111111111111000100001001000000100000000000000000000101111111111111111110011000001110011000001000000010100001111111111111111001001000000100000001100000111001100000100000001010111111111111111100010000100100000010000000000000000000010111111111111111111001100000111001100000100000001010000111111111111111100100100000010000000110000011100110000010000000101011111111111111110001000010010000001000000000000000000001011111111111111111100110000011100110000010000000101000011111111111111110010010000001000000011000001110011000001000000010101111111111111111000100001001000000100000000000000000000101111111111111111110011000001110011000001000000010100001111111111111111001001000000100000001100000111001100000100000001010111111111111111100010000100100000010000000000000000000010111111111111111111001100000111001100000100000001010000111111111111111100100100000010000000110000011100110000010000000101011111111111111110001000010010000001000000000000000000001011111111111111111100110000011100110000010000000101000011111111111111110010010000001000000011000001110011000001000000010101111111111111111000100001001000000100000000000000000000101111111111111111110011000001110011000001000000010100001111111111111111001001000000100000001100000111001100000100000001010111111111111111100010000100100000010000000000000000000010111111111111111111001100000111001100000100000001010000111111111111111100100100000010000000110000011100110000010000000101011111111111111110001000010010000001000000000000000000001011111111111111111100110000011100110000010000000101000011111111111111110010010000001000000011000001110011000001000000010101111111111111111000100001001000000100000000000000000000101111111111111111110011000001110011000001000000010100001111111111111111001001000000100000001100000111001100000100000001010111111111111111100010000100100000010000000000000000000010111111111111111111001100000111001100000100000001010000111111111111111100100100000010000000110000011100110000010000000101011111111111111110001000010010000001000000000000000000001011111111111111111100110000011100110000010000000101000011111111111111110010010000001000000011000001110011000001000000010101111111111111111000100001001000000100000000000000000000101111111111111111110011000001110011000001000000010100001111111111111111001001000000100000001100000111001100000100000001010111111111111111100010000100100000010000000000000000000010111111111111111111001100000111001100000100000001010000111111111111111100100100000010000000110000011100110000010000000101011111111111111110001000010010000001000000000000000000001011111111111111111100110000011100110000010000000101000011111111111111110010010000001000000011000001110011000001000000010101111111111111111000100001001000000100000000000000000000101111111111111111110011000001110011000001000000010100001111111111111111001001000000100000001100000111001100000100000001010111111111111111100010000100100000010000000000000000000010111111111111111111001100000111001100000100000001010000111111111111111100100100000010000000110000011100110000010000000101011111111111111110001000010010000001000000000000000000001011111111111111111100110000011100110000010000000101000011111111111111110010010000001000000011000001110011000001000000010101111111111111111000100001001000000100000000000000000000101111111111111111110011000001110011000001000000010100001111111111111111001001000000100000001100000111001100000100000001010111111111111111100010000100100000010000000000000000000010111111111111111111001100000111001100000100000001010000111111111111111100100100000010000000110000011100110000010000000101011111111111111110001000010010000001000000000000000000001011111111111111111100110000011100110000010000000101000011111111111111110010010000001000000011000001110011000001000000010101111111111111111000100001001000000100000000000000000000101111111111111111110010100001110011000001000001011010001111111111111111101010000001011010001010000111001100000100000101101111111111111111110010000101000000101101000000000000000010111111111111111111001010000111001100000100000101101000111111111111111110101000000101101000101000011100110000010000010110111111111111111111100000010100000010110100110100001110001001111111111111111100101000011100110000010000010110100011111111111111111010100000010110100010100001110011000001000001011011111111111111111110000001010000001011010000000000000000101111111111111111110010100001110011000001000001011010001111111111111111101010000001011010001010000111001100000100000101101111111111111111111000000101000000101101000000000000000010111111111111111111001010000111001100000100000101101000111111111111111110101000000101101000101000011100110000010000010110111111111111111111010000010100000010110100000000000000001011111111111111111100101000011100110000010000010110100011111111111111111010100000010110100010100001110011000001000001011011111111111111111100000001010000001011010000000101101100001111111111111111110010100001110011000001000001011010001111111111111111101010000001011010001010000111001100000100000101101111111111111111110000000101000000101101000000000000000010111000000000110010001010000111001100000100000101101000111111111111111110101000000101101000101000011100110000010000010110111111111111111111000000010100000010110100000000000000001011100000000011001010101000011100110000010000010110100010000000001100101010100000010110101010100001110011000001000001011011000000000110010100000001010000001011010000000000000000101110000000001100100010100001110011000001000001011010001000000000110010001010000001011010001010000111001100000100000101101100000000011001000100000101000000101101000000000000000010111000000000110010001010000111001100000100000101101000100000000011001000101000000101101000101000011100110000010000010110110000000001100100010000010100000010110100000000000000001011100000000011001000101000011100110000010000010110100010000000001100100010100000010110100010100001110011000001000001011011000000000110010001000001010000001011010000000000000000101110000000001100100010100001110011000001000001011010001000000000110010001010000001011010001010000111001100000100000101101100000000011001000100000101000000101101000000000000000010111000000000110010001010000111001100000100000101101000100000000011001000101000000101101000101000011100110000010000010110110000000001100100010000010100000010110100000000000000001011100000000011001000101000011100110000010000010110100010000000001100100010100000010110100010100001110011000001000001011011000000000110010001000001010000001011010000000000000000101110000000001100100010100001110011000001000001011010001000000000110010001010000001011010001010000111001100000100000101101100000000011001000100000101000000101101000000000000000010111000000000110010001010000111001100000100000101101000100000000011001000101000000101101000101000011100110000010000010110110000000001100100010000010100000010110100000000000000001011100000000011001000101000011100110000010000010110100010000000001100100010100000010110100010100001110011000001000001011011000000000110010001000001010000001011010000000000000000101110000000001100100010100001110011000001000001011010001000000000110010001010000001011010001010000111001100000100000101101100000000011001000100000101000000101101000000000000000010111000000000110010001010000111001100000100000101101000100000000011001000101000000101101000101000011100110000010000010110110000000001100100010000010100000010110100000000000000001011100000000011001000101000011100110000010000010110100010000000001100100010100000010110100010100001110011000001000001011011000000000110010001000001010000001011010000000000000000101110000000001100100010100001110011000001000001011010001000000000110010001010000001011010001010000111001100000100000101101100000000011001000100000101000000101101000000000000000010111000000000110010001010000111001100000100000101101000100000000011001000101000000101101000101000011100110000010000010110110000000001100100010000010100000010110100000000000000001011100000000011001000101000011100110000010000010110100010000000001100100010100000010110100010100001110011000001000001011011000000000110010001000001010000001011010000000000000000101110000000001100100010100001110011000001000001011010001000000000110010001010000001011010001010000111001100000100000101101100000000011001000100000101000000101101000000000000000010111000000000110010001010000111001100000100000101101000100000000011001000101000000101101000101000011100110000010000010110110000000001100100010000010100000010110100000000000000001011100000000011001000101000011100110000010000010110100010000000001100100010100000010110100010100001110011000001000001011011000000000110010001000001010000001011010000000000000000101110000000001100100010100001110011000001000001011010001000000000110010001010000001011010001010000111001100000100000101101100000000011001000100000101000000101101000000000000000010111000000000110010001010000111001100000100000101101000100000000011001000101000000101101000101000011100110000010000010110110000000001100100010000010100000010110100000000000000001011100000000011001000101000011100110000010000010110100010000000001100100010100000010110100010100001110011000001000001011011000000000110010001000001010000001011010000000000000000101110000000001100100010100001110011000001000001011010001000000000110010001010000001011010001010000111001100000100000101101100000000011001000100000101000000101101000000000000000010111000000000110010001010000111001100000100000101101000100000000011001000101000000101101000101000011100110000010000010110110000000001100100010000010100000010110100000000000000001011100000000011001000101000011100110000010000010110100010000000001100100010100000010110100010100001110011000001000001011011000000000110010001000001010000001011010000000000000000101110000000001100100010100001110011000001000001011010001000000000110010001010000001011010001010000111001100000100000101101100000000011001000100000101000000101101000000000000000010111000000000110010001010000111001100000100000101101000100000000011001000101000000101101000101000011100110000010000010110110000000001100100010000010100000010110100000000000000001011100000000011001000101000011100110000010000010110100010000000001100100010100000010110100010100001110011000001000001011011000000000110010001000001010000001011010000000000000000101110000000001100100010100001110011000001000001011010001000000000110010001010000001011010001010000111001100000100000101101100000000011001000100000101000000101101000000000000000010111000000000110010001010000111001100000100000101101000100000000011001000101000000101101000101000011100110000010000010110110000000001100100010000010100000010110100000000000000001011100000000011001000101000011100110000010000010110100010000000001100100010100000010110100010100001110011000001000001011011000000000110010001000001010000001011010000000000000000101110000000001100100010100001110011000001000001011010001000000000110010001010000001011010001010000111001100000100000101101100000000011001000100000101000000101101000000000000000010111000000000110010001010000111001100000100000101101000100000000011001000101000000101101000101000011100110000010000010110110000000001100100010000010100000010110100000000000000001011100000000011001000101000011100110000010000010110100010000000001100100010100000010110100010100001110011000001000001011011000000000110010001000001010000001011010000000000000000101110000000001100100010100001110011000001000001011010001000000000110010001010000001011010001010000111001100000100000101101100000000011001000100000101000000101101000000000000000010111000000000110010001010000111001100000100000101101000100000000011001000101000000101101000101000011100110000010000010110110000000001100100010000010100000010110100000000000000001011100000000011001000101000011100110000010000010110100010000000001100100010100000010110100010100001110011000001000001011011000000000110010001000001010000001011010000000000000000101110000000001100100010100001110011000001000001011010001000000000110010001010000001011010001010000111001100000100000101101100000000011001000100000101000000101101000000000000000010111000000000110010001010000111001100000100000101101000100000000011001000101000000101101000101000011100110000010000010110110000000001100100010000010100000010110100000000000000001011100000000011001000101000011100110000010000010110100010000000001100100010100000010110100010100001110011000001000001011011000000000110010001000001010000001011010000000000000000101110000000001100100010100001110011000001000001011010001000000000110010001010000001011010001010000111001100000100000101101100000000011001000100000101000000101101000000000000000010111000000000110010001010000111001100000100000101101000100000000011001000101000000101101000101000011100110000010000010110110000000001100100010000010100000010110100000000000000001011100000000011001000101000011100110000010000010110100010000000001100100010100000010110100010100001110011000001000001011011000000000110010001000001010000001011010000000000000000101110000000001100100010100001110011000001000001011010001000000000110010001010000001011010001010000111001100000100000101101100000000011001000100000101000000101101000000000000000010111000000000110010001010000111001100000100000101101000100000000011001000101000000101101000101000011100110000010000010110110000000001100100010000010100000010110100000000000000001011100000000011001000101000011100110000010000010110100010000000001100100010100000010110100010100001110011000001000001011011000000000110010001000001010000001011010000000000000000101110000000001100100010100001110011000001000001011010001000000000110010001010000001011010001010000111001100000100000101101100000000011001000100000101000000101101000000000000000010111000000000110010001010000111001100000100000101101000100000000011001000101000000101101000101000011100110000010000010110110000000001100100010000010100000010110100000000000000001011100000000011001000101000011100110000010000010110100010000000001100100010100000010110100010100001110011000001000001011011000000000110010001000001010000001011010000000000000000101110000000001100100010100001110011000001000001011010001000000000110010001010000001011010001010000111001100000100000101101100000000011001000100000101000000101101000000000000000010111000000000110010001010000111001100000100000101101000100000000011001000101000000101101000101000011100110000010000010110110000000001100100010000010100000010110100000000000000001011100000000011001000101000011100110000010000010110100010000000001100100010100000010110100010100001110011000001000001011011000000000110010001000001010000001011010000000000000000101110000000001100100010100001110011000001000001011010001000000000110010001010000001011010001010000111001100000100000101101100000000011001000100000101000000101101000000000000000010111000000000110010001010000111001100000100000101101000100000000011001000101000000101101000101000011100110000010000010110110000000001100100010000010100000010110100000000000000001011100000000011001000101000011100110000010000010110100010000000001100100010100000010110100010100001110011000001000001011011000000000110010001000001010000001011010000000000000000101110000000001100100010100001110011000001000001011010001000000000110010001010000001011010001010000111001100000100000101101100000000011001000100000101000000101101000000000000000010111000000000110010001010000111001100000100000101101000100000000011001000101000000101101000101000011100110000010000010110110000000001100100010000010100000010110100000000000000001011100000000011001000101000011100110000010000010110100010000000001100100010100000010110100010100001110011000001000001011011000000000110010001000001010000001011010000000000000000101110000000001100100010100001110011000001000001011010001000000000110010001010000001011010001010000111001100000100000101101100000000011001000100000101000000101101000000000000000010111000000000110010001010000111001100000100000101101000100000000011001000101000000101101000101000011100110000010000010110110000000001100100010000010100000010110100000000000000001011100000000011001000101000011100110000010000010110100010000000001100100010100000010110100010100001110011000001000001011011000000000110010001000001010000001011010000000000000000101110000000001100100010100001110011000001000001011010001000000000110010001010000001011010001010000111001100000100000101101100000000011001000100000101000000101101000000000000000010111000000000110010001010000111001100000100000101101000100000000011001000101000000101101000101000011100110000010000010110110000000001100100010000010100000010110100000000000000001011100000000011001000101000011100110000010000010110100010000000001100100010100000010110100010100001110011000001000001011011000000000110010001000001010000001011010000000000000000101110000000001100100010100001110011000001000001011010001000000000110010001010000001011010001010000111001100000100000101101100000000011001000100000101000000101101000000000000000010111000000000110010001010000111001100000100000101101000100000000011001000101000000101101000101000011100110000010000010110110000000001100100010000010100000010110100000000000000001011100000000011001000101000011100110000010000010110100010000000001100100010100000010110100010100001110011000001000001011011000000000110010001000001010000001011010000000000000000101110000000001100100010100001110011000001000001011010001000000000110010001010000001011010001010000111001100000100000101101100000000011001000100000101000000101101000000000000000010111000000000110010001010000111001100000100000101101000100000000011001000101000000101101000101000011100110000010000010110110000000001100100010000010100000010110100000000000000001011100000000011001000101000011100110000010000010110100010000000001100100010100000010110100010100001110011000001000001011011000000000110010001000001010000001011010000000000000000101110000000001100100010100001110011000001000001011010001000000000110010001010000001011010001010000111001100000100000101101100000000011001000100000101000000101101000000000000000010111000000000110010001010000111001100000100000101101000100000000011001000101000000101101000101000011100110000010000010110110000000001100100010000010100000010110100000000000000001011100000000011001000101000011100110000010000010110100010000000001100100010100000010110100010100001110011000001000001011011000000000110010001000001010000001011010000000000000000101110000000001100100010100001110011000001000001011010001000000000110010001010000001011010001010000111001100000100000101101100000000011001000100000101000000101101000000000000000010111000000000110010001010000111001100000100000101101000100000000011001000101000000101101000101000011100110000010000010110110000000001100100010000010100000010110100000000000000001011100000000011001000101000011100110000010000010110100010000000001100100010100000010110100010100001110011000001000001011011000000000110010001000001010000001011010000000000000000101110000000001100100010100001110011000001000001011010001000000000110010001010000001011010001010000111001100000100000101101100000000011001000100000101000000101101000000000000000010111000000000110010001010000111001100000100000101101000100000000011001000101000000101101000101000011100110000010000010110110000000001100100010000010100000010110100000000000000001011100000000011001000101000011100110000010000010110100010000000001100100010100000010110100010100001110011000001000001011011000000000110010001000001010000001011010000000000000000101110000000001100100010100001110011000001000001011010001000000000110010001010000001011010001010000111001100000100000101101100000000011001000100000101000000101101000000000000000010111000000000110010001010000111001100000100000101101000100000000011001000101000000101101000101000011100110000010000010110110000000001100100010000010100000010110100000000000000001011100000000011001000101000011100110000010000010110100010000000001100100010100000010110100010100001110011000001000001011011000000000110010001000001010000001011010000000000000000101110000000001100100010100001110011000001000001011010001000000000110010001010000001011010001010000111001100000100000101101100000000011001000100000101000000101101000000000000000010111000000000110010001010000111001100000100000101101000100000000011001000101000000101101000101000011100110000010000010110110000000001100100010000010100000010110100000000000000001011100000000011001000101000011100110000010000010110100010000000001100100010100000010110100010100001110011000001000001011011000000000110010001000001010000001011010000000000000000101110000000001100100010100001110011000001000001011010001000000000110010001010000001011010001010000111001100000100000101101100000000011001000100000101000000101101000000000000000010111000000000110010001010000111001100000100000101101000100000000011001000101000000101101000101000011100110000010000010110110000000001100100010000010100000010110100000000000000001011100000000011001000101000011100110000010000010110100010000000001100100010100000010110100010100001110011000001000001011011000000000110010001000001010000001011010000000000000000101110000000001100100010100001110011000001000001011010001000000000110010001010000001011010001010000111001100000100000101101100000000011001000100000101000000101101000000000000000010111000000000110010001010000111001100000100000101101000100000000011001000101000000101101000101000011100110000010000010110110000000001100100010000010100000010110100000000000000001011100000000011001000101000011100110000010000010110100010000000001100100010100000010110100010100001110011000001000001011011000000000110010001000001010000001011010000000000000000101110000000001100100010100001110011000001000001011010001000000000110010001010000001011010001010000111001100000100000101101100000000011001000100000101000000101101000000000000000010111000000000110010001010000111001100000100000101101000100000000011001000101000000101101000101000011100110000010000010110110000000001100100010000010100000010110100000000000000001011100000000011001000101000011100110000010000010110100010000000001100100010100000010110100010100001110011000001000001011011000000000110010001000001010000001011010000000000000000101110000000001100100010100001110011000001000001011010001000000000110010001010000001011010001010000111001100000100000101101100000000011001000100000101000000101101000000000000000010111000000000110010001010000111001100000100000101101000100000000011001000101000000101101000101000011100110000010000010110110000000001100100010000010100000010110100000000000000001011100000000011001000101000011100110000010000010110100010000000001100100010100000010110100010100001110011000001000001011011000000000110010001000001010000001011010000000000000000101110000000001100100010100001110011000001000001011010001000000000110010001010000001011010001010000111001100000100000101101100000000011001000100000101000000101101000000000000000010111000000000110010001010000111001100000100000101101000100000000011001000101000000101101000101000011100110000010000010110110000000001100100010000010100000010110100000000000000001011100000000011001000101000011100110000010000010110100010000000001100100010100000010110100010100001110011000001000001011011000000000110010001000001010000001011010000000000000000101110000000001100100010100001110011000001000001011010001000000000110010001010000001011010001010000111001100000100000101101100000000011001000100000101000000101101000000000000000010111000000000110010001010000111001100000100000101101000100000000011001000101000000101101000101000011100110000010000010110110000000001100100010000010100000010110100000000000000001011100000000011001000101000011100110000010000010110100010000000001100100010100000010110100010100001110011000001000001011011000000000110010001000001010000001011010000000000000000101110000000001100100010100001110011000001000001011010001000000000110010001010000001011010001010000111001100000100000101101100000000011001000100000101000000101101000000000000000010111000000000110010001010000111001100000100000101101000100000000011001000101000000101101000101000011100110000010000010110110000000001100100010000010100000010110100000000000000001011100000000011001000101000011100110000010000010110100010000000001100100010100000010110100010100001110011000001000001011011000000000110010001000001010000001011010000000000000000101110000000001100100010100001111011000001000001011010001000000000110010101010000001011010001010000111101100000100000101101100000000011001010100000101000000101101000000000000000010111000000000110010001010000111101100000100000101101000100000000011001010101000000101101000101000011110110000010000010110110000000001100101000000010100000010110101000001011011000011100000000011001000101000011110110000010000010110100010000000001100101010100000010110100010100001111011000001000001011011000000000110010100000001010000001011010000000000000000101110000000001010010010100001111011000001000001011010001000000000110010101010000001011010001010000111101100000100000101101100000000011001010000000101000000101101000000000000000010111000000000101001101010000111101100000100000101101000100000000010100110101000000101101010101000011110110000010000010110110000000001010011000000010100000010110100000000000000001011100000000010100100101000011110110000010000010110100010000000001010010010100000010110100010100001111011000001000001011011000000000101001001000001010000001011010000000000000000101110000000001010010010100001111011000001000001011010001000000000101001001010000001011010001010000111101100000100000101101100000000010100100100000101000000101101000000000000000010111000000000101001001010000111101100000100000101101000100000000010100100101000000101101000101000011110110000010000010110110000000001010010010000010100000010110100000000000000001011100000000010100100101000011110110000010000010110100010000000001010010010100000010110100010100001111011000001000001011011000000000101001001000001010000001011010000000000000000101110000000001010010010100001111011000001000001011010001000000000101001001010000001011010001010000111101100000100000101101100000000010100100100000101000000101101000000000000000010111000000000101001001010000111101100000100000101101000100000000010100100101000000101101000101000011110110000010000010110110000000001010010010000010100000010110100000000000000001011100000000010100100101000011110110000010000010110100010000000001010010010100000010110100010100001111011000001000001011011000000000101001001000001010000001011010000000000000000101110000000001010010010100001111011000001000001011010001000000000101001001010000001011010001010000111101100000100000101101100000000010100100100000101000000101101000000000000000010111000000000101001001010000111101100000100000101101000100000000010100100101000000101101000101000011110110000010000010110110000000001010010010000010100000010110100000000000000001011100000000010100100101000011110110000010000010110100010000000001010010010100000010110100010100001111011000001000001011011000000000101001001000001010000001011010000000000000000101110000000001010010010100001111011000001000001011010001000000000101001001010000001011010001010000111101100000100000101101100000000010100100100000101000000101101000000000000000010111000000000101001001010000111101100000100000101101000100000000010100100101000000101101000101000011110110000010000010110110000000001010010010000010100000010110100000000000000001011100000000010100100101000011110110000010000010110100010000000001010010010100000010110100010100001111011000001000001011011000000000101001001000001010000001011010000000000000000101110000000001010010010100001111011000001000001011010001000000000101001001010000001011010001010000111101100000100000101101100000000010100100100000101000000101101000000000000000010111000000000101001001010000111101100000100000101101000100000000010100100101000000101101000101000011110110000010000010110110000000001010010010000010100000010110100000000000000001011100000000010100100101000011110110000010000010110100010000000001010010010100000010110100010100001111011000001000001011011000000000101001001000001010000001011010000000000000000101110000000001010010010100001111011000001000001011010001000000000101001001010000001011010001010000111101100000100000101101100000000010100100100000101000000101101000000000000000010111000000000101001001010000111101100000100000101101000100000000010100100101000000101101000101000011110110000010000010110110000000001010010010000010100000010110100000000000000001011100000000010100100101000011110110000010000010110100010000000001010010010100000010110100010100001111011000001000001011011000000000101001001000001010000001011010000000000000000101110000000001010010010100001111011000001000001011010001000000000101001001010000001011010001010000111101100000100000101101100000000010100100100000101000000101101000000000000000010111000000000101001001010000111101100000100000101101000100000000010100100101000000101101000101000011110110000010000010110110000000001010010010000010100000010110100000000000000001011100000000010100100101000011110110000010000010110100010000000001010010010100000010110100010100001111011000001000001011011000000000101001001000001010000001011010000000000000000101110000000001010010010100001111011000001000001011010001000000000101001001010000001011010001010000111101100000100000101101100000000010100100100000101000000101101000000000000000010111000000000101001001010000111101100000100000101101000100000000010100100101000000101101000101000011110110000010000010110110000000001010010010000010100000010110100000000000000001011100000000010100100101000011110110000010000010110100010000000001010010010100000010110100010100001111011000001000001011011000000000101001001000001010000001011010000000000000000101110000000001010010010100001111011000001000001011010001000000000101001001010000001011010001010000111101100000100000101101100000000010100100100000101000000101101000000000000000010111000000000101001001010000111101100000100000101101000100000000010100100101000000101101000101000011110110000010000010110110000000001010010010000010100000010110100000000000000001011100000000010100100101000011110110000010000010110100010000000001010010010100000010110100010100001111011000001000001011011000000000101001001000001010000001011010000000000000000101110000000001010010010100001111011000001000001011010001000000000101001001010000001011010001010000111101100000100000101101100000000010100100100000101000000101101000000000000000010111000000000101001001010000111101100000100000101101000100000000010100100101000000101101000101000011110110000010000010110110000000001010010010000010100000010110100000000000000001011100000000010100100101000011110110000010000010110100010000000001010010010100000010110100010100001111011000001000001011011000000000101001001000001010000001011010000000000000000101110000000001010010010100001111011000001000001011010001000000000101001001010000001011010001010000111101100000100000101101100000000010100100100000101000000101101000000000000000010111000000000101001001010000111001100000101000101101000100000000010100111000000000110010000101000011100110000010100010110110000000001010011010000100000000011001000000000000000001011100000000010100100101000011100110000010100010110100010000000001010011100000000011001000010100001110011000001010001011011000000000101001100000010000000001100100000010101101100001110000000001010010010100001110011000001010001011010001000000000101001110000000001100100001010000111001100000101000101101100000000010100110000001000000000110010000000000000000010111111100010111101001010000111001100000101000101101000100000000010100111000000000110010000101000011100110000010100010110110000000001010011000000100000000011001000000000000000001011111110001011110110101000011100110000010100010110100011111000101111011100000000011001001010100001110011000001010001011011111100010111101100000010000000001100100000000000000000101111111000101111010010100001110011000001010001011010001111100010111101010000000001100100001010000111001100000101000101101111110001011110100100001000000000110010000000000000000010111111100010111101001010000111001100000101000101101000111110001011110101000000000110010000101000011100110000010100010110111111000101111010010000100000000011001000000000000000001011111110001011110100101000011100110000010100010110100011111000101111010100000000011001000010100001110011000001010001011011111100010111101001000010000000001100100000000000000000101111111000101111010010100001110011000001010001011010001111100010111101010000000001100100001010000111001100000101000101101111110001011110100100001000000000110010000000000000000010111111100010111101001010000111001100000101000101101000111110001011110101000000000110010000101000011100110000010100010110111111000101111010010000100000000011001000000000000000001011111110001011110100101000011100110000010100010110100011111000101111010100000000011001000010100001110011000001010001011011111100010111101001000010000000001100100000000000000000101111111000101111010010100001110011000001010001011010001111100010111101010000000001100100001010000111001100000101000101101111110001011110100100001000000000110010000000000000000010111111100010111101001010000111001100000101000101101000111110001011110101000000000110010000101000011100110000010100010110111111000101111010010000100000000011001000000000000000001011111110001011110100101000011100110000010100010110100011111000101111010100000000011001000010100001110011000001010001011011111100010111101001000010000000001100100000000000000000101111111000101111010010100001110011000001010001011010001111100010111101010000000001100100001010000111001100000101000101101111110001011110100100001000000000110010000000000000000010111111100010111101001010000111001100000101000101101000111110001011110101000000000110010000101000011100110000010100010110111111000101111010010000100000000011001000000000000000001011111110001011110100101000011100110000010100010110100011111000101111010100000000011001000010100001110011000001010001011011111100010111101001000010000000001100100000000000000000101111111000101111010010100001110011000001010001011010001111100010111101010000000001100100001010000111001100000101000101101111110001011110100100001000000000110010000000000000000010111111100010111101001010000111001100000101000101101000111110001011110101000000000110010000101000011100110000010100010110111111000101111010010000100000000011001000000000000000001011111110001011110100101000011100110000010100010110100011111000101111010100000000011001000010100001110011000001010001011011111100010111101001000010000000001100100000000000000000101111111000101111010010100001110011000001010001011010001111100010111101010000000001100100001010000111001100000101000101101111110001011110100100001000000000110010000000000000000010111111100010111101001010000111001100000101000101101000111110001011110101000000000110010000101000011100110000010100010110111111000101111010010000100000000011001000000000000000001011111110001011110100101000011100110000010100010110100011111000101111010100000000011001000010100001110011000001010001011011111100010111101001000010000000001100100000000000000000101111111000101111010010100001110011000001010001011010001111100010111101010000000001100100001010000111001100000101000101101111110001011110100100001000000000110010000000000000000010111111100010111101001010000111001100000101000101101000111110001011110101000000000110010000101000011100110000010100010110111111000101111010010000100000000011001000000000000000001011111110001011110100101000011100110000010100010110100011111000101111010100000000011001000010100001110011000001010001011011111100010111101001000010000000001100100000000000000000101111111000101111010010100001110011000001010001011010001111100010111101010000000001100100001010000111001100000101000101101111110001011110100100001000000000110010000000000000000010111111100010111101001010000111001100000101000101101000111110001011110101000000000110010000101000011100110000010100010110111111000101111010010000100000000011001000000000000000001011111110001011110100101000011100110000010100010110100011111000101111010100000000011001000010100001110011000001010001011011111100010111101001000010000000001100100000000000000000101111111000101111010010100001110011000001010001011010001111100010111101010000000001100100001010000111001100000101000101101111110001011110100100001000000000110010000000000000000010111111100010111101001010000111001100000101000101101000111110001011110101000000000110010000101000011100110000010100010110111111000101111010010000100000000011001000000000000000001011111110001011110100101000011100110000010100010110100011111000101111010100000000011001000010100001110011000001010001011011111100010111101001000010000000001100100000000000000000101111111000101111010010100001110011000001010001011010001111100010111101010000000001100100001010000111001100000101000101101111110001011110100100001000000000110010000000000000000010111111100010111101001010000111001100000101000101101000111110001011110101000000000110010000101000011100110000010100010110111111000101111010010000100000000011001000000000000000001011111110001011110100101000011100110000010100010110100011111000101111010100000000011001000010100001110011000001010001011011111100010111101001000010000000001100100000000000000000101111111000101111010010100001110011000001010001011010001111100010111101010000000001100100001010000111001100000101000101101111110001011110100100001000000000110010000000000000000010111111100010111101001010000111001100000101000101101000111110001011110101000000000110010000101000011100110000010100010110111111000101111010010000100000000011001000000000000000001011111110001011110100101000011110110000010100010110100011111000101111011100000000010100100010100001111011000001010001011011111100010111101101000010000000001010010000000000000000101111111000101111010010100001111011000001010001011010001111100010111101110000000001010010001010000111101100000101000101101111110001011110110000001000000000101001010001010110110000111111100010111101001010000111101100000101000101101000111110001011110111000000000101001000101000011110110000010100010110111111000101111011000000100000000010100100000000000000001011011100010011111000101000011110110000010100010110100011111000101111011100000000010100100010100001111011000001010001011011111100010111101100000010000000001010010000000000000000101101110001001111101010100001111011000001010001011010000111000100111110110000000001010010101010000111101100000101000101101011100010011111010000001000000000101001000000000000000010110111000100111110001010000111101100000101000101101000011100010011111001000000000101001000101000011110110000010100010110101110001001111100010000100000000010100100000000000000001011011100010011111000101000011110110000010100010110100001110001001111100100000000010100100010100001111011000001010001011010111000100111110001000010000000001010010000000000000000101101110001001111100010100001111011000001010001011010000111000100111110010000000001010010001010000111101100000101000101101011100010011111000100001000000000101001000000000000000010110111000100111110001010000111101100000101000101101000011100010011111001000000000101001000101000011110110000010100010110101110001001111100010000100000000010100100000000000000001011011100010011111000101000011110110000010100010110100001110001001111100100000000010100100010100001111011000001010001011010111000100111110001000010000000001010010000000000000000101101110001001111100010100001111011000001010001011010000111000100111110010000000001010010001010000111101100000101000101101011100010011111000100001000000000101001000000000000000010110111000100111110001010000111101100000101000101101000011100010011111001000000000101001000101000011110110000010100010110101110001001111100010000100000000010100100000000000000001011011100010011111000101000011110110000010100010110100001110001001111100100000000010100100010100001111011000001010001011010111000100111110001000010000000001010010000000000000000101101110001001111100010100001111011000001010001011010000111000100111110010000000001010010001010000111101100000101000101101011100010011111000100001000000000101001000000000000000010110111000100111110001010000111101100000101000101101000011100010011111001000000000101001000101000011110110000010100010110101110001001111100010000100000000010100100000000000000001011011100010011111000101000011110110000010100010110100001110001001111100100000000010100100010100001111011000001010001011010111000100111110001000010000000001010010000000000000000101101110001001111100010100001111011000001010001011010000111000100111110010000000001010010001010000111101100000101000101101011100010011111000100001000000000101001000000000000000010110111000100111110001010000111101100000101000101101000011100010011111001000000000101001000101000011110110000010100010110101110001001111100010000100000000010100100000000000000001011011100010011111000101000011110110000010100010110100001110001001111100100000000010100100010100001111011000001010001011010111000100111110001000010000000001010010000000000000000101101110001001111100010100001111011000001010001011010000111000100111110010000000001010010001010000111101100000101000101101011100010011111000100001000000000101001000000000000000010110111000100111110001010000111101100000101000101101000011100010011111001000000000101001000101000011110110000010100010110101110001001111100010000100000000010100100000000000000001011011100010011111000101000011110110000010100010110100001110001001111100100000000010100100010100001111011000001010001011010111000100111110001000010000000001010010000000000000000101101110001001111100010100001111011000001010001011010000111000100111110010000000001010010001010000111101100000101000101101011100010011111000100001000000000101001000000000000000010110111000100111110001010000111101100000101000101101000011100010011111001000000000101001000101000011110110000010100010110101110001001111100010000100000000010100100000000000000001011011100010011111000101000011110110000010100010110100001110001001111100100000000010100100010100001111011000001010001011010111000100111110001000010000000001010010000000000000000101101110001001111100010100001111011000001010001011010000111000100111110010000000001010010001010000111101100000101000101101011100010011111000100001000000000101001000000000000000010110111000100111110001010000111101100000101000101101000011100010011111001000000000101001000101000011110110000010100010110101110001001111100010000100000000010100100000000000000001011011100010011111000101000011110110000010100010110100001110001001111100100000000010100100010100001111011000001010001011010111000100111110001000010000000001010010000000000000000101101110001001111100010100001111011000001010001011010000111000100111110010000000001010010001010000111101100000101000101101011100010011111000100001000000000101001000000000000000010110111000100111110001010000111101100000101000101101000011100010011111001000000000101001000101000011110110000010100010110101110001001111100010000100000000010100100000000000000001011011100010011111000101000011110110000010100010110100001110001001111100100000000010100100010100001111011000001010001011010111000100111110001000010000000001010010000000000000000101101110001001111100010100001111011000001010001011010000111000100111110010000000001010010001010000111101100000101000101101011100010011111000100001000000000101001000000000000000010110111000100111110001010000111101100000101000101101000011100010011111001000000000101001000101000011110110000010100010110101110001001111100010000100000000010100100000000000000001011011100010011111000101000011110110000010100010110100001110001001111100100000000010100100010100001111011000001010001011010111000100111110001000010000000001010010000000000000000101101110001001111100010100001111011000001010001011010000111000100111110010000000001010010001010000111101100000101000101101011100010011111000100001000000000101001000000000000000010110111000100111110001010000111101100000101000101101000011100010011111001000000000101001000101000011110110000010100010110101110001001111100010000100000000010100100000000000000001011011100010011111000101000011100110000010000110110100001110001001111101111110001011110100010100001110011000001000011011010111000100111110101000011111000101111010000000000000000101101110001001111100010100001110011000001000011011010000111000100111110111111000101111010001010000111001100000100001101101011100010011111010000001111100010111101000000110110110000110111000100111110001010000111001100000100001101101000011100010011111011111100010111101000101000011100110000010000110110101110001001111101000000111110001011110100000000000000001011000100010000100100101000011100110000010000110110100001110001001111101111110001011110100010100001110011000001000011011010111000100111110100000011111000101111010000000000000000101100010001000010011010100001110011000001000011011010000001000100001001111111000101111010101010000111001100000100001101101000100010000100110000001111100010111101000000000000000010110001000100001001001010000111001100000100001101101000000100010000100101111100010111101000101000011100110000010000110110100010001000010010010000111110001011110100000000000000001011000100010000100100101000011100110000010000110110100000010001000010010111110001011110100010100001110011000001000011011010001000100001001001000011111000101111010000000000000000101100010001000010010010100001110011000001000011011010000001000100001001011111000101111010001010000111001100000100001101101000100010000100100100001111100010111101000000000000000010110001000100001001001010000111001100000100001101101000000100010000100101111100010111101000101000011100110000010000110110100010001000010010010000111110001011110100000000000000001011000100010000100100101000011100110000010000110110100000010001000010010111110001011110100010100001110011000001000011011010001000100001001001000011111000101111010000000000000000101100010001000010010010100001110011000001000011011010000001000100001001011111000101111010001010000111001100000100001101101000100010000100100100001111100010111101000000000000000010110001000100001001001010000111001100000100001101101000000100010000100101111100010111101000101000011100110000010000110110100010001000010010010000111110001011110100000000000000001011000100010000100100101000011100110000010000110110100000010001000010010111110001011110100010100001110011000001000011011010001000100001001001000011111000101111010000000000000000101100010001000010010010100001110011000001000011011010000001000100001001011111000101111010001010000111001100000100001101101000100010000100100100001111100010111101000000000000000010110001000100001001001010000111001100000100001101101000000100010000100101111100010111101000101000011100110000010000110110100010001000010010010000111110001011110100000000000000001011000100010000100100101000011100110000010000110110100000010001000010010111110001011110100010100001110011000001000011011010001000100001001001000011111000101111010000000000000000101100010001000010010010100001110011000001000011011010000001000100001001011111000101111010001010000111001100000100001101101000100010000100100100001111100010111101000000000000000010110001000100001001001010000111001100000100001101101000000100010000100101111100010111101000101000011100110000010000110110100010001000010010010000111110001011110100000000000000001011000100010000100100101000011100110000010000110110100000010001000010010111110001011110100010100001110011000001000011011010001000100001001001000011111000101111010000000000000000101100010001000010010010100001110011000001000011011010000001000100001001011111000101111010001010000111001100000100001101101000100010000100100100001111100010111101000000000000000010110001000100001001001010000111001100000100001101101000000100010000100101111100010111101000101000011100110000010000110110100010001000010010010000111110001011110100000000000000001011000100010000100100101000011100110000010000110110100000010001000010010111110001011110100010100001110011000001000011011010001000100001001001000011111000101111010000000000000000101100010001000010010010100001110011000001000011011010000001000100001001011111000101111010001010000111001100000100001101101000100010000100100100001111100010111101000000000000000010110001000100001001001010000111001100000100001101101000000100010000100101111100010111101000101000011100110000010000110110100010001000010010010000111110001011110100000000000000001011000100010000100100101000011100110000010000110110100000010001000010010111110001011110100010100001110011000001000011011010001000100001001001000011111000101111010000000000000000101100010001000010010010100001110011000001000011011010000001000100001001011111000101111010001010000111001100000100001101101000100010000100100100001111100010111101000000000000000010110001000100001001001010000111001100000100001101101000000100010000100101111100010111101000101000011100110000010000110110100010001000010010010000111110001011110100000000000000001011000100010000100100101000011100110000010000110110100000010001000010010111110001011110100010100001110011000001000011011010001000100001001001000011111000101111010000000000000000101100010001000010010010100001110011000001000011011010000001000100001001011111000101111010001010000111001100000100001101101000100010000100100100001111100010111101000000000000000010110001000100001001001010000111001100000100001101101000000100010000100101111100010111101000101000011100110000010000110110100010001000010010010000111110001011110100000000000000001011000100010000100100101000011100110000010000110110100000010001000010010111110001011110100010100001110011000001000011011010001000100001001001000011111000101111010000000000000000101100010001000010010010100001110011000001000011011010000001000100001001011111000101111010001010000111001100000100001101101000100010000100100100001111100010111101000000000000000010110001000100001001001010000111001100000100001101101000000100010000100101111100010111101000101000011100110000010000110110100010001000010010010000111110001011110100000000000000001011000100010000100100101000011100110000010000110110100000010001000010010111110001011110100010100001110011000001000011011010001000100001001001000011111000101111010000000000000000101100010001000010010010100001110011000001000011011010000001000100001001011111000101111010001010000111001100000100001101101000100010000100100100001111100010111101000000000000000010110001000100001001001010000111001100000100001101101000000100010000100101111100010111101000101000011100110000010000110110100010001000010010010000111110001011110100000000000000001011000100010000100100101000011100110000010000110110100000010001000010010111110001011110100010100001110011000001000011011010001000100001001001000011111000101111010000000000000000101100010001000010010010100001111011000001000011011010000001000100001001101110001001111100001010000111101100000100001101101000100010000100110100000111000100111110000000000000000010110001000100001001001010000111101100000100001101101000000100010000100110111000100111110000101000011110110000010000110110100010001000010011000000011100010011111001000011011011000011000100010000100100101000011110110000010000110110100000010001000010011011100010011111000010100001111011000001000011011010001000100001001100000001110001001111100000000000000000101101101110001000110010100001111011000001000011011010000001000100001001101110001001111100001010000111101100000100001101101000100010000100110000000111000100111110000000000000000010110110111000100011101010000111101100000100001101101000011011100010001110111000100111110010101000011110110000010000110110101101110001000111000000011100010011111000000000000000001011011011100010001100101000011110110000010000110110100001101110001000110011100010011111000010100001111011000001000011011010110111000100011001000001110001001111100000000000000000101101101110001000110010100001111011000001000011011010000110111000100011001110001001111100001010000111101100000100001101101011011100010001100100000111000100111110000000000000000010110110111000100011001010000111101100000100001101101000011011100010001100111000100111110000101000011110110000010000110110101101110001000110010000011100010011111000000000000000001011011011100010001100101000011110110000010000110110100001101110001000110011100010011111000010100001111011000001000011011010110111000100011001000001110001001111100000000000000000101101101110001000110010100001111011000001000011011010000110111000100011001110001001111100001010000111101100000100001101101011011100010001100100000111000100111110000000000000000010110110111000100011001010000111101100000100001101101000011011100010001100111000100111110000101000011110110000010000110110101101110001000110010000011100010011111000000000000000001011011011100010001100101000011110110000010000110110100001101110001000110011100010011111000010100001111011000001000011011010110111000100011001000001110001001111100000000000000000101101101110001000110010100001111011000001000011011010000110111000100011001110001001111100001010000111101100000100001101101011011100010001100100000111000100111110000000000000000010110110111000100011001010000111101100000100001101101000011011100010001100111000100111110000101000011110110000010000110110101101110001000110010000011100010011111000000000000000001011011011100010001100101000011110110000010000110110100001101110001000110011100010011111000010100001111011000001000011011010110111000100011001000001110001001111100000000000000000101101101110001000110010100001111011000001000011011010000110111000100011001110001001111100001010000111101100000100001101101011011100010001100100000111000100111110000000000000000010110110111000100011001010000111101100000100001101101000011011100010001100111000100111110000101000011110110000010000110110101101110001000110010000011100010011111000000000000000001011011011100010001100101000011110110000010000110110100001101110001000110011100010011111000010100001111011000001000011011010110111000100011001000001110001001111100000000000000000101101101110001000110010100001111011000001000011011010000110111000100011001110001001111100001010000111101100000100001101101011011100010001100100000111000100111110000000000000000010110110111000100011001010000111101100000100001101101000011011100010001100111000100111110000101000011110110000010000110110101101110001000110010000011100010011111000000000000000001011011011100010001100101000011110110000010000110110100001101110001000110011100010011111000010100001111011000001000011011010110111000100011001000001110001001111100000000000000000101101101110001000110010100001111011000001000011011010000110111000100011001110001001111100001010000111101100000100001101101011011100010001100100000111000100111110000000000000000010110110111000100011001010000111101100000100001101101000011011100010001100111000100111110000101000011110110000010000110110101101110001000110010000011100010011111000000000000000001011011011100010001100101000011110110000010000110110100001101110001000110011100010011111000010100001111011000001000011011010110111000100011001000001110001001111100000000000000000101101101110001000110010100001111011000001000011011010000110111000100011001110001001111100001010000111101100000100001101101011011100010001100100000111000100111110000000000000000010110110111000100011001010000111101100000100001101101000011011100010001100111000100111110000101000011110110000010000110110101101110001000110010000011100010011111000000000000000001011011011100010001100101000011110110000010000110110100001101110001000110011100010011111000010100001111011000001000011011010110111000100011001000001110001001111100000000000000000101101101110001000110010100001111011000001000011011010000110111000100011001110001001111100001010000111101100000100001101101011011100010001100100000111000100111110000000000000000010110110111000100011001010000111101100000100001101101000011011100010001100111000100111110000101000011110110000010000110110101101110001000110010000011100010011111000000000000000001011011011100010001100101000011110110000010000110110100001101110001000110011100010011111000010100001111011000001000011011010110111000100011001000001110001001111100000000000000000101101101110001000110010100001111011000001000011011010000110111000100011001110001001111100001010000111101100000100001101101011011100010001100100000111000100111110000000000000000010110110111000100011001010000111101100000100001101101000011011100010001100111000100111110000101000011110110000010000110110101101110001000110010000011100010011111000000000000000001011011011100010001100101000011110110000010000110110100001101110001000110011100010011111000010100001111011000001000011011010110111000100011001000001110001001111100000000000000000101101101110001000110010100001111011000001000011011010000110111000100011001110001001111100001010000111101100000100001101101011011100010001100100000111000100111110000000000000000010110110111000100011001010000111101100000100001101101000011011100010001100111000100111110000101000011110110000010000110110101101110001000110010000011100010011111000000000000000001011011011100010001100101000011110110000010000110110100001101110001000110011100010011111000010100001111011000001000011011010110111000100011001000001110001001111100000000000000000101101101110001000110010100001111011000001000011011010000110111000100011001110001001111100001010000111101100000100001101101011011100010001100100000111000100111110000000000000000010110110111000100011001010000111001100000101001101101000011011100010001110001000100001001000101000011100110000010100110110101101110001000111010000000100010000100100000000000000001011011011100010001100101000011100110000010100110110100001101110001000111000100010000100100010100001110011000001010011011010110111000100011100000000010001000010010000011101101100001101101110001000110010100001110011000001010011011010000110111000100011100010001000010010001010000111001100000101001101101011011100010001110000000001000100001001000000000000000010110001010000010010001010000111001100000101001101101000011011100010001110001000100001001000101000011100110000010100110110101101110001000111000000000100010000100100000000000000001011000101000001001010101000011100110000010100110110100000010100000100101000100010000100101010100001110011000001010011011010001010000010010100000000010001000010010000000000000000101100010100000100100010100001110011000001010011011010000001010000010010000010001000010010001010000111001100000101001101101000101000001001000100000001000100001001000000000000000010110001010000010010001010000111001100000101001101101000000101000001001000001000100001001000101000011100110000010100110110100010100000100100010000000100010000100100000000000000001011000101000001001000101000011100110000010100110110100000010100000100100000100010000100100010100001110011000001010011011010001010000010010001000000010001000010010000000000000000101100010100000100100010100001110011000001010011011010000001010000010010000010001000010010001010000111001100000101001101101000101000001001000100000001000100001001000000000000000010110001010000010010001010000111001100000101001101101000000101000001001000001000100001001000101000011100110000010100110110100010100000100100010000000100010000100100000000000000001011000101000001001000101000011100110000010100110110100000010100000100100000100010000100100010100001110011000001010011011010001010000010010001000000010001000010010000000000000000101100010100000100100010100001110011000001010011011010000001010000010010000010001000010010001010000111001100000101001101101000101000001001000100000001000100001001000000000000000010110001010000010010001010000111001100000101001101101000000101000001001000001000100001001000101000011100110000010100110110100010100000100100010000000100010000100100000000000000001011000101000001001000101000011100110000010100110110100000010100000100100000100010000100100010100001110011000001010011011010001010000010010001000000010001000010010000000000000000101100010100000100100010100001110011000001010011011010000001010000010010000010001000010010001010000111001100000101001101101000101000001001000100000001000100001001000000000000000010110001010000010010001010000111001100000101001101101000000101000001001000001000100001001000101000011100110000010100110110100010100000100100010000000100010000100100000000000000001011000101000001001000101000011100110000010100110110100000010100000100100000100010000100100010100001110011000001010011011010001010000010010001000000010001000010010000000000000000101100010100000100100010100001110011000001010011011010000001010000010010000010001000010010001010000111001100000101001101101000101000001001000100000001000100001001000000000000000010110001010000010010001010000111001100000101001101101000000101000001001000001000100001001000101000011100110000010100110110100010100000100100010000000100010000100100000000000000001011000101000001001000101000011100110000010100110110100000010100000100100000100010000100100010100001110011000001010011011010001010000010010001000000010001000010010000000000000000101100010100000100100010100001110011000001010011011010000001010000010010000010001000010010001010000111001100000101001101101000101000001001000100000001000100001001000000000000000010110001010000010010001010000111001100000101001101101000000101000001001000001000100001001000101000011100110000010100110110100010100000100100010000000100010000100100000000000000001011000101000001001000101000011100110000010100110110100000010100000100100000100010000100100010100001110011000001010011011010001010000010010001000000010001000010010000000000000000101100010100000100100010100001110011000001010011011010000001010000010010000010001000010010001010000111001100000101001101101000101000001001000100000001000100001001000000000000000010110001010000010010001010000111001100000101001101101000000101000001001000001000100001001000101000011100110000010100110110100010100000100100010000000100010000100100000000000000001011000101000001001000101000011100110000010100110110100000010100000100100000100010000100100010100001110011000001010011011010001010000010010001000000010001000010010000000000000000101100010100000100100010100001110011000001010011011010000001010000010010000010001000010010001010000111001100000101001101101000101000001001000100000001000100001001000000000000000010110001010000010010001010000111001100000101001101101000000101000001001000001000100001001000101000011100110000010100110110100010100000100100010000000100010000100100000000000000001011000101000001001000101000011100110000010100110110100000010100000100100000100010000100100010100001110011000001010011011010001010000010010001000000010001000010010000000000000000101100010100000100100010100001110011000001010011011010000001010000010010000010001000010010001010000111001100000101001101101000101000001001000100000001000100001001000000000000000010110001010000010010001010000111001100000101001101101000000101000001001000001000100001001000101000011100110000010100110110100010100000100100010000000100010000100100000000000000001011000101000001001000101000011100110000010100110110100000010100000100100000100010000100100010100001110011000001010011011010001010000010010001000000010001000010010000000000000000101100010100000100100010100001110011000001010011011010000001010000010010000010001000010010001010000111001100000101001101101000101000001001000100000001000100001001000000000000000010110001010000010010001010000111001100000101001101101000000101000001001000001000100001001000101000011100110000010100110110100010100000100100010000000100010000100100000000000000001011000101000001001000101000011100110000010100110110100000010100000100100000100010000100100010100001110011000001010011011010001010000010010001000000010001000010010000000000000000101100010100000100100010100001110011000001010011011010000001010000010010000010001000010010001010000111001100000101001101101000101000001001000100000001000100001001000000000000000010110001010000010010001010000111001100000101001101101000000101000001001000001000100001001000101000011100110000010100110110100010100000100100010000000100010000100100000000000000001011000101000001001000101000011110110000010100110110100000010100000100101011011100010001100010100001111011000001010011011010001010000010010101000001101110001000110000000000000000101100010100000100100010100001111011000001010011011010000001010000010010101101110001000110001010000111101100000101001101101000101000001001010000000110111000100011010001110110110000110001010000010010001010000111101100000101001101101000000101000001001010110111000100011000101000011110110000010100110110100010100000100101000000011011100010001100000000000000001011111101111011001100101000011110110000010100110110100000010100000100101011011100010001100010100001111011000001010011011010001010000010010100000001101110001000110000000000000000101111110111101100111010100001111011000001010011011010001111011110110011101101110001000110101010000111101100000101001101101111101111011001110000000110111000100011000000000000000010111111011110110011001010000111101100000101001101101000111101111011001100110111000100011000101000011110110000010100110110111110111101100110010000011011100010001100000000000000001011111101111011001100101000011110110000010100110110100011110111101100110011011100010001100010100001111011000001010011011011111011110110011001000001101110001000110000000000000000101111110111101100110010100001111011000001010011011010001111011110110011001101110001000110001010000111101100000101001101101111101111011001100100000110111000100011000000000000000010111111011110110011001010000111101100000101001101101000111101111011001100110111000100011000101000011110110000010100110110111110111101100110010000011011100010001100000000000000001011111101111011001100101000011110110000010100110110100011110111101100110011011100010001100010100001111011000001010011011011111011110110011001000001101110001000110000000000000000101111110111101100110010100001111011000001010011011010001111011110110011001101110001000110001010000111101100000101001101101111101111011001100100000110111000100011000000000000000010111111011110110011001010000111101100000101001101101000111101111011001100110111000100011000101000011110110000010100110110111110111101100110010000011011100010001100000000000000001011111101111011001100101000011110110000010100110110100011110111101100110011011100010001100010100001111011000001010011011011111011110110011001000001101110001000110000000000000000101111110111101100110010100001111011000001010011011010001111011110110011001101110001000110001010000111101100000101001101101111101111011001100100000110111000100011000000000000000010111111011110110011001010000111101100000101001101101000111101111011001100110111000100011000101000011110110000010100110110111110111101100110010000011011100010001100000000000000001011111101111011001100101000011110110000010100110110100011110111101100110011011100010001100010100001111011000001010011011011111011110110011001000001101110001000110000000000000000101111110111101100110010100001111011000001010011011010001111011110110011001101110001000110001010000111101100000101001101101111101111011001100100000110111000100011000000000000000010111111011110110011001010000111101100000101001101101000111101111011001100110111000100011000101000011110110000010100110110111110111101100110010000011011100010001100000000000000001011111101111011001100101000011110110000010100110110100011110111101100110011011100010001100010100001111011000001010011011011111011110110011001000001101110001000110000000000000000101111110111101100110010100001111011000001010011011010001111011110110011001101110001000110001010000111101100000101001101101111101111011001100100000110111000100011000000000000000010111111011110110011001010000111101100000101001101101000111101111011001100110111000100011000101000011110110000010100110110111110111101100110010000011011100010001100000000000000001011111101111011001100101000011110110000010100110110100011110111101100110011011100010001100010100001111011000001010011011011111011110110011001000001101110001000110000000000000000101111110111101100110010100001111011000001010011011010001111011110110011001101110001000110001010000111101100000101001101101111101111011001100100000110111000100011000000000000000010111111011110110011001010000111101100000101001101101000111101111011001100110111000100011000101000011110110000010100110110111110111101100110010000011011100010001100000000000000001011111101111011001100101000011110110000010100110110100011110111101100110011011100010001100010100001111011000001010011011011111011110110011001000001101110001000110000000000000000101111110111101100110010100001111011000001010011011010001111011110110011001101110001000110001010000111101100000101001101101111101111011001100100000110111000100011000000000000000010111111011110110011001010000111101100000101001101101000111101111011001100110111000100011000101000011110110000010100110110111110111101100110010000011011100010001100000000000000001011111101111011001100101000011110110000010100110110100011110111101100110011011100010001100010100001111011000001010011011011111011110110011001000001101110001000110000000000000000101111110111101100110010100001111011000001010011011010001111011110110011001101110001000110001010000111101100000101001101101111101111011001100100000110111000100011000000000000000010111111011110110011001010000111101100000101001101101000111101111011001100110111000100011000101000011110110000010100110110111110111101100110010000011011100010001100000000000000001011111101111011001100101000011110110000010100110110100011110111101100110011011100010001100010100001111011000001010011011011111011110110011001000001101110001000110000000000000000101111110111101100110010100001111011000001010011011010001111011110110011001101110001000110001010000111101100000101001101101111101111011001100100000110111000100011000000000000000010111111011110110011001010000111101100000101001101101000111101111011001100110111000100011000101000011110110000010100110110111110111101100110010000011011100010001100000000000000001011111101111011001100101000011110110000010100110110100011110111101100110011011100010001100010100001111011000001010011011011111011110110011001000001101110001000110000000000000000101111110111101100110010100001111011000001010011011010001111011110110011001101110001000110001010000111101100000101001101101111101111011001100100000110111000100011000000000000000010111111011110110011001010000111101100000101001101101000111101111011001100110111000100011000101000011110110000010100110110111110111101100110010000011011100010001100000000000000001011111101111011001100101000011110110000010100110110100011110111101100110011011100010001100010100001111011000001010011011011111011110110011001000001101110001000110000000000000000101111110111101100110010100001110011000001000000111010001111011110110011100010100000100100001010000111001100000100000011101111101111011001110100000001010000010010000000000000000010111111011110110011001010000111001100000100000011101000111101111011001110001010000010010000101000011100110000010000001110111110111101100111000000000101000001001000000000111011000011111101111011001100101000011100110000010000001110100011110111101100111000101000001001000010100001110011000001000000111011111011110110011100000000010100000100100000000000000000101110000001110000100010100001110011000001000000111010001111011110110011100010100000100100001010000111001100000100000011101111101111011001110000000001010000010010000000000000000010111000000111000010101010000111001100000100000011101000100000011100001010001010000010010010101000011100110000010000001110110000001110000101000000000101000001001000000000000000001011100000011100001000101000011100110000010000001110100010000001110000100000101000001001000010100001110011000001000000111011000000111000010001000000010100000100100000000000000000101110000001110000100010100001110011000001000000111010001000000111000010000010100000100100001010000111001100000100000011101100000011100001000100000001010000010010000000000000000010111000000111000010001010000111001100000100000011101000100000011100001000001010000010010000101000011100110000010000001110110000001110000100010000000101000001001000000000000000001011100000011100001000101000011100110000010000001110100010000001110000100000101000001001000010100001110011000001000000111011000000111000010001000000010100000100100000000000000000101110000001110000100010100001110011000001000000111010001000000111000010000010100000100100001010000111001100000100000011101100000011100001000100000001010000010010000000000000000010111000000111000010001010000111001100000100000011101000100000011100001000001010000010010000101000011100110000010000001110110000001110000100010000000101000001001000000000000000001011100000011100001000101000011100110000010000001110100010000001110000100000101000001001000010100001110011000001000000111011000000111000010001000000010100000100100000000000000000101110000001110000100010100001110011000001000000111010001000000111000010000010100000100100001010000111001100000100000011101100000011100001000100000001010000010010000000000000000010111000000111000010001010000111001100000100000011101000100000011100001000001010000010010000101000011100110000010000001110110000001110000100010000000101000001001000000000000000001011100000011100001000101000011100110000010000001110100010000001110000100000101000001001000010100001110011000001000000111011000000111000010001000000010100000100100000000000000000101110000001110000100010100001110011000001000000111010001000000111000010000010100000100100001010000111001100000100000011101100000011100001000100000001010000010010000000000000000010111000000111000010001010000111001100000100000011101000100000011100001000001010000010010000101000011100110000010000001110110000001110000100010000000101000001001000000000000000001011100000011100001000101000011100110000010000001110100010000001110000100000101000001001000010100001110011000001000000111011000000111000010001000000010100000100100000000000000000101110000001110000100010100001110011000001000000111010001000000111000010000010100000100100001010000111001100000100000011101100000011100001000100000001010000010010000000000000000010111000000111000010001010000111001100000100000011101000100000011100001000001010000010010000101000011100110000010000001110110000001110000100010000000101000001001000000000000000001011100000011100001000101000011100110000010000001110100010000001110000100000101000001001000010100001110011000001000000111011000000111000010001000000010100000100100000000000000000101110000001110000100010100001110011000001000000111010001000000111000010000010100000100100001010000111001100000100000011101100000011100001000100000001010000010010000000000000000010111000000111000010001010000111001100000100000011101000100000011100001000001010000010010000101000011100110000010000001110110000001110000100010000000101000001001000000000000000001011100000011100001000101000011100110000010000001110100010000001110000100000101000001001000010100001110011000001000000111011000000111000010001000000010100000100100000000000000000101110000001110000100010100001110011000001000000111010001000000111000010000010100000100100001010000111001100000100000011101100000011100001000100000001010000010010000000000000000010111000000111000010001010000111001100000100000011101000100000011100001000001010000010010000101000011100110000010000001110110000001110000100010000000101000001001000000000000000001011100000011100001000101000011100110000010000001110100010000001110000100000101000001001000010100001110011000001000000111011000000111000010001000000010100000100100000000000000000101110000001110000100010100001110011000001000000111010001000000111000010000010100000100100001010000111001100000100000011101100000011100001000100000001010000010010000000000000000010111000000111000010001010000111001100000100000011101000100000011100001000001010000010010000101000011100110000010000001110110000001110000100010000000101000001001000000000000000001011100000011100001000101000011100110000010000001110100010000001110000100000101000001001000010100001110011000001000000111011000000111000010001000000010100000100100000000000000000101110000001110000100010100001110011000001000000111010001000000111000010000010100000100100001010000111001100000100000011101100000011100001000100000001010000010010000000000000000010111000000111000010001010000111001100000100000011101000100000011100001000001010000010010000101000011100110000010000001110110000001110000100010000000101000001001000000000000000001011100000011100001000101000011100110000010000001110100010000001110000100000101000001001000010100001110011000001000000111011000000111000010001000000010100000100100000000000000000101110000001110000100010100001110011000001000000111010001000000111000010000010100000100100001010000111001100000100000011101100000011100001000100000001010000010010000000000000000010111000000111000010001010000111001100000100000011101000100000011100001000001010000010010000101000011100110000010000001110110000001110000100010000000101000001001000000000000000001011100000011100001000101000011100110000010000001110100010000001110000100000101000001001000010100001110011000001000000111011000000111000010001000000010100000100100000000000000000101110000001110000100010100001111011000001000000111010001000000111000010111110111101100110001010000111101100000100000011101100000011100001010100001111011110110011000000000000000010111000000111000010001010000111101100000100000011101000100000011100001011111011110110011000101000011110110000010000001110110000001110000101000000111101111011001101000000111011000011100000011100001000101000011110110000010000001110100010000001110000101111101111011001100010100001111011000001000000111011000000111000010100000011110111101100110000000000000000101100010000110111100010100001111011000001000000111010001000000111000010111110111101100110001010000111101100000100000011101100000011100001010000001111011110110011000000000000000010110001000011011110101010000111101100000100000011101000000100001101111011111011110110011010101000011110110000010000001110100010000110111101000000111101111011001100000000000000001011000100001101111000101000011110110000010000001110100000010000110111100111101111011001100010100001111011000001000000111010001000011011110001000011110111101100110000000000000000101100010000110111100010100001111011000001000000111010000001000011011110011110111101100110001010000111101100000100000011101000100001101111000100001111011110110011000000000000000010110001000011011110001010000111101100000100000011101000000100001101111001111011110110011000101000011110110000010000001110100010000110111100010000111101111011001100000000000000001011000100001101111000101000011110110000010000001110100000010000110111100111101111011001100010100001111011000001000000111010001000011011110001000011110111101100110000000000000000101100010000110111100010100001111011000001000000111010000001000011011110011110111101100110001010000111101100000100000011101000100001101111000100001111011110110011000000000000000010110001000011011110001010000111101100000100000011101000000100001101111001111011110110011000101000011110110000010000001110100010000110111100010000111101111011001100000000000000001011000100001101111000101000011110110000010000001110100000010000110111100111101111011001100010100001111011000001000000111010001000011011110001000011110111101100110000000000000000101100010000110111100010100001111011000001000000111010000001000011011110011110111101100110001010000111101100000100000011101000100001101111000100001111011110110011000000000000000010110001000011011110001010000111101100000100000011101000000100001101111001111011110110011000101000011110110000010000001110100010000110111100010000111101111011001100000000000000001011000100001101111000101000011110110000010000001110100000010000110111100111101111011001100010100001111011000001000000111010001000011011110001000011110111101100110000000000000000101100010000110111100010100001111011000001000000111010000001000011011110011110111101100110001010000111101100000100000011101000100001101111000100001111011110110011000000000000000010110001000011011110001010000111101100000100000011101000000100001101111001111011110110011000101000011110110000010000001110100010000110111100010000111101111011001100000000000000001011000100001101111000101000011110110000010000001110100000010000110111100111101111011001100010100001111011000001000000111010001000011011110001000011110111101100110000000000000000101100010000110111100010100001111011000001000000111010000001000011011110011110111101100110001010000111101100000100000011101000100001101111000100001111011110110011000000000000000010110001000011011110001010000111101100000100000011101000000100001101111001111011110110011000101000011110110000010000001110100010000110111100010000111101111011001100000000000000001011000100001101111000101000011110110000010000001110100000010000110111100111101111011001100010100001111011000001000000111010001000011011110001000011110111101100110000000000000000101100010000110111100010100001111011000001000000111010000001000011011110011110111101100110001010000111101100000100000011101000100001101111000100001111011110110011000000000000000010110001000011011110001010000111101100000100000011101000000100001101111001111011110110011000101000011110110000010000001110100010000110111100010000111101111011001100000000000000001011000100001101111000101000011110110000010000001110100000010000110111100111101111011001100010100001111011000001000000111010001000011011110001000011110111101100110000000000000000101100010000110111100010100001111011000001000000111010000001000011011110011110111101100110001010000111101100000100000011101000100001101111000100001111011110110011000000000000000010110001000011011110001010000111101100000100000011101000000100001101111001111011110110011000101000011110110000010000001110100010000110111100010000111101111011001100000000000000001011000100001101111000101000011110110000010000001110100000010000110111100111101111011001100010100001111011000001000000111010001000011011110001000011110111101100110000000000000000101100010000110111100010100001111011000001000000111010000001000011011110011110111101100110001010000111101100000100000011101000100001101111000100001111011110110011000000000000000010110001000011011110001010000111101100000100000011101000000100001101111001111011110110011000101000011110110000010000001110100010000110111100010000111101111011001100000000000000001011000100001101111000101000011110110000010000001110100000010000110111100111101111011001100010100001111011000001000000111010001000011011110001000011110111101100110000000000000000101100010000110111100010100001111011000001000000111010000001000011011110011110111101100110001010000111101100000100000011101000100001101111000100001111011110110011000000000000000010110001000011011110001010000111101100000100000011101000000100001101111001111011110110011000101000011110110000010000001110100010000110111100010000111101111011001100000000000000001011000100001101111000101000011110110000010000001110100000010000110111100111101111011001100010100001111011000001000000111010001000011011110001000011110111101100110000000000000000101100010000110111100010100001111011000001000000111010000001000011011110011110111101100110001010000111101100000100000011101000100001101111000100001111011110110011000000000000000010110001000011011110001010000111101100000100000011101000000100001101111001111011110110011000101000011110110000010000001110100010000110111100010000111101111011001100000000000000001011000100001101111000101000011110110000010000001110100000010000110111100111101111011001100010100001111011000001000000111010001000011011110001000011110111101100110000000000000000101100010000110111100010100001111011000001000000111010000001000011011110011110111101100110001010000111101100000100000011101000100001101111000100001111011110110011000000000000000010110001000011011110001010000111001100000101000011101000000100001101111011000000111000010000101000011100110000010100001110100010000110111101010000100000011100001000000000000000001011000100001101111000101000011100110000010100001110100000010000110111101100000011100001000010100001110011000001010000111010001000011011110100000010000001110000100000010011101100001100010000110111100010100001110011000001010000111010000001000011011110110000001110000100001010000111001100000101000011101000100001101111010000001000000111000010000000000000000010110100000000101001001010000111001100000101000011101000000100001101111011000000111000010000101000011100110000010100001110100010000110111101000000100000011100001000000000000000001011010000000010100110101000011100110000010100001110100001000000001010011100000011100001001010100001110011000001010000111010100000000101001100000010000001110000100000000000000000101101000000001010010010100001110011000001010000111010000100000000101001010000001110000100001010000111001100000101000011101010000000010100100100001000000111000010000000000000000010110100000000101001001010000111001100000101000011101000010000000010100101000000111000010000101000011100110000010100001110101000000001010010010000100000011100001000000000000000001011010000000010100100101000011100110000010100001110100001000000001010010100000011100001000010100001110011000001010000111010100000000101001001000010000001110000100000000000000000101101000000001010010010100001110011000001010000111010000100000000101001010000001110000100001010000111001100000101000011101010000000010100100100001000000111000010000000000000000010110100000000101001001010000111001100000101000011101000010000000010100101000000111000010000101000011100110000010100001110101000000001010010010000100000011100001000000000000000001011010000000010100100101000011100110000010100001110100001000000001010010100000011100001000010100001110011000001010000111010100000000101001001000010000001110000100000000000000000101101000000001010010010100001110011000001010000111010000100000000101001010000001110000100001010000111001100000101000011101010000000010100100100001000000111000010000000000000000010110100000000101001001010000111001100000101000011101000010000000010100101000000111000010000101000011100110000010100001110101000000001010010010000100000011100001000000000000000001011010000000010100100101000011100110000010100001110100001000000001010010100000011100001000010100001110011000001010000111010100000000101001001000010000001110000100000000000000000101101000000001010010010100001110011000001010000111010000100000000101001010000001110000100001010000111001100000101000011101010000000010100100100001000000111000010000000000000000010110100000000101001001010000111001100000101000011101000010000000010100101000000111000010000101000011100110000010100001110101000000001010010010000100000011100001000000000000000001011010000000010100100101000011100110000010100001110100001000000001010010100000011100001000010100001110011000001010000111010100000000101001001000010000001110000100000000000000000101101000000001010010010100001110011000001010000111010000100000000101001010000001110000100001010000111001100000101000011101010000000010100100100001000000111000010000000000000000010110100000000101001001010000111001100000101000011101000010000000010100101000000111000010000101000011100110000010100001110101000000001010010010000100000011100001000000000000000001011010000000010100100101000011100110000010100001110100001000000001010010100000011100001000010100001110011000001010000111010100000000101001001000010000001110000100000000000000000101101000000001010010010100001110011000001010000111010000100000000101001010000001110000100001010000111001100000101000011101010000000010100100100001000000111000010000000000000000010110100000000101001001010000111001100000101000011101000010000000010100101000000111000010000101000011100110000010100001110101000000001010010010000100000011100001000000000000000001011010000000010100100101000011100110000010100001110100001000000001010010100000011100001000010100001110011000001010000111010100000000101001001000010000001110000100000000000000000101101000000001010010010100001110011000001010000111010000100000000101001010000001110000100001010000111001100000101000011101010000000010100100100001000000111000010000000000000000010110100000000101001001010000111001100000101000011101000010000000010100101000000111000010000101000011100110000010100001110101000000001010010010000100000011100001000000000000000001011010000000010100100101000011100110000010100001110100001000000001010010100000011100001000010100001110011000001010000111010100000000101001001000010000001110000100000000000000000101101000000001010010010100001110011000001010000111010000100000000101001010000001110000100001010000111001100000101000011101010000000010100100100001000000111000010000000000000000010110100000000101001001010000111001100000101000011101000010000000010100101000000111000010000101000011100110000010100001110101000000001010010010000100000011100001000000000000000001011010000000010100100101000011100110000010100001110100001000000001010010100000011100001000010100001110011000001010000111010100000000101001001000010000001110000100000000000000000101101000000001010010010100001110011000001010000111010000100000000101001010000001110000100001010000111001100000101000011101010000000010100100100001000000111000010000000000000000010110100000000101001001010000111001100000101000011101000010000000010100101000000111000010000101000011100110000010100001110101000000001010010010000100000011100001000000000000000001011010000000010100100101000011100110000010100001110100001000000001010010100000011100001000010100001110011000001010000111010100000000101001001000010000001110000100000000000000000101101000000001010010010100001110011000001010000111010000100000000101001010000001110000100001010000111001100000101000011101010000000010100100100001000000111000010000000000000000010110100000000101001001010000111001100000101000011101000010000000010100101000000111000010000101000011100110000010100001110101000000001010010010000100000011100001000000000000000001011010000000010100100101000011100110000010100001110100001000000001010010100000011100001000010100001110011000001010000111010100000000101001001000010000001110000100000000000000000101101000000001010010010100001110011000001010000111010000100000000101001010000001110000100001010000111001100000101000011101010000000010100100100001000000111000010000000000000000010110100000000101001001010000111001100000101000011101000010000000010100101000000111000010000101000011100110000010100001110101000000001010010010000100000011100001000000000000000001011010000000010100100101000011110110000010100001110100001000000001010011000100001101111000010100001111011000001010000111010100000000101001101000000010000110111100000000000000000101101000000001010010010100001111011000001010000111010000100000000101001100010000110111100001010000111101100000101000011101010000000010100110000000001000011011110010001001110110000110100000000101001001010000111101100000101000011101000010000000010100110001000011011110000101000011110110000010100001110101000000001010011000000000100001101111000000000000000001011110101001110110000101000011110110000010100001110100001000000001010011000100001101111000010100001111011000001010000111010100000000101001100000000010000110111100000000000000000101111010100111011001010100001111011000001010000111010001101010011101100100010000110111100101010000111101100000101000011101110101001110110010000000001000011011110000000000000000010111101010011101100001010000111101100000101000011101000110101001110110000001000011011110000101000011110110000010100001110111010100111011000010000000100001101111000000000000000001011110101001110110000101000011110110000010100001110100011010100111011000000100001101111000010100001111011000001010000111011101010011101100001000000010000110111100000000000000000101111010100111011000010100001111011000001010000111010001101010011101100000010000110111100001010000111101100000101000011101110101001110110000100000001000011011110000000000000000010111101010011101100001010000111101100000101000011101000110101001110110000001000011011110000101000011110110000010100001110111010100111011000010000000100001101111000000000000000001011110101001110110000101000011110110000010100001110100011010100111011000000100001101111000010100001111011000001010000111011101010011101100001000000010000110111100000000000000000101111010100111011000010100001111011000001010000111010001101010011101100000010000110111100001010000111101100000101000011101110101001110110000100000001000011011110000000000000000010111101010011101100001010000111101100000101000011101000110101001110110000001000011011110000101000011110110000010100001110111010100111011000010000000100001101111000000000000000001011110101001110110000101000011110110000010100001110100011010100111011000000100001101111000010100001111011000001010000111011101010011101100001000000010000110111100000000000000000101111010100111011000010100001111011000001010000111010001101010011101100000010000110111100001010000111101100000101000011101110101001110110000100000001000011011110000000000000000010111101010011101100001010000111101100000101000011101000110101001110110000001000011011110000101000011110110000010100001110111010100111011000010000000100001101111000000000000000001011110101001110110000101000011110110000010100001110100011010100111011000000100001101111000010100001111011000001010000111011101010011101100001000000010000110111100000000000000000101111010100111011000010100001111011000001010000111010001101010011101100000010000110111100001010000111101100000101000011101110101001110110000100000001000011011110000000000000000010111101010011101100001010000111101100000101000011101000110101001110110000001000011011110000101000011110110000010100001110111010100111011000010000000100001101111000000000000000001011110101001110110000101000011110110000010100001110100011010100111011000000100001101111000010100001111011000001010000111011101010011101100001000000010000110111100000000000000000101111010100111011000010100001111011000001010000111010001101010011101100000010000110111100001010000111101100000101000011101110101001110110000100000001000011011110000000000000000010111101010011101100001010000111101100000101000011101000110101001110110000001000011011110000101000011110110000010100001110111010100111011000010000000100001101111000000000000000001011110101001110110000101000011110110000010100001110100011010100111011000000100001101111000010100001111011000001010000111011101010011101100001000000010000110111100000000000000000101111010100111011000010100001111011000001010000111010001101010011101100000010000110111100001010000111101100000101000011101110101001110110000100000001000011011110000000000000000010111101010011101100001010000111101100000101000011101000110101001110110000001000011011110000101000011110110000010100001110111010100111011000010000000100001101111000000000000000001011110101001110110000101000011110110000010100001110100011010100111011000000100001101111000010100001111011000001010000111011101010011101100001000000010000110111100000000000000000101111010100111011000010100001111011000001010000111010001101010011101100000010000110111100001010000111101100000101000011101110101001110110000100000001000011011110000000000000000010111101010011101100001010000111101100000101000011101000110101001110110000001000011011110000101000011110110000010100001110111010100111011000010000000100001101111000000000000000001011110101001110110000101000011110110000010100001110100011010100111011000000100001101111000010100001111011000001010000111011101010011101100001000000010000110111100000000000000000101111010100111011000010100001111011000001010000111010001101010011101100000010000110111100001010000111101100000101000011101110101001110110000100000001000011011110000000000000000010111101010011101100001010000111101100000101000011101000110101001110110000001000011011110000101000011110110000010100001110111010100111011000010000000100001101111000000000000000001011110101001110110000101000011110110000010100001110100011010100111011000000100001101111000010100001111011000001010000111011101010011101100001000000010000110111100000000000000000101111010100111011000010100001111011000001010000111010001101010011101100000010000110111100001010000111101100000101000011101110101001110110000100000001000011011110000000000000000010111101010011101100001010000111101100000101000011101000110101001110110000001000011011110000101000011110110000010100001110111010100111011000010000000100001101111000000000000000001011110101001110110000101000011110110000010100001110100011010100111011000000100001101111000010100001111011000001010000111011101010011101100001000000010000110111100000000000000000101111010100111011000010100001111011000001010000111010001101010011101100000010000110111100001010000111101100000101000011101110101001110110000100000001000011011110000000000000000010111101010011101100001010000111101100000101000011101000110101001110110000001000011011110000101000011110110000010100001110111010100111011000010000000100001101111000000000000000001011110101001110110000101000011110110000010100001110100011010100111011000000100001101111000010100001111011000001010000111011101010011101100001000000010000110111100000000000000000101111010100111011000010100001110011000001000010111010001101010011101100101000000001010010001010000111001100000100001011101110101001110110010100000100000000101001000000000000000010111101010011101100001010000111001100000100001011101000110101001110110010100000000101001000101000011100110000010000101110111010100111011001000000010000000010100100000010111011000011110101001110110000101000011100110000010000101110100011010100111011001010000000010100100010100001110011000001000010111011101010011101100100000001000000001010010000000000000000101110001001111111010010100001110011000001000010111010001101010011101100101000000001010010001010000111001100000100001011101110101001110110010000000100000000101001000000000000000010111000100111111101101010000111001100000100001011101000100010011111110110100000000101001010101000011100110000010000101110110001001111111011000000010000000010100100000000000000001011100010011111110100101000011100110000010000101110100010001001111111010010000000010100100010100001110011000001000010111011000100111111101001000001000000001010010000000000000000101110001001111111010010100001110011000001000010111010001000100111111101001000000001010010001010000111001100000100001011101100010011111110100100000100000000101001000000000000000010111000100111111101001010000111001100000100001011101000100010011111110100100000000101001000101000011100110000010000101110110001001111111010010000010000000010100100000000000000001011100010011111110100101000011100110000010000101110100010001001111111010010000000010100100010100001110011000001000010111011000100111111101001000001000000001010010000000000000000101110001001111111010010100001110011000001000010111010001000100111111101001000000001010010001010000111001100000100001011101100010011111110100100000100000000101001000000000000000010111000100111111101001010000111001100000100001011101000100010011111110100100000000101001000101000011100110000010000101110110001001111111010010000010000000010100100000000000000001011100010011111110100101000011100110000010000101110100010001001111111010010000000010100100010100001110011000001000010111011000100111111101001000001000000001010010000000000000000101110001001111111010010100001110011000001000010111010001000100111111101001000000001010010001010000111001100000100001011101100010011111110100100000100000000101001000000000000000010111000100111111101001010000111001100000100001011101000100010011111110100100000000101001000101000011100110000010000101110110001001111111010010000010000000010100100000000000000001011100010011111110100101000011100110000010000101110100010001001111111010010000000010100100010100001110011000001000010111011000100111111101001000001000000001010010000000000000000101110001001111111010010100001110011000001000010111010001000100111111101001000000001010010001010000111001100000100001011101100010011111110100100000100000000101001000000000000000010111000100111111101001010000111001100000100001011101000100010011111110100100000000101001000101000011100110000010000101110110001001111111010010000010000000010100100000000000000001011100010011111110100101000011100110000010000101110100010001001111111010010000000010100100010100001110011000001000010111011000100111111101001000001000000001010010000000000000000101110001001111111010010100001110011000001000010111010001000100111111101001000000001010010001010000111001100000100001011101100010011111110100100000100000000101001000000000000000010111000100111111101001010000111001100000100001011101000100010011111110100100000000101001000101000011100110000010000101110110001001111111010010000010000000010100100000000000000001011100010011111110100101000011100110000010000101110100010001001111111010010000000010100100010100001110011000001000010111011000100111111101001000001000000001010010000000000000000101110001001111111010010100001110011000001000010111010001000100111111101001000000001010010001010000111001100000100001011101100010011111110100100000100000000101001000000000000000010111000100111111101001010000111001100000100001011101000100010011111110100100000000101001000101000011100110000010000101110110001001111111010010000010000000010100100000000000000001011100010011111110100101000011100110000010000101110100010001001111111010010000000010100100010100001110011000001000010111011000100111111101001000001000000001010010000000000000000101110001001111111010010100001110011000001000010111010001000100111111101001000000001010010001010000111001100000100001011101100010011111110100100000100000000101001000000000000000010111000100111111101001010000111001100000100001011101000100010011111110100100000000101001000101000011100110000010000101110110001001111111010010000010000000010100100000000000000001011100010011111110100101000011100110000010000101110100010001001111111010010000000010100100010100001110011000001000010111011000100111111101001000001000000001010010000000000000000101110001001111111010010100001110011000001000010111010001000100111111101001000000001010010001010000111001100000100001011101100010011111110100100000100000000101001000000000000000010111000100111111101001010000111001100000100001011101000100010011111110100100000000101001000101000011100110000010000101110110001001111111010010000010000000010100100000000000000001011100010011111110100101000011100110000010000101110100010001001111111010010000000010100100010100001110011000001000010111011000100111111101001000001000000001010010000000000000000101110001001111111010010100001110011000001000010111010001000100111111101001000000001010010001010000111001100000100001011101100010011111110100100000100000000101001000000000000000010111000100111111101001010000111001100000100001011101000100010011111110100100000000101001000101000011100110000010000101110110001001111111010010000010000000010100100000000000000001011100010011111110100101000011100110000010000101110100010001001111111010010000000010100100010100001110011000001000010111011000100111111101001000001000000001010010000000000000000101110001001111111010010100001110011000001000010111010001000100111111101001000000001010010001010000111001100000100001011101100010011111110100100000100000000101001000000000000000010111000100111111101001010000111001100000100001011101000100010011111110100100000000101001000101000011100110000010000101110110001001111111010010000010000000010100100000000000000001011100010011111110100101000011100110000010000101110100010001001111111010010000000010100100010100001110011000001000010111011000100111111101001000001000000001010010000000000000000101110001001111111010010100001110011000001000010111010001000100111111101001000000001010010001010000111001100000100001011101100010011111110100100000100000000101001000000000000000010111000100111111101001010000111101100000100001011101000100010011111110111101010011101100000101000011110110000010000101110110001001111111011010000110101001110110000000000000000001011100010011111110100101000011110110000010000101110100010001001111111011110101001110110000010100001111011000001000010111011000100111111101100000011010100111011000100001011101100001110001001111111010010100001111011000001000010111010001000100111111101111010100111011000001010000111101100000100001011101100010011111110110000001101010011101100000000000000000010111111111000000001001010000111101100000100001011101000100010011111110111101010011101100000101000011110110000010000101110110001001111111011000000110101001110110000000000000000001011111111100000000110101000011110110000010000101110100011111110000000011110101001110110001010100001111011000001000010111011111111000000001100000011010100111011000000000000000000101111111110000000010010100001111011000001000010111010001111111000000001011010100111011000001010000111101100000100001011101111111100000000100100001101010011101100000000000000000010111111111000000001001010000111101100000100001011101000111111100000000101101010011101100000101000011110110000010000101110111111110000000010010000110101001110110000000000000000001011111111100000000100101000011110110000010000101110100011111110000000010110101001110110000010100001111011000001000010111011111111000000001001000011010100111011000000000000000000101111111110000000010010100001111011000001000010111010001111111000000001011010100111011000001010000111101100000100001011101111111100000000100100001101010011101100000000000000000010111111111000000001001010000111101100000100001011101000111111100000000101101010011101100000101000011110110000010000101110111111110000000010010000110101001110110000000000000000001011111111100000000100101000011110110000010000101110100011111110000000010110101001110110000010100001111011000001000010111011111111000000001001000011010100111011000000000000000000101111111110000000010010100001111011000001000010111010001111111000000001011010100111011000001010000111101100000100001011101111111100000000100100001101010011101100000000000000000010111111111000000001001010000111101100000100001011101000111111100000000101101010011101100000101000011110110000010000101110111111110000000010010000110101001110110000000000000000001011111111100000000100101000011110110000010000101110100011111110000000010110101001110110000010100001111011000001000010111011111111000000001001000011010100111011000000000000000000101111111110000000010010100001111011000001000010111010001111111000000001011010100111011000001010000111101100000100001011101111111100000000100100001101010011101100000000000000000010111111111000000001001010000111101100000100001011101000111111100000000101101010011101100000101000011110110000010000101110111111110000000010010000110101001110110000000000000000001011111111100000000100101000011110110000010000101110100011111110000000010110101001110110000010100001111011000001000010111011111111000000001001000011010100111011000000000000000000101111111110000000010010100001111011000001000010111010001111111000000001011010100111011000001010000111101100000100001011101111111100000000100100001101010011101100000000000000000010111111111000000001001010000111101100000100001011101000111111100000000101101010011101100000101000011110110000010000101110111111110000000010010000110101001110110000000000000000001011111111100000000100101000011110110000010000101110100011111110000000010110101001110110000010100001111011000001000010111011111111000000001001000011010100111011000000000000000000101111111110000000010010100001111011000001000010111010001111111000000001011010100111011000001010000111101100000100001011101111111100000000100100001101010011101100000000000000000010111111111000000001001010000111101100000100001011101000111111100000000101101010011101100000101000011110110000010000101110111111110000000010010000110101001110110000000000000000001011111111100000000100101000011110110000010000101110100011111110000000010110101001110110000010100001111011000001000010111011111111000000001001000011010100111011000000000000000000101111111110000000010010100001111011000001000010111010001111111000000001011010100111011000001010000111101100000100001011101111111100000000100100001101010011101100000000000000000010111111111000000001001010000111101100000100001011101000111111100000000101101010011101100000101000011110110000010000101110111111110000000010010000110101001110110000000000000000001011111111100000000100101000011110110000010000101110100011111110000000010110101001110110000010100001111011000001000010111011111111000000001001000011010100111011000000000000000000101111111110000000010010100001111011000001000010111010001111111000000001011010100111011000001010000111101100000100001011101111111100000000100100001101010011101100000000000000000010111111111000000001001010000111101100000100001011101000111111100000000101101010011101100000101000011110110000010000101110111111110000000010010000110101001110110000000000000000001011111111100000000100101000011110110000010000101110100011111110000000010110101001110110000010100001111011000001000010111011111111000000001001000011010100111011000000000000000000101111111110000000010010100001111011000001000010111010001111111000000001011010100111011000001010000111101100000100001011101111111100000000100100001101010011101100000000000000000010111111111000000001001010000111101100000100001011101000111111100000000101101010011101100000101000011110110000010000101110111111110000000010010000110101001110110000000000000000001011111111100000000100101000011110110000010000101110100011111110000000010110101001110110000010100001111011000001000010111011111111000000001001000011010100111011000000000000000000101111111110000000010010100001111011000001000010111010001111111000000001011010100111011000001010000111101100000100001011101111111100000000100100001101010011101100000000000000000010111111111000000001001010000111101100000100001011101000111111100000000101101010011101100000101000011110110000010000101110111111110000000010010000110101001110110000000000000000001011111111100000000100101000011110110000010000101110100011111110000000010110101001110110000010100001111011000001000010111011111111000000001001000011010100111011000000000000000000101111111110000000010010100001111011000001000010111010001111111000000001011010100111011000001010000111101100000100001011101111111100000000100100001101010011101100000000000000000010111111111000000001001010000111001100000101001011101000111111100000000111000100111111101000101000011100110000010100101110111111110000000011010000100010011111110100000000000000001011111111100000000100101000011100110000010100101110100011111110000000011100010011111110100010100001110011000001010010111011111111000000001100000010001001111111010000011011101100001111111110000000010010100001110011000001010010111010001111111000000001110001001111111010001010000111001100000101001011101111111100000000110000001000100111111101000000000000000010110101111111010000001010000111001100000101001011101000111111100000000111000100111111101000101000011100110000010100101110111111110000000011000000100010011111110100000000000000001011010111111101000010101000011100110000010100101110100001011111110100001100010011111110101010100001110011000001010010111010101111111010000100000010001001111111010000000000000000101101011111110100000010100001110011000001010010111010000101111111010000010001001111111010001010000111001100000101001011101010111111101000000100001000100111111101000000000000000010110101111111010000001010000111001100000101001011101000010111111101000001000100111111101000101000011100110000010100101110101011111110100000010000100010011111110100000000000000001011010111111101000000101000011100110000010100101110100001011111110100000100010011111110100010100001110011000001010010111010101111111010000001000010001001111111010000000000000000101101011111110100000010100001110011000001010010111010000101111111010000010001001111111010001010000111001100000101001011101010111111101000000100001000100111111101000000000000000010110101111111010000001010000111001100000101001011101000010111111101000001000100111111101000101000011100110000010100101110101011111110100000010000100010011111110100000000000000001011010111111101000000101000011100110000010100101110100001011111110100000100010011111110100010100001110011000001010010111010101111111010000001000010001001111111010000000000000000101101011111110100000010100001110011000001010010111010000101111111010000010001001111111010001010000111001100000101001011101010111111101000000100001000100111111101000000000000000010110101111111010000001010000111001100000101001011101000010111111101000001000100111111101000101000011100110000010100101110101011111110100000010000100010011111110100000000000000001011010111111101000000101000011100110000010100101110100001011111110100000100010011111110100010100001110011000001010010111010101111111010000001000010001001111111010000000000000000101101011111110100000010100001110011000001010010111010000101111111010000010001001111111010001010000111001100000101001011101010111111101000000100001000100111111101000000000000000010110101111111010000001010000111001100000101001011101000010111111101000001000100111111101000101000011100110000010100101110101011111110100000010000100010011111110100000000000000001011010111111101000000101000011100110000010100101110100001011111110100000100010011111110100010100001110011000001010010111010101111111010000001000010001001111111010000000000000000101101011111110100000010100001110011000001010010111010000101111111010000010001001111111010001010000111001100000101001011101010111111101000000100001000100111111101000000000000000010110101111111010000001010000111001100000101001011101000010111111101000001000100111111101000101000011100110000010100101110101011111110100000010000100010011111110100000000000000001011010111111101000000101000011100110000010100101110100001011111110100000100010011111110100010100001110011000001010010111010101111111010000000001010001001111111010010000000000000100001011111110100000010100001110011000001010010111010000101111111010000010001001111111010001010000111001100000101001011101010111111101000000000101000100111111101000000000000000010110101111111010000001010000111001100000101001011101000010111111101000001000100111111101000101000011100110000010100101110101011111110100000000010100010011111110100000000000000001011010111111101000000101000011100110000010100101110100001011111110100000100010011111110100010100001110011000001010010111010101111111010000000000110001001111111010000000000000000000101011111110100000010100001110011000001010010111010000101111111010000010001001111111010001010000111001100000101001011101010111111101000000000011000100111111101000000000000000010110101111111010000001010000111001100000101001011101000010111111101000001000100111111101000101000011100110000010100101110101011111110100000000001100010011111110100000000000000001011010111111101000000101000011100110000010100101110100001011111110100000100010011111110100010100001110011000001010010111010101111111010000000000110001001111111010000000000000000101101011111110100000010100001110011000001010010111010000101111111010000010001001111111010001010000111001100000101001011101010111111101000000000011000100111111101000000000000000010110101111111010000001010000111001100000101001011101000010111111101000001000100111111101000101000011100110000010100101110101011111110100000000001100010011111110100000000000000001011010111111101000000101000011100110000010100101110100001011111110100000100010011111110100010100001110011000001010010111010101111111010000000000110001001111111010000000000000000101101011111110100000010100001110011000001010010111010000101111111010000010001001111111010001010000111001100000101001011101010111111101000000000011000100111111101000000000000000010110101111111010000001010000111001100000101001011101000010111111101000001000100111111101000101000011100110000010100101110101011111110100000001000100010011111110100000000000000001011010111111101000000101000011100110000010100101110100001011111110100000100010011111110100010100001110011000001010010111010101111111010000000100010001001111111010000000000000000101101011111110100000010100001110011000001010010111010000101111111010000010001001111111010001010000111001100000101001011101010111111101000000010001000100111111101000000000000000010110101111111010000001010000111001100000101001011101000010111111101000001000100111111101000101000011100110000010100101110101011111110100000001000100010011111110100000000000000001011010111111101000000101000011100110000010100101110100001011111110100000100010011111110100010100001110011000001010010111010101111111010000000100010001001111111010000000000000000101101011111110100000010100001110011000001010010111010000101111111010000010001001111111010001010000111001100000101001011101010111111101000000010001000100111111101000000000000000010110101111111010000001010000111001100000101001011101000010111111101000001000100111111101000101000011100110000010100101110101011111110100000001000100010011111110100000000000000001011010111111101000000101000011110110000010100101110100001011111110100001111111100000000100010100001111011000001010010111010101111111010000100100011111110000000010000000000000000101101011111110100000010100001111011000001010010111010000101111111010000111111110000000010001010000111101100000101001011101010111111101000011000001111111000000001001101000011100010010101111111010000001010000111101100000101001011101000010111111101000011111111000000001000101000011110110000010100101110101011111110100001100000111111100000000100000000000000001011010111111101000000101000011110110000010100101110100001011111110100001111111100000000100010100001111011000001010010111010101111111010000110000011111110000000010000000000000000101101011111110100000010100001111011000001010010111010000101111111010000111111110000000010001010000111101100000101001011101010111111101000010100001111111000000001000000000000000010110101111111010000001010000111101100000101001011101000010111111101000011111111000000001000101000011110110000010100101110101011111110100001000000111111100000000101000110111011000011010111111101000000101000011110110000010100101110100001011111110100001111111100000000100010100001111011000001010010111010101111111010000100000011111110000000010000000000000000101100010100101101100010100001111011000001010010111010000101111111010000111111110000000010001010000111101100000101001011101010111111101000010000001111111000000001000000000000000010110001010010110110101010000111101100000101001011101000000101001011011011111111000000001010101000011110110000010100101110100010100101101101000000111111100000000100000000000000001011000101001011011000101000011110110000010100101110100000010100101101100111111100000000100010100001111011000001010010111010001010010110110001000011111110000000010000000000000000101100010100101101100010100001111011000001010010111010000001010010110110011111110000000010001010000111101100000101001011101000101001011011000100001111111000000001000000000000000010110001010010110110001010000111101100000101001011101000000101001011011001111111000000001000101000011110110000010100101110100010100101101100010000111111100000000100000000000000001011000101001011011000101000011110110000010100101110100000010100101101100111111100000000100010100001111011000001010010111010001010010110110001000011111110000000010000000000000000101100010100101101100010100001111011000001010010111010000001010010110110011111110000000010001010000111101100000101001011101000101001011011000100001111111000000001000000000000000010110001010010110110001010000111101100000101001011101000000101001011011001111111000000001000101000011110110000010100101110100010100101101100010000111111100000000100000000000000001011000101001011011000101000011110110000010100101110100000010100101101100111111100000000100010100001111011000001010010111010001010010110110001000011111110000000010000000000000000101100010100101101100010100001111011000001010010111010000001010010110110011111110000000010001010000111101100000101001011101000101001011011000100001111111000000001000000000000000010110001010010110110001010000111101100000101001011101000000101001011011001111111000000001000101000011110110000010100101110100010100101101100010000111111100000000100000000000000001011000101001011011000101000011110110000010100101110100000010100101101100111111100000000100010100001111011000001010010111010001010010110110001000011111110000000010000000000000000101100010100101101100010100001111011000001010010111010000001010010110110011111110000000010001010000111101100000101001011101000101001011011000100001111111000000001000000000000000010110001010010110110001010000111101100000101001011101000000101001011011001111111000000001000101000011110110000010100101110100010100101101100010000111111100000000100000000000000001011000101001011011000101000011110110000010100101110100000010100101101100111111100000000100010100001111011000001010010111010001010010110110001000011111110000000010000000000000000101100010100101101100010100001111011000001010010111010000001010010110110011111110000000010001010000111101100000101001011101000101001011011000100001111111000000001000000000000000010110001010010110110001010000111101100000101001011101000000101001011011001111111000000001000101000011110110000010100101110100010100101101100010000111111100000000100000000000000001011000101001011011000101000011110110000010100101110100000010100101101100111111100000000100010100001111011000001010010111010001010010110110001000011111110000000010000000000000000101100010100101101100010100001111011000001010010111010000001010010110110011111110000000010001010000111101100000101001011101000101001011011000100001111111000000001000000000000000010110001010010110110001010000111101100000101001011101000000101001011011001111111000000001000101000011110110000010100101110100010100101101100010000111111100000000100000000000000001011000101001011011000101000011110110000010100101110100000010100101101100111111100000000100010100001111011000001010010111010001010010110110001000011111110000000010000000000000000101100010100101101100010100001111011000001010010111010000001010010110110011111110000000010001010000111101100000101001011101000101001011011000100001111111000000001000000000000000010110001010010110110001010000111101100000101001011101000000101001011011001111111000000001000101000011110110000010100101110100010100101101100010000111111100000000100000000000000001011000101001011011000101000011110110000010100101110100000010100101101100111111100000000100010100001111011000001010010111010001010010110110001000011111110000000010000000000000000101100010100101101100010100001111011000001010010111010000001010010110110011111110000000010001010000111101100000101001011101000101001011011000100001111111000000001000000000000000010110001010010110110001010000111101100000101001011101000000101001011011001111111000000001000101000011110110000010100101110100010100101101100010000111111100000000100000000000000001011000101001011011000101000011110110000010100101110100000010100101101100111111100000000100010100001111011000001010010111010001010010110110001000011111110000000010000000000000000101100010100101101100010100001111011000001010010111010000001010010110110011111110000000010001010000111101100000101001011101000101001011011000100001111111000000001000000000000000010110001010010110110001010000111101100000101001011101000000101001011011001111111000000001000101000011110110000010100101110100010100101101100010000111111100000000100000000000000001011000101001011011000101000011110110000010100101110100000010100101101100111111100000000100010100001111011000001010010111010001010010110110001000011111110000000010000000000000000101100010100101101100010100001110011000001000001111010000001010010110110101011111110100000001010000111001100000100000111101000101001011011010100000101111111010000000000000000000010110001010010110110001010000111001100000100000111101000000101001011011010101111111010000000101000011100110000010000011110100010100101101101000000010111111101000000000001111011000011000101001011011000101000011100110000010000011110100000010100101101101010111111101000000010100001110011000001000001111010001010010110110100000001011111110100000000000000000000101101110001110111100010100001110011000001000001111010000001010010110110101011111110100000001010000111001100000100000111101000101001011011010000000101111111010000000000000000000010110111000111011110101010000111001100000100000111101000011100011101111010101111111010000010101000011100110000010000011110101110001110111101000000010111111101000000000000000000001011011100011101111000101000011100110000010000011110100001110001110111100010111111101000000010100001110011000001000001111010111000111011110001000001011111110100000000000000000000101101110001110111100010100001110011000001000001111010000111000111011110001011111110100000001010000111001100000100000111101011100011101111000100000101111111010000000000000000000010110111000111011110001010000111001100000100000111101000011100011101111000101111111010000000101000011100110000010000011110101110001110111100010000010111111101000000000000000000001011011100011101111000101000011100110000010000011110100001110001110111100010111111101000000010100001110011000001000001111010111000111011110001000001011111110100000000000000000000101101110001110111100010100001110011000001000001111010000111000111011110001011111110100000001010000111001100000100000111101011100011101111000100000101111111010000000000000000000010110111000111011110001010000111001100000100000111101000011100011101111000101111111010000000101000011100110000010000011110101110001110111100010000010111111101000000000000000000001011011100011101111000101000011100110000010000011110100001110001110111100010111111101000000010100001110011000001000001111010111000111011110001000001011111110100000000000000000000101101110001110111100010100001110011000001000001111010000111000111011110001011111110100000001010000111001100000100000111101011100011101111000100000101111111010000000000000000000010110111000111011110001010000111001100000100000111101000011100011101111000101111111010000000101000011100110000010000011110101110001110111100010000010111111101000000000000000000001011011100011101111000101000011100110000010000011110100001110001110111100010111111101000000010100001110011000001000001111010111000111011110001000001011111110100000000000000000000101101110001110111100010100001110011000001000001111010000111000111011110001011111110100000001010000111001100000100000111101011100011101111000100000101111111010000000000000000000010110111000111011110001010000111001100000100000111101000011100011101111000101111111010000000101000011100110000010000011110101110001110111100010000010111111101000000000000000000001011011100011101111000101000011100110000010000011110100001110001110111100010111111101000000010100001110011000001000001111010111000111011110001000001011111110100000000000000000000101101110001110111100010100001110011000001000001111010000111000111011110001011111110100000001010000111001100000100000111101011100011101111000100000101111111010000000000000000000010110111000111011110001010000111001100000100000111101000011100011101111000101111111010000000101000011100110000010000011110101110001110111100010000010111111101000000000000000000001011011100011101111000101000011100110000010000011110100001110001110111100010111111101000000010100001110011000001000001111010111000111011110001000001011111110100000000000000000000101101110001110111100010100001110011000001000001111010000111000111011110001011111110100000001010000111001100000100000111101011100011101111000100000101111111010000000000000000000010110111000111011110001010000111001100000100000111101000011100011101111000101111111010000000101000011100110000010000011110101110001110111100010000010111111101000000000000000000001011011100011101111000101000011100110000010000011110100001110001110111100010111111101000000010100001110011000001000001111010111000111011110001000001011111110100000000000000000000101101110001110111100010100001110011000001000001111010000111000111011110001011111110100000001010000111001100000100000111101011100011101111000100000101111111010000000000000000000010110111000111011110001010000111001100000100000111101000011100011101111000101111111010000000101000011100110000010000011110101110001110111100010000010111111101000000000000000000001011011100011101111000101000011100110000010000011110100001110001110111100010111111101000000010100001110011000001000001111010111000111011110001000001011111110100000000000000000000101101110001110111100010100001110011000001000001111010000111000111011110001011111110100000001010000111001100000100000111101011100011101111000100000101111111010000000000000000000010110111000111011110001010000111001100000100000111101000011100011101111000101111111010000000101000011100110000010000011110101110001110111100010000010111111101000000000000000000001011011100011101111000101000011100110000010000011110100001110001110111100010111111101000000010100001110011000001000001111010111000111011110001000001011111110100000000000000000000101101110001110111100010100001110011000001000001111010000111000111011110001011111110100000001010000111001100000100000111101011100011101111000100000101111111010000000000000000000010110111000111011110001010000111001100000100000111101000011100011101111000101111111010000000101000011100110000010000011110101110001110111100010000010111111101000000000000000000001011011100011101111000101000011100110000010000011110100001110001110111100010111111101000000010100001110011000001000001111010111000111011110001000001011111110100000000000000000000101101110001110111100010100001110011000001000001111010000111000111011110001011111110100000001010000111001100000100000111101011100011101111000100000101111111010000000000000000000010110111000111011110001010000111001100000100000111101000011100011101111000101111111010000000101000011100110000010000011110101110001110111100010000010111111101000000000000000000001011011100011101111000101000011100110000010000011110100001110001110111100010111111101000000010100001110011000001000001111010111000111011110001000001011111110100000000000000000000101101110001110111100010100001110011000001000001111010000111000111011110001011111110100000001010000111001100000100000111101011100011101111000100000101111111010000000000000000000010110111000111011110001010000111101100000100000111101000011100011101111010001010010110110000101000011110110000010000011110101110001110111101010000000101001011011000000000000000001011011100011101111000101000011110110000010000011110100001110001110111101000101001011011000010100001111011000001000001111010111000111011110100000000010100101101100100000111101100001101110001110111100010100001111011000001000001111010000111000111011110100010100101101100001010000111101100000100000111101011100011101111010000000001010010110110000000000000000010110000111111100011001010000111101100000100000111101000011100011101111010001010010110110000101000011110110000010000011110101110001110111101000000000101001011011000000000000000001011000011111110001110101000011110110000010000011110100000001111111000111000101001011011001010100001111011000001000001111010000111111100011100000000010100101101100000000000000000101100001111111000110010100001111011000001000001111010000000111111100011000010100101101100001010000111101100000100000111101000011111110001100100000001010010110110000000000000000010110000111111100011001010000111101100000100000111101000000011111110001100001010010110110000101000011110110000010000011110100001111111000110010000000101001011011000000000000000001011000011111110001100101000011110110000010000011110100000001111111000110000101001011011000010100001111011000001000001111010000111111100011001000000010100101101100000000000000000101100001111111000110010100001111011000001000001111010000000111111100011000010100101101100001010000111101100000100000111101000011111110001100100000001010010110110000000000000000010110000111111100011001010000111101100000100000111101000000011111110001100001010010110110000101000011110110000010000011110100001111111000110010000000101001011011000000000000000001011000011111110001100101000011110110000010000011110100000001111111000110000101001011011000010100001111011000001000001111010000111111100011001000000010100101101100000000000000000101100001111111000110010100001111011000001000001111010000000111111100011000010100101101100001010000111101100000100000111101000011111110001100100000001010010110110000000000000000010110000111111100011001010000111101100000100000111101000000011111110001100001010010110110000101000011110110000010000011110100001111111000110010000000101001011011000000000000000001011000011111110001100101000011110110000010000011110100000001111111000110000101001011011000010100001111011000001000001111010000111111100011001000000010100101101100000000000000000101100001111111000110010100001111011000001000001111010000000111111100011000010100101101100001010000111101100000100000111101000011111110001100100000001010010110110000000000000000010110000111111100011001010000111101100000100000111101000000011111110001100001010010110110000101000011110110000010000011110100001111111000110010000000101001011011000000000000000001011000011111110001100101000011110110000010000011110100000001111111000110000101001011011000010100001111011000001000001111010000111111100011001000000010100101101100000000000000000101100001111111000110010100001111011000001000001111010000000111111100011000010100101101100001010000111101100000100000111101000011111110001100100000001010010110110000000000000000010110000111111100011001010000111101100000100000111101000000011111110001100001010010110110000101000011110110000010000011110100001111111000110010000000101001011011000000000000000001011000011111110001100101000011110110000010000011110100000001111111000110000101001011011000010100001111011000001000001111010000111111100011001000000010100101101100000000000000000101100001111111000110010100001111011000001000001111010000000111111100011000010100101101100001010000111101100000100000111101000011111110001100100000001010010110110000000000000000010110000111111100011001010000111101100000100000111101000000011111110001100001010010110110000101000011110110000010000011110100001111111000110010000000101001011011000000000000000001011000011111110001100101000011110110000010000011110100000001111111000110000101001011011000010100001111011000001000001111010000111111100011001000000010100101101100000000000000000101100001111111000110010100001111011000001000001111010000000111111100011000010100101101100001010000111101100000100000111101000011111110001100100000001010010110110000000000000000010110000111111100011001010000111101100000100000111101000000011111110001100001010010110110000101000011110110000010000011110100001111111000110010000000101001011011000000000000000001011000011111110001100101000011110110000010000011110100000001111111000110000101001011011000010100001111011000001000001111010000111111100011001000000010100101101100000000000000000101100001111111000110010100001111011000001000001111010000000111111100011000010100101101100001010000111101100000100000111101000011111110001100100000001010010110110000000000000000010110000111111100011001010000111101100000100000111101000000011111110001100001010010110110000101000011110110000010000011110100001111111000110010000000101001011011000000000000000001011000011111110001100101000011110110000010000011110100000001111111000110000101001011011000010100001111011000001000001111010000111111100011001000000010100101101100000000000000000101100001111111000110010100001111011000001000001111010000000111111100011000010100101101100001010000111101100000100000111101000011111110001100100000001010010110110000000000000000010110000111111100011001010000111101100000100000111101000000011111110001100001010010110110000101000011110110000010000011110100001111111000110010000000101001011011000000000000000001011000011111110001100101000011110110000010000011110100000001111111000110000101001011011000010100001111011000001000001111010000111111100011001000000010100101101100000000000000000101100001111111000110010100001111011000001000001111010000000111111100011000010100101101100001010000111101100000100000111101000011111110001100100000001010010110110000000000000000010110000111111100011001010000111101100000100000111101000000011111110001100001010010110110000101000011110110000010000011110100001111111000110010000000101001011011000000000000000001011000011111110001100101000011110110000010000011110100000001111111000110000101001011011000010100001111011000001000001111010000111111100011001000000010100101101100000000000000000101100001111111000110010100001111011000001000001111010000000111111100011000010100101101100001010000111101100000100000111101000011111110001100100000001010010110110000000000000000010110000111111100011001010000111101100000100000111101000000011111110001100001010010110110000101000011110110000010000011110100001111111000110010000000101001011011000000000000000001011000011111110001100101000011100110000010100011110100000001111111000111011100011101111000010100001110011000001010001111010000111111100011101000001110001110111100000000000000000101100001111111000110010100001110011000001010001111010000000111111100011101110001110111100001010000111001100000101000111101000011111110001110000000111000111011110000001011110110000110000111111100011001010000111001100000101000111101000000011111110001110111000111011110000101000011100110000010100011110100001111111000111000000011100011101111000000000000000001011111101100000001100101000011100110000010100011110100000001111111000111011100011101111000010100001110011000001010001111010000111111100011100000001110001110111100000000000000000101111110110000000111010100001110011000001010001111010001111011000000011101110001110111100101010000111001100000101000111101111101100000001110000000111000111011110000000000000000010111111011000000011001010000111001100000101000111101000111101100000001100111000111011110000101000011100110000010100011110111110110000000110010000011100011101111000000000000000001011111101100000001100101000011100110000010100011110100011110110000000110011100011101111000010100001110011000001010001111011111011000000011001000001110001110111100000000000000000101111110110000000110010100001110011000001010001111010001111011000000011001110001110111100001010000111001100000101000111101111101100000001100100000111000111011110000000000000000010111111011000000011001010000111001100000101000111101000111101100000001100111000111011110000101000011100110000010100011110111110110000000110010000011100011101111000000000000000001011111101100000001100101000011100110000010100011110100011110110000000110011100011101111000010100001110011000001010001111011111011000000011001000001110001110111100000000000000000101111110110000000110010100001110011000001010001111010001111011000000011001110001110111100001010000111001100000101000111101111101100000001100100000111000111011110000000000000000010111111011000000011001010000111001100000101000111101000111101100000001100111000111011110000101000011100110000010100011110111110110000000110010000011100011101111000000000000000001011111101100000001100101000011100110000010100011110100011110110000000110011100011101111000010100001110011000001010001111011111011000000011001000001110001110111100000000000000000101111110110000000110010100001110011000001010001111010001111011000000011001110001110111100001010000111001100000101000111101111101100000001100100000111000111011110000000000000000010111111011000000011001010000111001100000101000111101000111101100000001100111000111011110000101000011100110000010100011110111110110000000110010000011100011101111000000000000000001011111101100000001100101000011100110000010100011110100011110110000000110011100011101111000010100001110011000001010001111011111011000000011001000001110001110111100000000000000000101111110110000000110010100001110011000001010001111010001111011000000011001110001110111100001010000111001100000101000111101111101100000001100100000111000111011110000000000000000010111111011000000011001010000111001100000101000111101000111101100000001100111000111011110000101000011100110000010100011110111110110000000110010000011100011101111000000000000000001011111101100000001100101000011100110000010100011110100011110110000000110011100011101111000010100001110011000001010001111011111011000000011001000001110001110111100000000000000000101111110110000000110010100001110011000001010001111010001111011000000011001110001110111100001010000111001100000101000111101111101100000001100100000111000111011110000000000000000010111111011000000011001010000111001100000101000111101000111101100000001100111000111011110000101000011100110000010100011110111110110000000110010000011100011101111000000000000000001011111101100000001100101000011100110000010100011110100011110110000000110011100011101111000010100001110011000001010001111011111011000000011001000001110001110111100000000000000000101111110110000000110010100001110011000001010001111010001111011000000011001110001110111100001010000111001100000101000111101111101100000001100100000111000111011110000000000000000010111111011000000011001010000111001100000101000111101000111101100000001100111000111011110000101000011100110000010100011110111110110000000110010000011100011101111000000000000000001011111101100000001100101000011100110000010100011110100011110110000000110011100011101111000010100001110011000001010001111011111011000000011001000001110001110111100000000000000000101111110110000000110010100001110011000001010001111010001111011000000011001110001110111100001010000111001100000101000111101111101100000001100100000111000111011110000000000000000010111111011000000011001010000111001100000101000111101000111101100000001100111000111011110000101000011100110000010100011110111110110000000110010000011100011101111000000000000000001011111101100000001100101000011100110000010100011110100011110110000000110011100011101111000010100001110011000001010001111011111011000000011001000001110001110111100000000000000000101111110110000000110010100001110011000001010001111010001111011000000011001110001110111100001010000111001100000101000111101111101100000001100100000111000111011110000000000000000010111111011000000011001010000111001100000101000111101000111101100000001100111000111011110000101000011100110000010100011110111110110000000110010000011100011101111000000000000000001011111101100000001100101000011100110000010100011110100011110110000000110011100011101111000010100001110011000001010001111011111011000000011001000001110001110111100000000000000000101111110110000000110010100001110011000001010001111010001111011000000011001110001110111100001010000111001100000101000111101111101100000001100100000111000111011110000000000000000010111111011000000011001010000111001100000101000111101000111101100000001100111000111011110000101000011100110000010100011110111110110000000110010000011100011101111000000000000000001011111101100000001100101000011100110000010100011110100011110110000000110011100011101111000010100001110011000001010001111011111011000000011001000001110001110111100000000000000000101111110110000000110010100001110011000001010001111010001111011000000011001110001110111100001010000111001100000101000111101111101100000001100100000111000111011110000000000000000010111111011000000011001010000111001100000101000111101000111101100000001100111000111011110000101000011100110000010100011110111110110000000110010000011100011101111000000000000000001011111101100000001100101000011110110000010100011110100011110110000000111000011111110001100010100001111011000001010001111011111011000000011101000000001111111000110000000000000000101111110110000000110010100001111011000001010001111010001111011000000011100001111111000110001010000111101100000101000111101111101100000001110000000000111111100011010001011110110000111111011000000011001010000111101100000101000111101000111101100000001110000111111100011000101000011110110000010100011110111110110000000111000000000011111110001100000000000000001011111100000001101000101000011110110000010100011110100011110110000000111000011111110001100010100001111011000001010001111011111011000000011100000000001111111000110000000000000000101111110000000110101010100001111011000001010001111010001111000000011010100001111111000110101010000111101100000101000111101111100000001101010000000000111111100011000000000000000010111111000000011010001010000111101100000101000111101000111100000001101000000111111100011000101000011110110000010100011110111110000000110100010000000011111110001100000000000000001011111100000001101000101000011110110000010100011110100011110000000110100000011111110001100010100001111011000001010001111011111000000011010001000000001111111000110000000000000000101111110000000110100010100001111011000001010001111010001111000000011010000001111111000110001010000111101100000101000111101111100000001101000100000000111111100011000000000000000010111111000000011010001010000111101100000101000111101000111100000001101000000111111100011000101000011110110000010100011110111110000000110100010000000011111110001100000000000000001011111100000001101000101000011110110000010100011110100011110000000110100000011111110001100010100001111011000001010001111011111000000011010001000000001111111000110000000000000000101111110000000110100010100001111011000001010001111010001111000000011010000001111111000110001010000111101100000101000111101111100000001101000100000000111111100011000000000000000010111111000000011010001010000111101100000101000111101000111100000001101000000111111100011000101000011110110000010100011110111110000000110100010000000011111110001100000000000000001011111100000001101000101000011110110000010100011110100011110000000110100000011111110001100010100001111011000001010001111011111000000011010001000000001111111000110000000000000000101111110000000110100010100001111011000001010001111010001111000000011010000001111111000110001010000111101100000101000111101111100000001101000100000000111111100011000000000000000010111111000000011010001010000111101100000101000111101000111100000001101000000111111100011000101000011110110000010100011110111110000000110100010000000011111110001100000000000000001011111100000001101000101000011110110000010100011110100011110000000110100000011111110001100010100001111011000001010001111011111000000011010001000000001111111000110000000000000000101111110000000110100010100001111011000001010001111010001111000000011010000001111111000110001010000111101100000101000111101111100000001101000100000000111111100011000000000000000010111111000000011010001010000111101100000101000111101000111100000001101000000111111100011000101000011110110000010100011110111110000000110100010000000011111110001100000000000000001011111100000001101000101000011110110000010100011110100011110000000110100000011111110001100010100001111011000001010001111011111000000011010001000000001111111000110000000000000000101111110000000110100010100001111011000001010001111010001111000000011010000001111111000110001010000111101100000101000111101111100000001101000100000000111111100011000000000000000010111111000000011010001010000111101100000101000111101000111100000001101000000111111100011000101000011110110000010100011110111110000000110100010000000011111110001100000000000000001011111100000001101000101000011110110000010100011110100011110000000110100000011111110001100010100001111011000001010001111011111000000011010001000000001111111000110000000000000000101111110000000110100010100001111011000001010001111010001111000000011010000001111111000110001010000111101100000101000111101111100000001101000100000000111111100011000000000000000010111111000000011010001010000111101100000101000111101000111100000001101000000111111100011000101000011110110000010100011110111110000000110100010000000011111110001100000000000000001011111100000001101000101000011110110000010100011110100011110000000110100000011111110001100010100001111011000001010001111011111000000011010001000000001111111000110000000000000000101111110000000110100010100001111011000001010001111010001111000000011010000001111111000110001010000111101100000101000111101111100000001101000100000000111111100011000000000000000010111111000000011010001010000111101100000101000111101000111100000001101000000111111100011000101000011110110000010100011110111110000000110100010000000011111110001100000000000000001011111100000001101000101000011110110000010100011110100011110000000110100000011111110001100010100001111011000001010001111011111000000011010001000000001111111000110000000000000000101111110000000110100010100001111011000001010001111010001111000000011010000001111111000110001010000111101100000101000111101111100000001101000100000000111111100011000000000000000010111111000000011010001010000111101100000101000111101000111100000001101000000111111100011000101000011110110000010100011110111110000000110100010000000011111110001100000000000000001011111100000001101000101000011110110000010100011110100011110000000110100000011111110001100010100001111011000001010001111011111000000011010001000000001111111000110000000000000000101111110000000110100010100001111011000001010001111010001111000000011010000001111111000110001010000111101100000101000111101111100000001101000100000000111111100011000000000000000010111111000000011010001010000111101100000101000111101000111100000001101000000111111100011000101000011110110000010100011110111110000000110100010000000011111110001100000000000000001011111100000001101000101000011110110000010100011110100011110000000110100000011111110001100010100001111011000001010001111011111000000011010001000000001111111000110000000000000000101111110000000110100010100001111011000001010001111010001111000000011010000001111111000110001010000111101100000101000111101111100000001101000100000000111111100011000000000000000010111111000000011010001010000111101100000101000111101000111100000001101000000111111100011000101000011110110000010100011110111110000000110100010000000011111110001100000000000000001011111100000001101000101000011110110000010100011110100011110000000110100000011111110001100010100001111011000001010001111011111000000011010001000000001111111000110000000000000000101111110000000110100010100001110011000001000011111010001111000000011010111110110000000110001010000111001100000100001111101111100000001101010100001111011000000011000000000000000010111111000000011010001010000111001100000100001111101000111100000001101011111011000000011000101000011100110000010000111110111110000000110101000000111101100000001100000011111011000011111100000001101000101000011100110000010000111110100011110000000110101111101100000001100010100001110011000001000011111011111000000011010100000011110110000000110000000000000000101111101110000011100010100001110011000001000011111010001111000000011010111110110000000110001010000111001100000100001111101111100000001101010000001111011000000011000000000000000010111110111000001110101010000111001100000100001111101000111011100000111011111011000000011010101000011100110000010000111110111101110000011101000000111101100000001100000000000000001011111011100000111000101000011100110000010000111110100011101110000011100111101100000001100010100001110011000001000011111011110111000001110001000011110110000000110000000000000000101111101110000011100010100001110011000001000011111010001110111000001110011110110000000110001010000111001100000100001111101111011100000111000100001111011000000011000000000000000010111110111000001110001010000111001100000100001111101000111011100000111001111011000000011000101000011100110000010000111110111101110000011100010000111101100000001100000000000000001011111011100000111000101000011100110000010000111110100011101110000011100111101100000001100010100001110011000001000011111011110111000001110001000011110110000000110000000000000000101111101110000011100010100001110011000001000011111010001110111000001110011110110000000110001010000111001100000100001111101111011100000111000100001111011000000011000000000000000010111110111000001110001010000111001100000100001111101000111011100000111001111011000000011000101000011100110000010000111110111101110000011100010000111101100000001100000000000000001011111011100000111000101000011100110000010000111110100011101110000011100111101100000001100010100001110011000001000011111011110111000001110001000011110110000000110000000000000000101111101110000011100010100001110011000001000011111010001110111000001110011110110000000110001010000111001100000100001111101111011100000111000100001111011000000011000000000000000010111110111000001110001010000111001100000100001111101000111011100000111001111011000000011000101000011100110000010000111110111101110000011100010000111101100000001100000000000000001011111011100000111000101000011100110000010000111110100011101110000011100111101100000001100010100001110011000001000011111011110111000001110001000011110110000000110000000000000000101111101110000011100010100001110011000001000011111010001110111000001110011110110000000110001010000111001100000100001111101111011100000111000100001111011000000011000000000000000010111110111000001110001010000111001100000100001111101000111011100000111001111011000000011000101000011100110000010000111110111101110000011100010000111101100000001100000000000000001011111011100000111000101000011100110000010000111110100011101110000011100111101100000001100010100001110011000001000011111011110111000001110001000011110110000000110000000000000000101111101110000011100010100001110011000001000011111010001110111000001110011110110000000110001010000111001100000100001111101111011100000111000100001111011000000011000000000000000010111110111000001110001010000111001100000100001111101000111011100000111001111011000000011000101000011100110000010000111110111101110000011100010000111101100000001100000000000000001011111011100000111000101000011100110000010000111110100011101110000011100111101100000001100010100001110011000001000011111011110111000001110001000011110110000000110000000000000000101111101110000011100010100001110011000001000011111010001110111000001110011110110000000110001010000111001100000100001111101111011100000111000100001111011000000011000000000000000010111110111000001110001010000111001100000100001111101000111011100000111001111011000000011000101000011100110000010000111110111101110000011100010000111101100000001100000000000000001011111011100000111000101000011100110000010000111110100011101110000011100111101100000001100010100001110011000001000011111011110111000001110001000011110110000000110000000000000000101111101110000011100010100001110011000001000011111010001110111000001110011110110000000110001010000111001100000100001111101111011100000111000100001111011000000011000000000000000010111110111000001110001010000111001100000100001111101000111011100000111001111011000000011000101000011100110000010000111110111101110000011100010000111101100000001100000000000000001011111011100000111000101000011100110000010000111110100011101110000011100111101100000001100010100001110011000001000011111011110111000001110001000011110110000000110000000000000000101111101110000011100010100001110011000001000011111010001110111000001110011110110000000110001010000111001100000100001111101111011100000111000100001111011000000011000000000000000010111110111000001110001010000111001100000100001111101000111011100000111001111011000000011000101000011100110000010000111110111101110000011100010000111101100000001100000000000000001011111011100000111000101000011100110000010000111110100011101110000011100111101100000001100010100001110011000001000011111011110111000001110001000011110110000000110000000000000000101111101110000011100010100001110011000001000011111010001110111000001110011110110000000110001010000111001100000100001111101111011100000111000100001111011000000011000000000000000010111110111000001110001010000111001100000100001111101000111011100000111001111011000000011000101000011100110000010000111110111101110000011100010000111101100000001100000000000000001011111011100000111000101000011100110000010000111110100011101110000011100111101100000001100010100001110011000001000011111011110111000001110001000011110110000000110000000000000000101111101110000011100010100001110011000001000011111010001110111000001110011110110000000110001010000111001100000100001111101111011100000111000100001111011000000011000000000000000010111110111000001110001010000111001100000100001111101000111011100000111001111011000000011000101000011100110000010000111110111101110000011100010000111101100000001100000000000000001011111011100000111000101000011100110000010000111110100011101110000011100111101100000001100010100001110011000001000011111011110111000001110001000011110110000000110000000000000000101111101110000011100010100001110011000001000011111010001110111000001110011110110000000110001010000111001100000100001111101111011100000111000100001111011000000011000000000000000010111110111000001110001010000111101100000100001111101000111011100000111011111000000011010000101000011110110000010000111110111101110000011101010000111100000001101000000000000000001011111011100000111000101000011110110000010000111110100011101110000011101111100000001101000010100001111011000001000011111011110111000001110100000011110000000110100100001111101100001111101110000011100010100001111011000001000011111010001110111000001110111110000000110100001010000111101100000100001111101111011100000111010000001111000000011010000000000000000010110001010111101100001010000111101100000100001111101000111011100000111011111000000011010000101000011110110000010000111110111101110000011101000000111100000001101000000000000000001011000101011110110010101000011110110000010000111110100000010101111011001111100000001101001010100001111011000001000011111010001010111101100100000011110000000110100000000000000000101100010101111011000010100001111011000001000011111010000001010111101100011110000000110100001010000111101100000100001111101000101011110110000100001111000000011010000000000000000010110001010111101100001010000111101100000100001111101000000101011110110001111000000011010000101000011110110000010000111110100010101111011000010000111100000001101000000000000000001011000101011110110000101000011110110000010000111110100000010101111011000111100000001101000010100001111011000001000011111010001010111101100001000011110000000110100000000000000000101100010101111011000010100001111011000001000011111010000001010111101100011110000000110100001010000111101100000100001111101000101011110110000100001111000000011010000000000000000010110001010111101100001010000111101100000100001111101000000101011110110001111000000011010000101000011110110000010000111110100010101111011000010000111100000001101000000000000000001011000101011110110000101000011110110000010000111110100000010101111011000111100000001101000010100001111011000001000011111010001010111101100001000011110000000110100000000000000000101100010101111011000010100001111011000001000011111010000001010111101100011110000000110100001010000111101100000100001111101000101011110110000100001111000000011010000000000000000010110001010111101100001010000111101100000100001111101000000101011110110001111000000011010000101000011110110000010000111110100010101111011000010000111100000001101000000000000000001011000101011110110000101000011110110000010000111110100000010101111011000111100000001101000010100001111011000001000011111010001010111101100001000011110000000110100000000000000000101100010101111011000010100001111011000001000011111010000001010111101100011110000000110100001010000111101100000100001111101000101011110110000100001111000000011010000000000000000010110001010111101100001010000111101100000100001111101000000101011110110001111000000011010000101000011110110000010000111110100010101111011000010000111100000001101000000000000000001011000101011110110000101000011110110000010000111110100000010101111011000111100000001101000010100001111011000001000011111010001010111101100001000011110000000110100000000000000000101100010101111011000010100001111011000001000011111010000001010111101100011110000000110100001010000111101100000100001111101000101011110110000100001111000000011010000000000000000010110001010111101100001010000111101100000100001111101000000101011110110001111000000011010000101000011110110000010000111110100010101111011000010000111100000001101000000000000000001011000101011110110000101000011110110000010000111110100000010101111011000111100000001101000010100001111011000001000011111010001010111101100001000011110000000110100000000000000000101100010101111011000010100001111011000001000011111010000001010111101100011110000000110100001010000111101100000100001111101000101011110110000100001111000000011010000000000000000010110001010111101100001010000111101100000100001111101000000101011110110001111000000011010000101000011110110000010000111110100010101111011000010000111100000001101000000000000000001011000101011110110000101000011110110000010000111110100000010101111011000111100000001101000010100001111011000001000011111010001010111101100001000011110000000110100000000000000000101100010101111011000010100001111011000001000011111010000001010111101100011110000000110100001010000111101100000100001111101000101011110110000100001111000000011010000000000000000010110001010111101100001010000111101100000100001111101000000101011110110001111000000011010000101000011110110000010000111110100010101111011000010000111100000001101000000000000000001011000101011110110000101000011110110000010000111110100000010101111011000111100000001101000010100001111011000001000011111010001010111101100001000011110000000110100000000000000000101100010101111011000010100001111011000001000011111010000001010111101100011110000000110100001010000111101100000100001111101000101011110110000100001111000000011010000000000000000010110001010111101100001010000111101100000100001111101000000101011110110001111000000011010000101000011110110000010000111110100010101111011000010000111100000001101000000000000000001011000101011110110000101000011110110000010000111110100000010101111011000111100000001101000010100001111011000001000011111010001010111101100001000011110000000110100000000000000000101100010101111011000010100001111011000001000011111010000001010111101100011110000000110100001010000111101100000100001111101000101011110110000100001111000000011010000000000000000010110001010111101100001010000111101100000100001111101000000101011110110001111000000011010000101000011110110000010000111110100010101111011000010000111100000001101000000000000000001011000101011110110000101000011110110000010000111110100000010101111011000111100000001101000010100001111011000001000011111010001010111101100001000011110000000110100000000000000000101100010101111011000010100001111011000001000011111010000001010111101100011110000000110100001010000111101100000100001111101000101011110110000100001111000000011010000000000000000010110001010111101100001010000111101100000100001111101000000101011110110001111000000011010000101000011110110000010000111110100010101111011000010000111100000001101000000000000000001011000101011110110000101000011110110000010000111110100000010101111011000111100000001101000010100001111011000001000011111010001010111101100001000011110000000110100000000000000000101100010101111011000010100001111011000001000011111010000001010111101100011110000000110100001010000111101100000100001111101000101011110110000100001111000000011010000000000000000010110001010111101100001010000111101100000100001111101000000101011110110001111000000011010000101000011110110000010000111110100010101111011000010000111100000001101000000000000000001011000101011110110000101000011100110000010100111110100000010101111011001111011100000111000010100001110011000001010011111010001010111101100101000011101110000011100000000000000000101100010101111011000010100001110011000001010011111010000001010111101100111101110000011100001010000111001100000101001111101000101011110110010000001110111000001110000001111110110000110001010111101100001010000111001100000101001111101000000101011110110011110111000001110000101000011100110000010100111110100010101111011001000000111011100000111000000000000000001011000100011110111000101000011100110000010100111110100000010101111011001111011100000111000010100001110011000001010011111010001010111101100100000011101110000011100000000000000000101100010001111011101010100001110011000001010011111010000001000111101110111101110000011100101010000111001100000101001111101000100011110111010000001110111000001110000000000000000010110001000111101110001010000111001100000101001111101000000100011110111001110111000001110000101000011100110000010100111110100010001111011100010000111011100000111000000000000000001011000100011110111000101000011100110000010100111110100000010001111011100111011100000111000010100001110011000001010011111010001000111101110001000011101110000011100000000000000000101100010001111011100010100001110011000001010011111010000001000111101110011101110000011100001010000111001100000101001111101000100011110111000100001110111000001110000000000000000010110001000111101110001010000111001100000101001111101000000100011110111001110111000001110000101000011100110000010100111110100010001111011100010000111011100000111000000000000000001011 + 1111111111111111000110000111001100000100000010111000111111111111111100000111000101001010001000110000111001100000100000010111111111111111111100001000000011100010100100000000000000001011111111111111111100011000011100110000010000001011100011111111111111110000011100010100101000100011000011100110000010000001011111111111111111110000100000001110001010010000000000000000101111111111111111110001100001110011000001000000101110001111111111111111000001110001010010100010001100001110011000001000000101111111111111111111000010000000111000101001000000000000000010111111111111111111000110000111001100000100000010111000111111111111111100000111000101001010001000110000111001100000100000010111111111111111111100001000000011100010100100000000000000001011111111111111111100011000011100110000010000001011100011111111111111110000011100010100101000100011000011100110000010000001011111111111111111110000100000001110001010010000000000000000101111111111111111110001100001110011000001000000101110001111111111111111000001110001010010100010001100001110011000001000000101111111111111111111000010000000111000101001000000000000000010111111111111111111000110000111001100000100000010111000111111111111111100000111000101001010001000110000111001100000100000010111111111111111111100001000000011100010100100000000000000001011111111111111111100011000011100110000010000001011100011111111111111110000011100010100101000100011000011100110000010000001011111111111111111110000100000001110001010010000000000000000101111111111111111110001100001110011000001000000101110001111111111111111000001110001010010100010001100001110011000001000000101111111111111111111000010000000111000101001000000000000000010111111111111111111000110000111001100000100000010111000111111111111111100000111000101001010001000110000111001100000100000010111111111111111111100001000000011100010100100000000000000001011111111111111111100011000011100110000010000001011100011111111111111110000011100010100101000100011000011100110000010000001011111111111111111110000100000001110001010010000000000000000101111111111111111110001100001110011000001000000101110001111111111111111000001110001010010100010001100001110011000001000000101111111111111111111000010000000111000101001000000000000000010111111111111111111000110000111001100000100000010111000111111111111111100000111000101001010001000110000111001100000100000010111111111111111111100001000000011100010100100000000000000001011111111111111111100011000011100110000010000001011100011111111111111110000011100010100101000100011000011100110000010000001011111111111111111110000100000001110001010010000000000000000101111111111111111110001100001110011000001000000101110001111111111111111000001110001010010100010001100001110011000001000000101111111111111111111000010000000111000101001000000000000000010111111111111111111000110000111001100000100000010111000111111111111111100000111000101001010001000110000111001100000100000010111111111111111111100001000000011100010100100000000000000001011111111111111111100011000011100110000010000001011100011111111111111110000011100010100101000100011000011100110000010000001011111111111111111110000100000001110001010010000000000000000101111111111111111110001100001110011000001000000101110001111111111111111000001110001010010100010001100001110011000001000000101111111111111111111000010000000111000101001000000000000000010111111111111111111000110000111001100000100000010111000111111111111111100000111000101001010001000110000111001100000100000010111111111111111111100001000000011100010100100000000000000001011111111111111111100011000011100110000010000001011100011111111111111110000011100010100101000100011000011100110000010000001011111111111111111110000100000001110001010010000000000000000101111111111111111110001100001110011000001000000101110001111111111111111000001110001010010100010001100001110011000001000000101111111111111111111000010000000111000101001000000000000000010111111111111111111000110000111001100000100000010111000111111111111111100000111000101001010001000110000111001100000100000010111111111111111111100001000000011100010100100000000000000001011111111111111111100011000011100110000010000001011100011111111111111110000011100010100101000100011000011100110000010000001011111111111111111110000100000001110001010010000000000000000101111111111111111110001100001110011000001000000101110001111111111111111000001110001010010100010001100001110011000001000000101111111111111111111000010000000111000101001000000000000000010111111111111111111000110000111001100000100000010111000111111111111111100000111000101001010001000110000111001100000100000010111111111111111111100001000000011100010100100000000000000001011111111111111111100011000011100110000010000001011100011111111111111110000011100010100101000100011000011100110000010000001011111111111111111110000100000001110001010010000000000000000101111111111111111110001100001110011000001000000101110001111111111111111000001110001010010100010001100001110011000001000000101111111111111111111000010000000111000101001000000000000000010111111111111111111000110000111001100000100000010111000111111111111111100000111000101001010001000110000111001100000100000010111111111111111111100001000000011100010100100000000000000001011111111111111111100011000011100110000010000001011100011111111111111110000011100010100101000100011000011100110000010000001011111111111111111110000100000001110001010010000000000000000101111111111111111110001100001110011000001000000101110001111111111111111000001110001010010100010001100001110011000001000000101111111111111111111000010000000111000101001000000000000000010111111111111111111000110000111001100000100000010111000111111111111111100000111000101001010001000110000111001100000100000010111111111111111111100001000000011100010100100000000000000001011111111111111111100011000011100110000010000001011100011111111111111110000011100010100101000100011000011100110000010000001011111111111111111110000100000001110001010010000000000000000101111111111111111110001100001110011000001000000101110001111111111111111000001110001010010100010001100001110011000001000000101111111111111111111000010000000111000101001000000000000000010111111111111111111000110000111001100000100000010111000111111111111111100000111000101001010001000110000111001100000100000010111111111111111111100001000000011100010100100000000000000001011111111111111111100011000011100110000010000001011100011111111111111110000011100010100101000100011000011100110000010000001011111111111111111110000100000001110001010010000000000000000101111111111111111110001100001110011000001000000101110001111111111111111000001110001010010100010001100001110011000001000000101111111111111111111000010000000111000101001000000000000000010111111111111111111000110000111001100000100000010111000111111111111111100000111000101001010001000110000111001100000100000010111111111111111111100001000000011100010100100000000000000001011111111111111111100011000011100110000010000001011100011111111111111110000011100010100101000100011000011100110000010000001011111111111111111110000100000001110001010010000000000000000101111111111111111110001100001110011000001000000101110001111111111111111000001110001010010100010001100001110011000001000000101111111111111111111000010000000111000101001000000000000000010111111111111111111000110000111001100000100000010111000111111111111111100000111000101001010001000110000111001100000100000010111111111111111111100001000000011100010100100000000000000001011111111111111111100011000011100110000010000001011100011111111111111110000011100010100101000100011000011100110000010000001011111111111111111110000100000001110001010010000000000000000101111111111111111110001100001110011000001000000101110001111111111111111000001110001010010100010001100001110011000001000000101111111111111111111000010000000111000101001000000000000000010111111111111111111000110000111001100000100000010111000111111111111111100000111000101001010001000110000111001100000100000010111111111111111111100001000000011100010100100000000000000001011111111111111111100011000011100110000010000001011100011111111111111110000011100010100101000100011000011100110000010000001011111111111111111110000100000001110001010010000000000000000101111111111111111110001100001110011000001000000101110001111111111111111000001110001010010100010001100001110011000001000000101111111111111111111000010000000111000101001000000000000000010111111111111111111000110000111001100000100000010111000111111111111111100000111000101001010001000110000111001100000100000010111111111111111111100001000000011100010100100000000000000001011111111111111111100011000011100110000010000001011100011111111111111110000011100010100101000100011000011100110000010000001011111111111111111110000100000001110001010010000000000000000101111111111111111110001100001110011000001000000101110001111111111111111000001110001010010100010001100001110011000001000000101111111111111111111000010000000111000101001000000000000000010111111111111111111000110000111001100000100000010111000111111111111111100000111000101001010001000110000111001100000100000010111111111111111111100001000000011100010100100000000000000001011111111111111111100011000011100110000010000001011100011111111111111110000011100010100101000100011000011100110000010000001011111111111111111110000100000001110001010010000000000000000101111111111111111110001100001110011000001000000101110001111111111111111000001110001010010100010001100001110011000001000000101111111111111111111000010000000111000101001000000000000000010111111111111111111000110000111001100000100000010111000111111111111111100000111000101001010001000110000111001100000100000010111111111111111111100001000000011100010100100000000000000001011111111111111111100011000011100110000010000001011100011111111111111110000011100010100101000100011000011100110000010000001011111111111111111110000100000001110001010010000000000000000101111111111111111110001100001110011000001000000101110001111111111111111000001110001010010100010001100001110011000001000000101111111111111111111000010000000111000101001000000000000000010111111111111111111000110000111001100000100000010111000111111111111111100000111000101001010001000110000111001100000100000010111111111111111111100001000000011100010100100000000000000001011111111111111111100011000011100110000010000001011100011111111111111110000011100010100101000100011000011100110000010000001011111111111111111110000100000001110001010010000000000000000101111111111111111110001100001110011000001000000101110001111111111111111000001110001010010100010001100001110011000001000000101111111111111111111000010000000111000101001000000000000000010111111111111111111000110000111001100000100000010111000111111111111111100000111000101001010001000110000111001100000100000010111111111111111111100001000000011100010100100000000000000001011111111111111111100011000011100110000010000001011100011111111111111110000011100010100101000100011000011100110000010000001011111111111111111110000100000001110001010010000000000000000101111111111111111110001100001110011000001000000101110001111111111111111000001110001010010100010001100001110011000001000000101111111111111111111000010000000111000101001000000000000000010111111111111111111000110000111001100000100000010111000111111111111111100000111000101001010001000110000111001100000100000010111111111111111111100001000000011100010100100000000000000001011111111111111111100011000011100110000010000001011100011111111111111110000011100010100101000100011000011100110000010000001011111111111111111110000100000001110001010010000000000000000101111111111111111110001100001110011000001000000101110001111111111111111000001110001010010100010001100001110011000001000000101111111111111111111000010000000111000101001000000000000000010111111111111111111000110000111001100000100000010111000111111111111111100000111000101001010001000110000111001100000100000010111111111111111111100001000000011100010100100000000000000001011111111111111111100011000011100110000010000001011100011111111111111110000011100010100101000100011000011100110000010000001011111111111111111110000100000001110001010010000000000000000101111111111111111110001100001110011000001000000101110001111111111111111000001110001010010100010001100001110011000001000000101111111111111111111000010000000111000101001000000000000000010111111111111111111000110000111001100000100000010111000111111111111111100000111000101001010001000110000111001100000100000010111111111111111111100001000000011100010100100000000000000001011111111111111111100011000011100110000010000001011100011111111111111110000011100010100101000100011000011100110000010000001011111111111111111110000100000001110001010010000000000000000101111111111111111110001100001110011000001000000101110001111111111111111000001110001010010100010001100001110011000001000000101111111111111111111000010000000111000101001000000000000000010111111111111111111000110000111001100000100000010111000111111111111111100000111000101001010001000110000111001100000100000010111111111111111111100001000000011100010100100000000000000001011111111111111111100011000011100110000010000001011100011111111111111110000011100010100101000100011000011100110000010000001011111111111111111110000100000001110001010010000000000000000101111111111111111110001100001110011000001000000101110001111111111111111000001110001010010100010001100001110011000001000000101111111111111111111000010000000111000101001000000000000000010111111111111111111000110000111001100000100000010111000111111111111111100000111000101001010001000110000111001100000100000010111111111111111111100001000000011100010100100000000000000001011111111111111111100011000011100110000010000001011100011111111111111110000011100010100101000100011000011100110000010000001011111111111111111110000100000001110001010010000000000000000101111111111111111110001100001110011000001000000101110001111111111111111000001110001010010100010001100001110011000001000000101111111111111111111000010000000111000101001000000000000000010111111111111111111000110000111001100000100000010111000111111111111111100000111000101001010001000110000111001100000100000010111111111111111111100001000000011100010100100000000000000001011111111111111111100011000011100110000010000001011100011111111111111110000011100010100101000100011000011100110000010000001011111111111111111110000100000001110001010010000000000000000101111111111111111110001100001110011000001000000101110001111111111111111000001110001010010100010001100001110011000001000000101111111111111111111000010000000111000101001000000000000000010111111111111111111000110000111001100000100000010111000111111111111111100000111000101001010001000110000111001100000100000010111111111111111111100001000000011100010100100000000000000001011111111111111111100011000011100110000010000001011100011111111111111110000011100010100101000100011000011100110000010000001011111111111111111110000100000001110001010010000000000000000101111111111111111110001100001110011000001000000101110001111111111111111000001110001010010100010001100001110011000001000000101111111111111111111000010000000111000101001000000000000000010111111111111111111000110000111001100000100000010111000111111111111111100000111000101001010001000110000111001100000100000010111111111111111111100001000000011100010100100000000000000001011111111111111111100011000011100110000010000001011100011111111111111110000011100010100101000100011000011100110000010000001011111111111111111110000100000001110001010010000000000000000101111111111111111110001100001110011000001000000101110001111111111111111000001110001010010100010001100001110011000001000000101111111111111111111000010000000111000101001000000000000000010111111111111111111000110000111001100000100000010111000111111111111111100000111000101001010001000110000111001100000100000010111111111111111111100001000000011100010100100000000000000001011111111111111111100011000011100110000010000001011100011111111111111110000011100010100101000100011000011100110000010000001011111111111111111110000100000001110001010010000000000000000101111111111111111110001100001110011000001000000101110001111111111111111000001110001010010100010001100001110011000001000000101111111111111111111000010000000111000101001000000000000000010111111111111111111000110000111001100000100000010111000111111111111111100000111000101001010001000110000111001100000100000010111111111111111111100001000000011100010100100000000000000001011111111111111111100011000011100110000010000001011100011111111111111110000011100010100101000100011000011100110000010000001011111111111111111110000000100001110001010010000000000000000000111111111111111110001100001110011000001000000101110001111111111111111000001110001010010100010001100001110011000001000000101111111111111111111000000010000111000101001000000000000000010111111111111111111000110000111001100000100000010111000111111111111111100000111000101001010001000110000111001100000100000010111111111111111111100000001000011100010100100000000000000001011111111111111111100011000011100110000010000001011100011111111111111110000011100010100101000100011000011100110000010000001011111111111111111110000000100001110001010010000000000000000101111111111111111110001100001110011000001000000101110001111111111111111000001110001010010100010001100001110011000001000000101111111111111111111000000010000111000101001000000000000000010111111111111111111000110000111001100000100000010111000111111111111111100000111000101001010001000110000111001100000100000010111111111111111111100000001000011100010100100000000000000001011111111111111111100011000011100110000010000001011100011111111111111110000011100010100101000100011000011100110000010000001011111111111111111110000000100001110001010010000000000000000101111111111111111110001100001110011000001000000101110001111111111111111000001110001010010100010001100001110011000001000000101111111111111111111000000010000111000101001000000000000000010111111111111111111000110000111001100000100000010111000111111111111111100000111000101001010001000110000111001100000100000010111111111111111111100001000000011100010100100000000000000001011111111111111111100011000011100110000010000001011100011111111111111110000011100010100101000100011000011100110000010000001011111111111111111110000100000001110001010010000000000000000101111111111111111110001100001110011000001000000101110001111111111111111000001110001010010100010001100001110011000001000000101111111111111111111000010000000111000101001000000000000000010111111111111111111000110000111001100000100000010111000111111111111111100000111000101001010001000110000111001100000100000010111111111111111111100001000000011100010100100000000000000001011111111111111111100011000011100110000010000001011100011111111111111110000011100010100101000100011000011100110000010000001011111111111111111110000100000001110001010010000000000000000101111111111111111110001100001110011000001000000101110001111111111111111000001110001010010100010001100001110011000001000000101111111111111111111000010000000111000101001000000000000000010111111111111111111000110000111001100000100000010111000111111111111111100000111000101001010001000110000111001100000100000010111111111111111111100001000000011100010100100000000000000001011111111111111111100011000011100110000010000001011100011111111111111110000011100010100101000100011000011100110000010000001011111111111111111110000100000001110001010010000000000000000101111111111111111110001100001110011000001000000101110001111111111111111000001110001010010100010001100001110011000001000000101111111111111111111000010000000111000101001000000000000000010111111111111111111000110000111001100000100000010111000111111111111111100000111000101001010001000110000111001100000100000010111111111111111111100001000000011100010100100000000000000001011111111111111111100011000011100110000010000001011100011111111111111110000011100010100101000100011000011100110000010000001011111111111111111110000100000001110001010010000000000000000101111111111111111110001100001110011000001000000101110001111111111111111000001110001010010100010001100001110011000001000000101111111111111111111000010000000111000101001000000000000000010111111111111111111000110000111001100000100000010111000111111111111111100000111000101001010001000110000111001100000100000010111111111111111111100001000000011100010100100000000000000001011111111111111111100011000011100110000010000001011100011111111111111110000011100010100101000100011000011100110000010000001011111111111111111110000100000001110001010010000000000000000101111111111111111110001100001110011000001000000101110001111111111111111000001110001010010100010001100001110011000001000000101111111111111111111000010000000111000101001000000000000000010111111111111111111000110000111001100000100000010111000111111111111111100000111000101001010001000110000111001100000100000010111111111111111111100001000000011100010100100000000000000001011111111111111111100011000011100110000010000001011100011111111111111110000011100010100101000100011000011100110000010000001011111111111111111110000100000001110001010010000000000000000101111111111111111110001100001110011000001000000101110001111111111111111000001110001010010100010001100001110011000001000000101111111111111111111000010000000111000101001000000000000000010111111111111111111000110000111001100000100000010111000111111111111111100000111000101001010001000110000111001100000100000010111111111111111111100001000000011100010100100000000000000001011111111111111111100011000011100110000010000001011100011111111111111110000011100010100101000100011000011100110000010000001011111111111111111110000100000001110001010010000000000000000101111111111111111110001100010110011000001000000101110001111111111111111000001110001010010100010001100001110011000001000000101111111111111111111000010000000111000101001000000000000000010111111111111111111000110001011001100000100000010111000111111111111111100000111000101001010001000110000111001100000100000010111111111111111111100001000000011100010100100000000000000001011111111111111111100011000101100110000010000001011100011111111111111110000011100010100101000100011000011100110000010000001011111111111111111110000100000001110001010010000000000000000101111111111111111110001100010110011000001000000101110001111111111111111000001110001010010100010001100001110011000001000000101111111111111111111000010000000111000101001000000000000000010111111111111111111000110001011001100000100000010111000111111111111111100000111000101001010001000110000111001100000100000010111111111111111111100001000000011100010100100000000000000001011111111111111111100011000101100110000010000001011100011111111111111110000011100010100101000100011000011100110000010000001011111111111111111110000100000001110001010010000000000000000101111111111111111110001100010110011000001000000101110001111111111111111000001110001010010100010001100001110011000001000000101111111111111111111000010000000111000101001000000000000000010111111111111111111000110001011001100000100000010111000111111111111111100000111000101001010001000110000111001100000100000010111111111111111111100001000000011100010100100000000000000001011111111111111111100011000101100110000010000001011100011111111111111110000011100010100101000100011000011100110000010000001011111111111111111110000100000001110001010010000000000000000101111111111111111110001100010110011000001000000101110001111111111111111000001110001010010100010001100001110011000001000000101111111111111111111000010000000111000101001000000000000000010111111111111111111000110001011001100000100000010111000111111111111111100000111000101001010001000110000111001100000100000010111111111111111111100001000000011100010100100000000000000001011111111111111111100101010101100110000010000001001100011111111111111111010101000001001101000100011000011100110000010000001011111111111111111110000100000001110001010010000000000000000101111111111111111110010101010110011000001000000100110001111111111111111101010100000100110100010010101010110011000001000000100111111111111111111100010000101010000010011000000000000000010111111111111111111001010101011001100000100000010011000111111111111111110101010000010011010001001010101011001100000100000010011111111111111111111000000010101000001001100110101010110001001111111111111111100101010101100110000010000001001100011111111111111111010101000001001101000100101010101100110000010000001001111111111111111111100000001010100000100110000000000000000101111111111111111110010101010110011000001000000100110001111111111111111101010100000100110100010010101010110011000001000000100111111111111111111110000000101010000010011000000000000000010111111111111111111001010101011001100000100000010011000111111111111111110101010000010011010001001010101011001100000100000010011111111111111111110100000010101000001001100000000000000001011111111111111111100101010101100110000010000001001100011111111111111111010101000001001101000100101010101100110000010000001001111111111111111111001000001010100000100110000000010011100001111111111111111110010101010110011000001000000100110001111111111111111101010100000100110100010010101010110011000001000000100111111111111111111100100000101010000010011000000000000000010110001011111010000001010101011001100000100000010011000111111111111111110101010000010011010001001010101011001100000100000010011111111111111111110010000010101000001001100000000000000001011000101111101000010101010101100110000010000001001100000010111110100001010101000001001101000110101010101100110000010000001001100010111110100001001000001010100000100110000000000000000101100010111110100000010101010110011000001000000100110000001011111010000001010100000100110100010010101010110011000001000000100110001011111010000001000000101010000010011000000000000000010110001011111010000001010101011001100000100000010011000000101111101000000101010000010011010001001010101011001100000100000010011000101111101000000100000010101000001001100000000000000001011000101111101000000101010101100110000010000001001100000010111110100000010101000001001101000100101010101100110000010000001001100010111110100000010000001010100000100110000000000000000101100010111110100000010101010110011000001000000100110000001011111010000001010100000100110100010010101010110011000001000000100110001011111010000001000000101010000010011000000000000000010110001011111010000001010101011001100000100000010011000000101111101000000101010000010011010001001010101011001100000100000010011000101111101000000100000010101000001001100000000000000001011000101111101000000101010101100110000010000001001100000010111110100000010101000001001101000100101010101100110000010000001001100010111110100000010000001010100000100110000000000000000101100010111110100000010101010110011000001000000100110000001011111010000001010100000100110100010010101010110011000001000000100110001011111010000001000000101010000010011000000000000000010110001011111010000001010101011001100000100000010011000000101111101000000101010000010011010001001010101011001100000100000010011000101111101000000100000010101000001001100000000000000001011000101111101000000101010101100110000010000001001100000010111110100000010101000001001101000100101010101100110000010000001001100010111110100000010000001010100000100110000000000000000101100010111110100000010101010110011000001000000100110000001011111010000001010100000100110100010010101010110011000001000000100110001011111010000001000000101010000010011000000000000000010110001011111010000001010101011001100000100000010011000000101111101000000101010000010011010001001010101011001100000100000010011000101111101000000100000010101000001001100000000000000001011000101111101000000101010101100110000010000001001100000010111110100000010101000001001101000100101010101100110000010000001001100010111110100000010000001010100000100110000000000000000101100010111110100000010101010110011000001000000100110000001011111010000001010100000100110100010010101010110011000001000000100110001011111010000001000000101010000010011000000000000000010110001011111010000001010101011001100000100000010011000000101111101000000101010000010011010001001010101011001100000100000010011000101111101000000100000010101000001001100000000000000001011000101111101000000101010101100110000010000001001100000010111110100000010101000001001101000100101010101100110000010000001001100010111110100000010000001010100000100110000000000000000101100010111110100000010101010110011000001000000100110000001011111010000001010100000100110100010010101010110011000001000000100110001011111010000001000000101010000010011000000000000000010110001011111010000001010101011001100000100000010011000000101111101000000101010000010011010001001010101011001100000100000010011000101111101000000100000010101000001001100000000000000001011000101111101000000101010101100110000010000001001100000010111110100000010101000001001101000100101010101100110000010000001001100010111110100000010000001010100000100110000000000000000101100010111110100000010101010110011000001000000100110000001011111010000001010100000100110100010010101010110011000001000000100110001011111010000001000000101010000010011000000000000000010110001011111010000001010101011001100000100000010011000000101111101000000101010000010011010001001010101011001100000100000010011000101111101000000100000010101000001001100000000000000001011000101111101000000101010101100110000010000001001100000010111110100000010101000001001101000100101010101100110000010000001001100010111110100000010000001010100000100110000000000000000101100010111110100000010101010110011000001000000100110000001011111010000001010100000100110100010010101010110011000001000000100110001011111010000001000000101010000010011000000000000000010110001011111010000001010101011001100000100000010011000000101111101000000101010000010011010001001010101011001100000100000010011000101111101000000100000010101000001001100000000000000001011000101111101000000101010101100110000010000001001100000010111110100000010101000001001101000100101010101100110000010000001001100010111110100000010000001010100000100110000000000000000101100010111110100000010101010110011000001000000100110000001011111010000001010100000100110100010010101010110011000001000000100110001011111010000001000000101010000010011000000000000000010110001011111010000001010101011001100000100000010011000000101111101000000101010000010011010001001010101011001100000100000010011000101111101000000100000010101000001001100000000000000001011000101111101000000101010101100110000010000001001100000010111110100000010101000001001101000100101010101100110000010000001001100010111110100000010000001010100000100110000000000000000101100010111110100000010101010110011000001000000100110000001011111010000001010100000100110100010010101010110011000001000000100110001011111010000001000000101010000010011000000000000000010110001011111010000001010101011001100000100000010011000000101111101000000101010000010011010001001010101011001100000100000010011000101111101000000100000010101000001001100000000000000001011000101111101000000101010101100110000010000001001100000010111110100000010101000001001101000100101010101100110000010000001001100010111110100000010000001010100000100110000000000000000101100010111110100000010101010110011000001000000100110000001011111010000001010100000100110100010010101010110011000001000000100110001011111010000001000000101010000010011000000000000000010110001011111010000001010101011001100000100000010011000000101111101000000101010000010011010001001010101011001100000100000010011000101111101000000100000010101000001001100000000000000001011000101111101000000101010101100110000010000001001100000010111110100000010101000001001101000100101010101100110000010000001001100010111110100000010000001010100000100110000000000000000101100010111110100000010101010110011000001000000100110000001011111010000001010100000100110100010010101010110011000001000000100110001011111010000001000000101010000010011000000000000000010110001011111010000001010101011001100000100000010011000000101111101000000101010000010011010001001010101011001100000100000010011000101111101000000100000010101000001001100000000000000001011000101111101000000101010101100110000010000001001100000010111110100000010101000001001101000100101010101100110000010000001001100010111110100000010000001010100000100110000000000000000101100010111110100000010101010110011000001000000100110000001011111010000001010100000100110100010010101010110011000001000000100110001011111010000001000000101010000010011000000000000000010110001011111010000001010101011001100000100000010011000000101111101000000101010000010011010001001010101011001100000100000010011000101111101000000100000010101000001001100000000000000001011000101111101000000101010101100110000010000001001100000010111110100000010101000001001101000100101010101100110000010000001001100010111110100000010000001010100000100110000000000000000101100010111110100000010101010110011000001000000100110000001011111010000001010100000100110100010010101010110011000001000000100110001011111010000001000000101010000010011000000000000000010110001011111010000001010101011001100000100000010011000000101111101000000101010000010011010001001010101011001100000100000010011000101111101000000100000010101000001001100000000000000001011000101111101000000101010101100110000010000001001100000010111110100000010101000001001101000100101010101100110000010000001001100010111110100000010000001010100000100110000000000000000101100010111110100000010101010110011000001000000100110000001011111010000001010100000100110100010010101010110011000001000000100110001011111010000001000000101010000010011000000000000000010110001011111010000001010101011001100000100000010011000000101111101000000101010000010011010001001010101011001100000100000010011000101111101000000100000010101000001001100000000000000001011000101111101000000101010101100110000010000001001100000010111110100000010101000001001101000100101010101100110000010000001001100010111110100000010000001010100000100110000000000000000101100010111110100000010101010110011000001000000100110000001011111010000001010100000100110100010010101010110011000001000000100110001011111010000001000000101010000010011000000000000000010110001011111010000001010101011001100000100000010011000000101111101000000101010000010011010001001010101011001100000100000010011000101111101000000100000010101000001001100000000000000001011000101111101000000101010101100110000010000001001100000010111110100000010101000001001101000100101010101100110000010000001001100010111110100000010000001010100000100110000000000000000101100010111110100000010101010110011000001000000100110000001011111010000001010100000100110100010010101010110011000001000000100110001011111010000001000000101010000010011000000000000000010110001011111010000001010101011001100000100000010011000000101111101000000101010000010011010001001010101011001100000100000010011000101111101000000100000010101000001001100000000000000001011000101111101000000101010101100110000010000001001100000010111110100000010101000001001101000100101010101100110000010000001001100010111110100000010000001010100000100110000000000000000101100010111110100000010101010110011000001000000100110000001011111010000001010100000100110100010010101010110011000001000000100110001011111010000001000000101010000010011000000000000000010110001011111010000001010101011001100000100000010011000000101111101000000101010000010011010001001010101011001100000100000010011000101111101000000100000010101000001001100000000000000001011000101111101000000101010101100110000010000001001100000010111110100000010101000001001101000100101010101100110000010000001001100010111110100000010000001010100000100110000000000000000101100010111110100000010101010110011000001000000100110000001011111010000001010100000100110100010010101010110011000001000000100110001011111010000001000000101010000010011000000000000000010110001011111010000001010101011001100000100000010011000000101111101000000101010000010011010001001010101011001100000100000010011000101111101000000100000010101000001001100000000000000001011000101111101000000101010101100110000010000001001100000010111110100000010101000001001101000100101010101100110000010000001001100010111110100000010000001010100000100110000000000000000101100010111110100000010101010110011000001000000100110000001011111010000001010100000100110100010010101010110011000001000000100110001011111010000001000000101010000010011000000000000000010110001011111010000001010101011001100000100000010011000000101111101000000101010000010011010001001010101011001100000100000010011000101111101000000100000010101000001001100000000000000001011000101111101000000101010101100110000010000001001100000010111110100000010101000001001101000100101010101100110000010000001001100010111110100000010000001010100000100110000000000000000101100010111110100000010101010110011000001000000100110000001011111010000001010100000100110100010010101010110011000001000000100110001011111010000001000000101010000010011000000000000000010110001011111010000001010101011001100000100000010011000000101111101000000101010000010011010001001010101011001100000100000010011000101111101000000100000010101000001001100000000000000001011000101111101000000101010101100110000010000001001100000010111110100000010101000001001101000100101010101100110000010000001001100010111110100000010000001010100000100110000000000000000101100010111110100000010101010110011000001000000100110000001011111010000001010100000100110100010010101010110011000001000000100110001011111010000001000000101010000010011000000000000000010110001011111010000001010101011001100000100000010011000000101111101000000101010000010011010001001010101011001100000100000010011000101111101000000100000010101000001001100000000000000001011000101111101000000101010101100110000010000001001100000010111110100000010101000001001101000100101010101100110000010000001001100010111110100000010000001010100000100110000000000000000101100010111110100000010101010110011000001000000100110000001011111010000001010100000100110100010010101010110011000001000000100110001011111010000001000000101010000010011000000000000000010110001011111010000001010101011001100000100000010011000000101111101000000101010000010011010001001010101011001100000100000010011000101111101000000100000010101000001001100000000000000001011000101111101000000101010101100110000010000001001100000010111110100000010101000001001101000100101010101100110000010000001001100010111110100000010000001010100000100110000000000000000101100010111110100000010101010110011000001000000100110000001011111010000001010100000100110100010010101010110011000001000000100110001011111010000001000000101010000010011000000000000000010110001011111010000001010101011001100000100000010011000000101111101000000101010000010011010001001010101011001100000100000010011000101111101000000100000010101000001001100000000000000001011000101111101000000101010101100110000010000001001100000010111110100000010101000001001101000100101010101100110000010000001001100010111110100000010000001010100000100110000000000000000101100010111110100000010101010110011000001000000100110000001011111010000001010100000100110100010010101010110011000001000000100110001011111010000001000000101010000010011000000000000000010110001011111010000001010101011001100000100000010011000000101111101000000101010000010011010001001010101011001100000100000010011000101111101000000100000010101000001001100000000000000001011000101111101000000101010101100110000010000001001100000010111110100000010101000001001101000100101010101100110000010000001001100010111110100000010000001010100000100110000000000000000101100010111110100000010101010110011000001000000100110000001011111010000001010100000100110100010010101010110011000001000000100110001011111010000001000000101010000010011000000000000000010110001011111010000001010101011001100000100000010011000000101111101000000101010000010011010001001010101011001100000100000010011000101111101000000100000010101000001001100000000000000001011000101111101000000101010101100110000010000001001100000010111110100000010101000001001101000100101010101100110000010000001001100010111110100000010000001010100000100110000000000000000101100010111110100000010101010110011000001000000100110000001011111010000001010100000100110100010010101010110011000001000000100110001011111010000001000000101010000010011000000000000000010110001011111010000001010101011001100000100000010011000000101111101000000101010000010011010001001010101011001100000100000010011000101111101000000100000010101000001001100000000000000001011000101111101000000101010101100110000010000001001100000010111110100000010101000001001101000100101010101100110000010000001001100010111110100000010000001010100000100110000000000000000101100010111110100000010101010110011000001000000100110000001011111010000001010100000100110100010010101010110011000001000000100110001011111010000001000000101010000010011000000000000000010110001011111010000001010101011001100000100000010011000000101111101000000101010000010011010001001010101011001100000100000010011000101111101000000100000010101000001001100000000000000001011000101111101000000101010101100110000010000001001100000010111110100000010101000001001101000100101010101100110000010000001001100010111110100000010000001010100000100110000000000000000101100010111110100000010101010110011000001000000100110000001011111010000001010100000100110100010010101010110011000001000000100110001011111010000001000000101010000010011000000000000000010110001011111010000001010101011001100000100000010011000000101111101000000101010000010011010001001010101011001100000100000010011000101111101000000100000010101000001001100000000000000001011000101111101000000101010101100110000010000001001100000010111110100000010101000001001101000100101010101100110000010000001001100010111110100000010000001010100000100110000000000000000101100010111110100000010101010110011000001000000100110000001011111010000001010100000100110100010010101010110011000001000000100110001011111010000001000000101010000010011000000000000000010110001011111010000001010101011001100000100000010011000000101111101000000101010000010011010001001010101011001100000100000010011000101111101000000100000010101000001001100000000000000001011000101111101000000101010101100110000010000001001100000010111110100000010101000001001101000100101010101100110000010000001001100010111110100000010000001010100000100110000000000000000101100010111110100000010101010110011000001000000100110000001011111010000001010100000100110100010010101010110011000001000000100110001011111010000001000000101010000010011000000000000000010110001011111010000001010101011001100000100000010011000000101111101000000101010000010011010001001010101011001100000100000010011000101111101000000100000010101000001001100000000000000001011000101111101000000101010101100110000010000001001100000010111110100000010101000001001101000100101010101100110000010000001001100010111110100000010000001010100000100110000000000000000101100010111110100000010101010110011000001000000100110000001011111010000001010100000100110100010010101010110011000001000000100110001011111010000001000000101010000010011000000000000000010110001011111010000001010101011001100000100000010011000000101111101000000101010000010011010001001010101011001100000100000010011000101111101000000100000010101000001001100000000000000001011000101111101000000101010101100110000010000001001100000010111110100000010101000001001101000100101010101100110000010000001001100010111110100000010000001010100000100110000000000000000101100010111110100000010101010110011000001000000100110000001011111010000001010100000100110100010010101010110011000001000000100110001011111010000001000000101010000010011000000000000000010110001011111010000001010101011001100000100000010011000000101111101000000101010000010011010001001010101011001100000100000010011000101111101000000100000010101000001001100000000000000001011000101111101000000101010101100110000010000001001100000010111110100000010101000001001101000100101010101100110000010000001001100010111110100000010000001010100000100110000000000000000101100010111110100000010101010110011000001000000100110000001011111010000001010100000100110100010010101010110011000001000000100110001011111010000001000000101010000010011000000000000000010110001011111010000001010101011001100000100000010011000000101111101000000101010000010011010001001010101011001100000100000010011000101111101000000100000010101000001001100000000000000001011000101111101000000101010101100110000010000001001100000010111110100000010101000001001101000100101010101100110000010000001001100010111110100000010000001010100000100110000000000000000101100010111110100000010101010110011000001000000100110000001011111010000001010100000100110100010010101010110011000001000000100110001011111010000001000000101010000010011000000000000000010110001011111010000001010101011001100000100000010011000000101111101000000101010000010011010001001010101011001100000100000010011000101111101000000100000010101000001001100000000000000001011000101111101000000101010101110110000010000001001100000010111110100001010101000001001101000100101010101100110000010000001001100010111110100000010000001010100000100110000000000000000101100010111110100000010101010111011000001000000100110000001011111010000101010100000100110100010010101010111011000001000000100110001011111010000101000000101010000010011000000000000000010110001011111010000001010101011101100000100000010011000000101111101000010101010000010011010001001010101011101100000100000010011000101111101000010010000010101000001001101000000100111000011000101111101000000101010101110110000010000001001100000010111110100001010101000001001101000100101010101110110000010000001001100010111110100001001000001010100000100110000000000000000101111011110000000000010101010111011000001000000100110000001011111010000101010100000100110100010010101010111011000001000000100110001011111010000100100000101010000010011000000000000000010111101111000000000101010101011101100000100000010011000110111100000000010101010000010011010001101010101011101100000100000010011110111100000000010010000010101000001001100000000000000001011110111100000000000101010101110110000010000001001100011011110000000000010101000001001101000100101010101110110000010000001001111011110000000000010000001010100000100110000000000000000101111011110000000000010101010111011000001000000100110001101111000000000001010100000100110100010010101010111011000001000000100111101111000000000001000000101010000010011000000000000000010111101111000000000001010101011101100000100000010011000110111100000000000101010000010011010001001010101011101100000100000010011110111100000000000100000010101000001001100000000000000001011110111100000000000101010101110110000010000001001100011011110000000000010101000001001101000100101010101110110000010000001001111011110000000000010000001010100000100110000000000000000101111011110000000000010101010111011000001000000100110001101111000000000001010100000100110100010010101010111011000001000000100111101111000000000001000000101010000010011000000000000000010111101111000000000001010101011101100000100000010011000110111100000000000101010000010011010001001010101011101100000100000010011110111100000000000100000010101000001001100000000000000001011110111100000000000101010101110110000010000001001100011011110000000000010101000001001101000100101010101110110000010000001001111011110000000000010000001010100000100110000000000000000101111011110000000000010101010111011000001000000100110001101111000000000001010100000100110100010010101010111011000001000000100111101111000000000001000000101010000010011000000000000000010111101111000000000001010101011101100000100000010011000110111100000000000101010000010011010001001010101011101100000100000010011110111100000000000100000010101000001001100000000000000001011110111100000000000101010101110110000010000001001100011011110000000000010101000001001101000100101010101110110000010000001001111011110000000000010000001010100000100110000000000000000101111011110000000000010101010111011000001000000100110001101111000000000001010100000100110100010010101010111011000001000000100111101111000000000001000000101010000010011000000000000000010111101111000000000001010101011101100000100000010011000110111100000000000101010000010011010001001010101011101100000100000010011110111100000000000100000010101000001001100000000000000001011110111100000000000101010101110110000010000001001100011011110000000000010101000001001101000100101010101110110000010000001001111011110000000000010000001010100000100110000000000000000101111011110000000000010101010111011000001000000100110001101111000000000001010100000100110100010010101010111011000001000000100111101111000000000001000000101010000010011000000000000000010111101111000000000001010101011101100000100000010011000110111100000000000101010000010011010001001010101011101100000100000010011110111100000000000100000010101000001001100000000000000001011110111100000000000101010101110110000010000001001100011011110000000000010101000001001101000100101010101110110000010000001001111011110000000000010000001010100000100110000000000000000101111011110000000000010101010111011000001000000100110001101111000000000001010100000100110100010010101010111011000001000000100111101111000000000001000000101010000010011000000000000000010111101111000000000001010101011101100000100000010011000110111100000000000101010000010011010001001010101011101100000100000010011110111100000000000100000010101000001001100000000000000001011110111100000000000101010101110110000010000001001100011011110000000000010101000001001101000100101010101110110000010000001001111011110000000000010000001010100000100110000000000000000101111011110000000000010101010111011000001000000100110001101111000000000001010100000100110100010010101010111011000001000000100111101111000000000001000000101010000010011000000000000000010111101111000000000001010101011101100000100000010011000110111100000000000101010000010011010001001010101011101100000100000010011110111100000000000100000010101000001001100000000000000001011110111100000000000101010101110110000010000001001100011011110000000000010101000001001101000100101010101110110000010000001001111011110000000000010000001010100000100110000000000000000101111011110000000000010101010111011000001000000100110001101111000000000001010100000100110100010010101010111011000001000000100111101111000000000001000000101010000010011000000000000000010111101111000000000001010101011101100000100000010011000110111100000000000101010000010011010001001010101011101100000100000010011110111100000000000100000010101000001001100000000000000001011110111100000000000101010101110110000010000001001100011011110000000000010101000001001101000100101010101110110000010000001001111011110000000000010000001010100000100110000000000000000101111011110000000000010101010111011000001000000100110001101111000000000001010100000100110100010010101010111011000001000000100111101111000000000001000000101010000010011000000000000000010111101111000000000001010101011101100000100000010011000110111100000000000101010000010011010001001010101011101100000100000010011110111100000000000100000010101000001001100000000000000001011110111100000000000101010101110110000010000001001100011011110000000000010101000001001101000100101010101110110000010000001001111011110000000000010000001010100000100110000000000000000101111011110000000000010101010111011000001000000100110001101111000000000001010100000100110100010010101010111011000001000000100111101111000000000001000000101010000010011000000000000000010111101111000000000001010101011101100000100000010011000110111100000000000101010000010011010001001010101011101100000100000010011110111100000000000100000010101000001001100000000000000001011110111100000000000101010101110110000010000001001100011011110000000000010101000001001101000100101010101110110000010000001001111011110000000000010000001010100000100110000000000000000101111011110000000000010101010110011000001010000100110001101111000000000100010111110100000100010010101010111011000001000000100111101111000000000001000000101010000010011000000000000000010111101111000000000001010101011001100000101000010011000110111100000000010001011111010000010001001010101011001100000101000010011110111100000000010100000000101111101000000000000000000001011110111100000000000101010101100110000010100001001100011011110000000001000101111101000001000100101010101100110000010100001001111011110000000001001000000010111110100000000010010011100001111011110000000000010101010110011000001010000100110001101111000000000100010111110100000100010010101010110011000001010000100111101111000000000100100000001011111010000000000000000000010110000100000000010001010101011001100000101000010011000110111100000000010001011111010000010001001010101011001100000101000010011110111100000000010010000000101111101000000000000000000001011000010000000001010101010101100110000010100001001100000001000000000101000101111101000001000110101010101100110000010100001001100001000000000101001000000010111110100000000000000000000101100001000000000100010101010110011000001010000100110000000100000000010000010111110100000100010010101010110011000001010000100110000100000000010001000000001011111010000000000000000000010110000100000000010001010101011001100000101000010011000000010000000001000001011111010000010001001010101011001100000101000010011000010000000001000100000000101111101000000000000000000001011000010000000001000101010101100110000010100001001100000001000000000100000101111101000001000100101010101100110000010100001001100001000000000100010000000010111110100000000000000000000101100001000000000100010101010110011000001010000100110000000100000000010000010111110100000100010010101010110011000001010000100110000100000000010001000000001011111010000000000000000000010110000100000000010001010101011001100000101000010011000000010000000001000001011111010000010001001010101011001100000101000010011000010000000001000100000000101111101000000000000000000001011000010000000001000101010101100110000010100001001100000001000000000100000101111101000001000100101010101100110000010100001001100001000000000100010000000010111110100000000000000000000101100001000000000100010101010110011000001010000100110000000100000000010000010111110100000100010010101010110011000001010000100110000100000000010001000000001011111010000000000000000000010110000100000000010001010101011001100000101000010011000000010000000001000001011111010000010001001010101011001100000101000010011000010000000001000100000000101111101000000000000000000001011000010000000001000101010101100110000010100001001100000001000000000100000101111101000001000100101010101100110000010100001001100001000000000100010000000010111110100000000000000000000101100001000000000100010101010110011000001010000100110000000100000000010000010111110100000100010010101010110011000001010000100110000100000000010001000000001011111010000000000000000000010110000100000000010001010101011001100000101000010011000000010000000001000001011111010000010001001010101011001100000101000010011000010000000001000100000000101111101000000000000000000001011000010000000001000101010101100110000010100001001100000001000000000100000101111101000001000100101010101100110000010100001001100001000000000100010000000010111110100000000000000000000101100001000000000100010101010110011000001010000100110000000100000000010000010111110100000100010010101010110011000001010000100110000100000000010001000000001011111010000000000000000000010110000100000000010001010101011001100000101000010011000000010000000001000001011111010000010001001010101011001100000101000010011000010000000001000100000000101111101000000000000000000001011000010000000001000101010101100110000010100001001100000001000000000100000101111101000001000100101010101100110000010100001001100001000000000100010000000010111110100000000000000000000101100001000000000100010101010110011000001010000100110000000100000000010000010111110100000100010010101010110011000001010000100110000100000000010001000000001011111010000000000000000000010110000100000000010001010101011001100000101000010011000000010000000001000001011111010000010001001010101011001100000101000010011000010000000001000100000000101111101000000000000000000001011000010000000001000101010101100110000010100001001100000001000000000100000101111101000001000100101010101100110000010100001001100001000000000100010000000010111110100000000000000000000101100001000000000100010101010110011000001010000100110000000100000000010000010111110100000100010010101010110011000001010000100110000100000000010001000000001011111010000000000000000000010110000100000000010001010101011001100000101000010011000000010000000001000001011111010000010001001010101011001100000101000010011000010000000001000100000000101111101000000000000000000001011000010000000001000101010101100110000010100001001100000001000000000100000101111101000001000100101010101100110000010100001001100001000000000100010000000010111110100000000000000000000101100001000000000100010101010110011000001010000100110000000100000000010000010111110100000100010010101010110011000001010000100110000100000000010001000000001011111010000000000000000000010110000100000000010001010101011001100000101000010011000000010000000001000001011111010000010001001010101011001100000101000010011000010000000001000100000000101111101000000000000000000001011000010000000001000101010101100110000010100001001100000001000000000100000101111101000001000100101010101100110000010100001001100001000000000100010000000010111110100000000000000000000101100001000000000100010101010110011000001010000100110000000100000000010000010111110100000100010010101010110011000001010000100110000100000000010001000000001011111010000000000000000000010110000100000000010001010101011001100000101000010011000000010000000001000001011111010000010001001010101011001100000101000010011000010000000001000100000000101111101000000000000000000001011000010000000001000101010101100110000010100001001100000001000000000100000101111101000001000100101010101100110000010100001001100001000000000100010000000010111110100000000000000000000101100001000000000100010101010110011000001010000100110000000100000000010000010111110100000100010010101010110011000001010000100110000100000000010001000000001011111010000000000000000000010110000100000000010001010101011001100000101000010011000000010000000001000001011111010000010001001010101011001100000101000010011000010000000001000100000000101111101000000000000000000001011000010000000001000101010101100110000010100001001100000001000000000100000101111101000001000100101010101100110000010100001001100001000000000100010000000010111110100000000000000000000101100001000000000100010101010110011000001010000100110000000100000000010000010111110100000100010010101010110011000001010000100110000100000000010001000000001011111010000000000000000000010110000100000000010001010101011101100000101000010011000000010000000001011101111000000000010001001010101011001100000101000010011000010000000001000100000000101111101000000000000000000001011000010000000001000101010101110110000010100001001100000001000000000101110111100000000001000100101010101110110000010100001001100001000000000101010000011011110000000000000000000000000101100001000000000100010101010111011000001010000100110000000100000000010111011110000000000100010010101010111011000001010000100110000100000000010100100001101111000000000010001001001110000110000100000000010001010101011101100000101000010011000000010000000001011101111000000000010001001010101011101100000101000010011000010000000001010010000110111100000000000000000000000001011100100100011010000101010101110110000010100001001100000001000000000101110111100000000001000100101010101110110000010100001001100001000000000101001000011011110000000000000000000000000101110010010001101001010101010111011000001010000100110001001001000110100111011110000000000100011010101010111011000001010000100111001001000110100100100001101111000000000000000000000000010111001001000110100001010101011101100000101000010011000100100100011010001101111000000000010001001010101011101100000101000010011100100100011010000100000110111100000000000000000000000001011100100100011010000101010101110110000010100001001100010010010001101000110111100000000001000100101010101110110000010100001001110010010001101000010000011011110000000000000000000000000101110010010001101000010101010111011000001010000100110001001001000110100011011110000000000100010010101010111011000001010000100111001001000110100001000001101111000000000000000000000000010111001001000110100001010101011101100000101000010011000100100100011010001101111000000000010001001010101011101100000101000010011100100100011010000100000110111100000000000000000000000001011100100100011010000101010101110110000010100001001100010010010001101000110111100000000001000100101010101110110000010100001001110010010001101000010000011011110000000000000000000000000101110010010001101000010101010111011000001010000100110001001001000110100011011110000000000100010010101010111011000001010000100111001001000110100001000001101111000000000000000000000000010111001001000110100001010101011101100000101000010011000100100100011010001101111000000000010001001010101011101100000101000010011100100100011010000100000110111100000000000000000000000001011100100100011010000101010101110110000010100001001100010010010001101000110111100000000001000100101010101110110000010100001001110010010001101000010000011011110000000000000000000000000101110010010001101000010101010111011000001010000100110001001001000110100011011110000000000100010010101010111011000001010000100111001001000110100001000001101111000000000000000000000000010111001001000110100001010101011101100000101000010011000100100100011010001101111000000000010001001010101011101100000101000010011100100100011010000100000110111100000000000000000000000001011100100100011010000101010101110110000010100001001100010010010001101000110111100000000001000100101010101110110000010100001001110010010001101000010000011011110000000000000000000000000101110010010001101000010101010111011000001010000100110001001001000110100011011110000000000100010010101010111011000001010000100111001001000110100001000001101111000000000000000000000000010111001001000110100001010101011101100000101000010011000100100100011010001101111000000000010001001010101011101100000101000010011100100100011010000100000110111100000000000000000000000001011100100100011010000101010101110110000010100001001100010010010001101000110111100000000001000100101010101110110000010100001001110010010001101000010000011011110000000000000000000000000101110010010001101000010101010111011000001010000100110001001001000110100011011110000000000100010010101010111011000001010000100111001001000110100001000001101111000000000000000000000000010111001001000110100001010101011101100000101000010011000100100100011010001101111000000000010001001010101011101100000101000010011100100100011010000100000110111100000000000000000000000001011100100100011010000101010101110110000010100001001100010010010001101000110111100000000001000100101010101110110000010100001001110010010001101000010000011011110000000000000000000000000101110010010001101000010101010111011000001010000100110001001001000110100011011110000000000100010010101010111011000001010000100111001001000110100001000001101111000000000000000000000000010111001001000110100001010101011101100000101000010011000100100100011010001101111000000000010001001010101011101100000101000010011100100100011010000100000110111100000000000000000000000001011100100100011010000101010101110110000010100001001100010010010001101000110111100000000001000100101010101110110000010100001001110010010001101000010000011011110000000000000000000000000101110010010001101000010101010111011000001010000100110001001001000110100011011110000000000100010010101010111011000001010000100111001001000110100001000001101111000000000000000000000000010111001001000110100001010101011101100000101000010011000100100100011010001101111000000000010001001010101011101100000101000010011100100100011010000100000110111100000000000000000000000001011100100100011010000101010101110110000010100001001100010010010001101000110111100000000001000100101010101110110000010100001001110010010001101000010000011011110000000000000000000000000101110010010001101000010101010111011000001010000100110001001001000110100011011110000000000100010010101010111011000001010000100111001001000110100001000001101111000000000000000000000000010111001001000110100001010101011101100000101000010011000100100100011010001101111000000000010001001010101011101100000101000010011100100100011010000100000110111100000000000000000000000001011100100100011010000101010101110110000010100001001100010010010001101000110111100000000001000100101010101110110000010100001001110010010001101000010000011011110000000000000000000000000101110010010001101000010101010111011000001010000100110001001001000110100011011110000000000100010010101010111011000001010000100111001001000110100001000001101111000000000000000000000000010111001001000110100001010101011101100000101000010011000100100100011010001101111000000000010001001010101011101100000101000010011100100100011010000100000110111100000000000000000000000001011100100100011010000101010101110110000010100001001100010010010001101000110111100000000001000100101010101110110000010100001001110010010001101000010000011011110000000000000000000000000101110010010001101000010101010111011000001010000100110001001001000110100011011110000000000100010010101010111011000001010000100111001001000110100001000001101111000000000000000000000000010111001001000110100001010101011101100000101000010011000100100100011010001101111000000000010001001010101011101100000101000010011100100100011010000100000110111100000000000000000000000001011100100100011010000101010101100110000010000101001100010010010001101001000010000000001001000100101010101110110000010100001001110010010001101000010000011011110000000000000000000000000101110010010001101000010101010110011000001000010100110001001001000110100100001000000000100100010010101010110011000001000010100111001001000110100101000000000100000000010000000000000000010111001001000110100001010101011001100000100001010011000100100100011010010000100000000010010001001010101011001100000100001010011100100100011010010010000000010000000001000000010100111000011100100100011010000101010101100110000010000101001100010010010001101001000010000000001001000100101010101100110000010000101001110010010001101001001000000001000000000100000000000000000101101111101000110010010101010110011000001000010100110001001001000110100100001000000000100100010010101010110011000001000010100111001001000110100100100000000100000000010000000000000000010110111110100011001101010101011001100000100001010011000011111010001100110000100000000010010001101010101011001100000100001010011011111010001100110010000000010000000001000000000000000001011011111010001100100101010101100110000010000101001100001111101000110010000010000000001001000100101010101100110000010000101001101111101000110010010000000001000000000100000000000000000101101111101000110010010101010110011000001000010100110000111110100011001000001000000000100100010010101010110011000001000010100110111110100011001001000000000100000000010000000000000000010110111110100011001001010101011001100000100001010011000011111010001100100000100000000010010001001010101011001100000100001010011011111010001100100100000000010000000001000000000000000001011011111010001100100101010101100110000010000101001100001111101000110010000010000000001001000100101010101100110000010000101001101111101000110010010000000001000000000100000000000000000101101111101000110010010101010110011000001000010100110000111110100011001000001000000000100100010010101010110011000001000010100110111110100011001001000000000100000000010000000000000000010110111110100011001001010101011001100000100001010011000011111010001100100000100000000010010001001010101011001100000100001010011011111010001100100100000000010000000001000000000000000001011011111010001100100101010101100110000010000101001100001111101000110010000010000000001001000100101010101100110000010000101001101111101000110010010000000001000000000100000000000000000101101111101000110010010101010110011000001000010100110000111110100011001000001000000000100100010010101010110011000001000010100110111110100011001001000000000100000000010000000000000000010110111110100011001001010101011001100000100001010011000011111010001100100000100000000010010001001010101011001100000100001010011011111010001100100100000000010000000001000000000000000001011011111010001100100101010101100110000010000101001100001111101000110010000010000000001001000100101010101100110000010000101001101111101000110010010000000001000000000100000000000000000101101111101000110010010101010110011000001000010100110000111110100011001000001000000000100100010010101010110011000001000010100110111110100011001001000000000100000000010000000000000000010110111110100011001001010101011001100000100001010011000011111010001100100000100000000010010001001010101011001100000100001010011011111010001100100100000000010000000001000000000000000001011011111010001100100101010101100110000010000101001100001111101000110010000010000000001001000100101010101100110000010000101001101111101000110010010000000001000000000100000000000000000101101111101000110010010101010110011000001000010100110000111110100011001000001000000000100100010010101010110011000001000010100110111110100011001001000000000100000000010000000000000000010110111110100011001001010101011001100000100001010011000011111010001100100000100000000010010001001010101011001100000100001010011011111010001100100100000000010000000001000000000000000001011011111010001100100101010101100110000010000101001100001111101000110010000010000000001001000100101010101100110000010000101001101111101000110010010000000001000000000100000000000000000101101111101000110010010101010110011000001000010100110000111110100011001000001000000000100100010010101010110011000001000010100110111110100011001001000000000100000000010000000000000000010110111110100011001001010101011001100000100001010011000011111010001100100000100000000010010001001010101011001100000100001010011011111010001100100100000000010000000001000000000000000001011011111010001100100101010101100110000010000101001100001111101000110010000010000000001001000100101010101100110000010000101001101111101000110010010000000001000000000100000000000000000101101111101000110010010101010110011000001000010100110000111110100011001000001000000000100100010010101010110011000001000010100110111110100011001001000000000100000000010000000000000000010110111110100011001001010101011001100000100001010011000011111010001100100000100000000010010001001010101011001100000100001010011011111010001100100100000000010000000001000000000000000001011011111010001100100101010101100110000010000101001100001111101000110010000010000000001001000100101010101100110000010000101001101111101000110010010000000001000000000100000000000000000101101111101000110010010101010110011000001000010100110000111110100011001000001000000000100100010010101010110011000001000010100110111110100011001001000000000100000000010000000000000000010110111110100011001001010101011001100000100001010011000011111010001100100000100000000010010001001010101011001100000100001010011011111010001100100100000000010000000001000000000000000001011011111010001100100101010101100110000010000101001100001111101000110010000010000000001001000100101010101100110000010000101001101111101000110010010000000001000000000100000000000000000101101111101000110010010101010110011000001000010100110000111110100011001000001000000000100100010010101010110011000001000010100110111110100011001001000000000100000000010000000000000000010110111110100011001001010101011001100000100001010011000011111010001100100000100000000010010001001010101011001100000100001010011011111010001100100100000000010000000001000000000000000001011011111010001100100101010101100110000010000101001100001111101000110010000010000000001001000100101010101100110000010000101001101111101000110010010000000001000000000100000000000000000101101111101000110010010101010110011000001000010100110000111110100011001000001000000000100100010010101010110011000001000010100110111110100011001001000000000100000000010000000000000000010110111110100011001001010101011001100000100001010011000011111010001100100000100000000010010001001010101011001100000100001010011011111010001100100100000000010000000001000000000000000001011011111010001100100101010101110110000010000101001100001111101000110011100100100011010001000100101010101100110000010000101001101111101000110010010000000001000000000100000000000000000101101111101000110010010101010111011000001000010100110000111110100011001110010010001101000100010010101010111011000001000010100110111110100011001101000001001001000110100000000000000000010110111110100011001001010101011101100000100001010011000011111010001100111001001000110100010001001010101011101100000100001010011011111010001100110010000100100100011010001000010100111000011011111010001100100101010101110110000010000101001100001111101000110011100100100011010001000100101010101110110000010000101001101111101000110011001000010010010001101000000000000000000101101001011011100100010101010111011000001000010100110000111110100011001110010010001101000100010010101010111011000001000010100110111110100011001100100001001001000110100000000000000000010110100101101110010101010101011101100000100001010011000010010110111001011001001000110100010001101010101011101100000100001010011010010110111001010010000100100100011010000000000000000001011010010110111001000101010101110110000010000101001100001001011011100100100100100011010001000100101010101110110000010000101001101001011011100100010000010010010001101000000000000000000101101001011011100100010101010111011000001000010100110000100101101110010010010010001101000100010010101010111011000001000010100110100101101110010001000001001001000110100000000000000000010110100101101110010001010101011101100000100001010011000010010110111001001001001000110100010001001010101011101100000100001010011010010110111001000100000100100100011010000000000000000001011010010110111001000101010101110110000010000101001100001001011011100100100100100011010001000100101010101110110000010000101001101001011011100100010000010010010001101000000000000000000101101001011011100100010101010111011000001000010100110000100101101110010010010010001101000100010010101010111011000001000010100110100101101110010001000001001001000110100000000000000000010110100101101110010001010101011101100000100001010011000010010110111001001001001000110100010001001010101011101100000100001010011010010110111001000100000100100100011010000000000000000001011010010110111001000101010101110110000010000101001100001001011011100100100100100011010001000100101010101110110000010000101001101001011011100100010000010010010001101000000000000000000101101001011011100100010101010111011000001000010100110000100101101110010010010010001101000100010010101010111011000001000010100110100101101110010001000001001001000110100000000000000000010110100101101110010001010101011101100000100001010011000010010110111001001001001000110100010001001010101011101100000100001010011010010110111001000100000100100100011010000000000000000001011010010110111001000101010101110110000010000101001100001001011011100100100100100011010001000100101010101110110000010000101001101001011011100100010000010010010001101000000000000000000101101001011011100100010101010111011000001000010100110000100101101110010010010010001101000100010010101010111011000001000010100110100101101110010001000001001001000110100000000000000000010110100101101110010001010101011101100000100001010011000010010110111001001001001000110100010001001010101011101100000100001010011010010110111001000100000100100100011010000000000000000001011010010110111001000101010101110110000010000101001100001001011011100100100100100011010001000100101010101110110000010000101001101001011011100100010000010010010001101000000000000000000101101001011011100100010101010111011000001000010100110000100101101110010010010010001101000100010010101010111011000001000010100110100101101110010001000001001001000110100000000000000000010110100101101110010001010101011101100000100001010011000010010110111001001001001000110100010001001010101011101100000100001010011010010110111001000100000100100100011010000000000000000001011010010110111001000101010101110110000010000101001100001001011011100100100100100011010001000100101010101110110000010000101001101001011011100100010000010010010001101000000000000000000101101001011011100100010101010111011000001000010100110000100101101110010010010010001101000100010010101010111011000001000010100110100101101110010001000001001001000110100000000000000000010110100101101110010001010101011101100000100001010011000010010110111001001001001000110100010001001010101011101100000100001010011010010110111001000100000100100100011010000000000000000001011010010110111001000101010101110110000010000101001100001001011011100100100100100011010001000100101010101110110000010000101001101001011011100100010000010010010001101000000000000000000101101001011011100100010101010111011000001000010100110000100101101110010010010010001101000100010010101010111011000001000010100110100101101110010001000001001001000110100000000000000000010110100101101110010001010101011101100000100001010011000010010110111001001001001000110100010001001010101011101100000100001010011010010110111001000100000100100100011010000000000000000001011010010110111001000101010101110110000010000101001100001001011011100100100100100011010001000100101010101110110000010000101001101001011011100100010000010010010001101000000000000000000101101001011011100100010101010111011000001000010100110000100101101110010010010010001101000100010010101010111011000001000010100110100101101110010001000001001001000110100000000000000000010110100101101110010001010101011101100000100001010011000010010110111001001001001000110100010001001010101011101100000100001010011010010110111001000100000100100100011010000000000000000001011010010110111001000101010101110110000010000101001100001001011011100100100100100011010001000100101010101110110000010000101001101001011011100100010000010010010001101000000000000000000101101001011011100100010101010111011000001000010100110000100101101110010010010010001101000100010010101010111011000001000010100110100101101110010001000001001001000110100000000000000000010110100101101110010001010101011101100000100001010011000010010110111001001001001000110100010001001010101011101100000100001010011010010110111001000100000100100100011010000000000000000001011010010110111001000101010101110110000010000101001100001001011011100100100100100011010001000100101010101110110000010000101001101001011011100100010000010010010001101000000000000000000101101001011011100100010101010111011000001000010100110000100101101110010010010010001101000100010010101010111011000001000010100110100101101110010001000001001001000110100000000000000000010110100101101110010001010101011101100000100001010011000010010110111001001001001000110100010001001010101011101100000100001010011010010110111001000100000100100100011010000000000000000001011010010110111001000101010101110110000010000101001100001001011011100100100100100011010001000100101010101110110000010000101001101001011011100100010000010010010001101000000000000000000101101001011011100100010101010110011000001010010100110000100101101110010101111101000110010100010010101010111011000001000010100110100101101110010001000001001001000110100000000000000000010110100101101110010001010101011001100000101001010011000010010110111001010111110100011001010001001010101011001100000101001010011010010110111001010100000011111010001100100000000000000001011010010110111001000101010101100110000010100101001100001001011011100101011111010001100101000100101010101100110000010100101001101001011011100101001000001111101000110010000011010011100001101001011011100100010101010110011000001010010100110000100101101110010101111101000110010100010010101010110011000001010010100110100101101110010100100000111110100011001000000000000000010110001100011010101001010101011001100000101001010011000010010110111001010111110100011001010001001010101011001100000101001010011010010110111001010010000011111010001100100000000000000001011000110001101010110101010101100110000010100101001100000011000110101011011111010001100101000110101010101100110000010100101001100011000110101011001000001111101000110010000000000000000101100011000110101010010101010110011000001010010100110000001100011010101001111101000110010100010010101010110011000001010010100110001100011010101001000000111110100011001000000000000000010110001100011010101001010101011001100000101001010011000000110001101010100111110100011001010001001010101011001100000101001010011000110001101010100100000011111010001100100000000000000001011000110001101010100101010101100110000010100101001100000011000110101010011111010001100101000100101010101100110000010100101001100011000110101010010000001111101000110010000000000000000101100011000110101010010101010110011000001010010100110000001100011010101001111101000110010100010010101010110011000001010010100110001100011010101001000000111110100011001000000000000000010110001100011010101001010101011001100000101001010011000000110001101010100111110100011001010001001010101011001100000101001010011000110001101010100100000011111010001100100000000000000001011000110001101010100101010101100110000010100101001100000011000110101010011111010001100101000100101010101100110000010100101001100011000110101010010000001111101000110010000000000000000101100011000110101010010101010110011000001010010100110000001100011010101001111101000110010100010010101010110011000001010010100110001100011010101001000000111110100011001000000000000000010110001100011010101001010101011001100000101001010011000000110001101010100111110100011001010001001010101011001100000101001010011000110001101010100100000011111010001100100000000000000001011000110001101010100101010101100110000010100101001100000011000110101010011111010001100101000100101010101100110000010100101001100011000110101010010000001111101000110010000000000000000101100011000110101010010101010110011000001010010100110000001100011010101001111101000110010100010010101010110011000001010010100110001100011010101001000000111110100011001000000000000000010110001100011010101001010101011001100000101001010011000000110001101010100111110100011001010001001010101011001100000101001010011000110001101010100100000011111010001100100000000000000001011000110001101010100101010101100110000010100101001100000011000110101010011111010001100101000100101010101100110000010100101001100011000110101010010000001111101000110010000000000000000101100011000110101010010101010110011000001010010100110000001100011010101001111101000110010100010010101010110011000001010010100110001100011010101001000000111110100011001000000000000000010110001100011010101001010101011001100000101001010011000000110001101010100111110100011001010001001010101011001100000101001010011000110001101010100100000011111010001100100000000000000001011000110001101010100101010101100110000010100101001100000011000110101010011111010001100101000100101010101100110000010100101001100011000110101010010000001111101000110010000000000000000101100011000110101010010101010110011000001010010100110000001100011010101001111101000110010100010010101010110011000001010010100110001100011010101001000000111110100011001000000000000000010110001100011010101001010101011001100000101001010011000000110001101010100111110100011001010001001010101011001100000101001010011000110001101010100100000011111010001100100000000000000001011000110001101010100101010101100110000010100101001100000011000110101010011111010001100101000100101010101100110000010100101001100011000110101010010000001111101000110010000000000000000101100011000110101010010101010110011000001010010100110000001100011010101001111101000110010100010010101010110011000001010010100110001100011010101001000000111110100011001000000000000000010110001100011010101001010101011001100000101001010011000000110001101010100111110100011001010001001010101011001100000101001010011000110001101010100100000011111010001100100000000000000001011000110001101010100101010101100110000010100101001100000011000110101010011111010001100101000100101010101100110000010100101001100011000110101010010000001111101000110010000000000000000101100011000110101010010101010110011000001010010100110000001100011010101001111101000110010100010010101010110011000001010010100110001100011010101001000000111110100011001000000000000000010110001100011010101001010101011001100000101001010011000000110001101010100111110100011001010001001010101011001100000101001010011000110001101010100100000011111010001100100000000000000001011000110001101010100101010101100110000010100101001100000011000110101010011111010001100101000100101010101100110000010100101001100011000110101010010000001111101000110010000000000000000101100011000110101010010101010110011000001010010100110000001100011010101001111101000110010100010010101010110011000001010010100110001100011010101001000000111110100011001000000000000000010110001100011010101001010101011001100000101001010011000000110001101010100111110100011001010001001010101011001100000101001010011000110001101010100100000011111010001100100000000000000001011000110001101010100101010101100110000010100101001100000011000110101010011111010001100101000100101010101100110000010100101001100011000110101010010000001111101000110010000000000000000101100011000110101010010101010110011000001010010100110000001100011010101001111101000110010100010010101010110011000001010010100110001100011010101001000000111110100011001000000000000000010110001100011010101001010101011001100000101001010011000000110001101010100111110100011001010001001010101011001100000101001010011000110001101010100100000011111010001100100000000000000001011000110001101010100101010101100110000010100101001100000011000110101010011111010001100101000100101010101100110000010100101001100011000110101010010000001111101000110010000000000000000101100011000110101010010101010110011000001010010100110000001100011010101001111101000110010100010010101010110011000001010010100110001100011010101001000000111110100011001000000000000000010110001100011010101001010101011101100000101001010011000000110001101010110100101101110010010001001010101011001100000101001010011000110001101010100100000011111010001100100000000000000001011000110001101010100101010101110110000010100101001100000011000110101011010010110111001001000100101010101110110000010100101001100011000110101011010000001001011011100100000000000000000101100011000110101010010101010111011000001010010100110000001100011010101101001011011100100100010010101010111011000001010010100110001100011010101100100000100101101110010010001101001110000110001100011010101001010101011101100000101001010011000000110001101010110100101101110010010001001010101011101100000101001010011000110001101010110010000010010110111001000000000000000001011111000000011010000101010101110110000010100101001100000011000110101011010010110111001001000100101010101110110000010100101001100011000110101011001000001001011011100100000000000000000101111100000001101001010101010111011000001010010100110001110000000110100101001011011100100100011010101010111011000001010010100111110000000110100100100000100101101110010000000000000000010111110000000110100001010101011101100000101001010011000111000000011010000100101101110010010001001010101011101100000101001010011111000000011010000100000010010110111001000000000000000001011111000000011010000101010101110110000010100101001100011100000001101000010010110111001001000100101010101110110000010100101001111100000001101000010000001001011011100100000000000000000101111100000001101000010101010111011000001010010100110001110000000110100001001011011100100100010010101010111011000001010010100111110000000110100001000000100101101110010000000000000000010111110000000110100001010101011101100000101001010011000111000000011010000100101101110010010001001010101011101100000101001010011111000000011010000100000010010110111001000000000000000001011111000000011010000101010101110110000010100101001100011100000001101000010010110111001001000100101010101110110000010100101001111100000001101000010000001001011011100100000000000000000101111100000001101000010101010111011000001010010100110001110000000110100001001011011100100100010010101010111011000001010010100111110000000110100001000000100101101110010000000000000000010111110000000110100001010101011101100000101001010011000111000000011010000100101101110010010001001010101011101100000101001010011111000000011010000100000010010110111001000000000000000001011111000000011010000101010101110110000010100101001100011100000001101000010010110111001001000100101010101110110000010100101001111100000001101000010000001001011011100100000000000000000101111100000001101000010101010111011000001010010100110001110000000110100001001011011100100100010010101010111011000001010010100111110000000110100001000000100101101110010000000000000000010111110000000110100001010101011101100000101001010011000111000000011010000100101101110010010001001010101011101100000101001010011111000000011010000100000010010110111001000000000000000001011111000000011010000101010101110110000010100101001100011100000001101000010010110111001001000100101010101110110000010100101001111100000001101000010000001001011011100100000000000000000101111100000001101000010101010111011000001010010100110001110000000110100001001011011100100100010010101010111011000001010010100111110000000110100001000000100101101110010000000000000000010111110000000110100001010101011101100000101001010011000111000000011010000100101101110010010001001010101011101100000101001010011111000000011010000100000010010110111001000000000000000001011111000000011010000101010101110110000010100101001100011100000001101000010010110111001001000100101010101110110000010100101001111100000001101000010000001001011011100100000000000000000101111100000001101000010101010111011000001010010100110001110000000110100001001011011100100100010010101010111011000001010010100111110000000110100001000000100101101110010000000000000000010111110000000110100001010101011101100000101001010011000111000000011010000100101101110010010001001010101011101100000101001010011111000000011010000100000010010110111001000000000000000001011111000000011010000101010101110110000010100101001100011100000001101000010010110111001001000100101010101110110000010100101001111100000001101000010000001001011011100100000000000000000101111100000001101000010101010111011000001010010100110001110000000110100001001011011100100100010010101010111011000001010010100111110000000110100001000000100101101110010000000000000000010111110000000110100001010101011101100000101001010011000111000000011010000100101101110010010001001010101011101100000101001010011111000000011010000100000010010110111001000000000000000001011111000000011010000101010101110110000010100101001100011100000001101000010010110111001001000100101010101110110000010100101001111100000001101000010000001001011011100100000000000000000101111100000001101000010101010111011000001010010100110001110000000110100001001011011100100100010010101010111011000001010010100111110000000110100001000000100101101110010000000000000000010111110000000110100001010101011101100000101001010011000111000000011010000100101101110010010001001010101011101100000101001010011111000000011010000100000010010110111001000000000000000001011111000000011010000101010101110110000010100101001100011100000001101000010010110111001001000100101010101110110000010100101001111100000001101000010000001001011011100100000000000000000101111100000001101000010101010111011000001010010100110001110000000110100001001011011100100100010010101010111011000001010010100111110000000110100001000000100101101110010000000000000000010111110000000110100001010101011101100000101001010011000111000000011010000100101101110010010001001010101011101100000101001010011111000000011010000100000010010110111001000000000000000001011111000000011010000101010101110110000010100101001100011100000001101000010010110111001001000100101010101110110000010100101001111100000001101000010000001001011011100100000000000000000101111100000001101000010101010111011000001010010100110001110000000110100001001011011100100100010010101010111011000001010010100111110000000110100001000000100101101110010000000000000000010111110000000110100001010101011101100000101001010011000111000000011010000100101101110010010001001010101011101100000101001010011111000000011010000100000010010110111001000000000000000001011111000000011010000101010101110110000010100101001100011100000001101000010010110111001001000100101010101110110000010100101001111100000001101000010000001001011011100100000000000000000101111100000001101000010101010111011000001010010100110001110000000110100001001011011100100100010010101010111011000001010010100111110000000110100001000000100101101110010000000000000000010111110000000110100001010101011101100000101001010011000111000000011010000100101101110010010001001010101011101100000101001010011111000000011010000100000010010110111001000000000000000001011111000000011010000101010101100110000010000011001100011100000001101001000110001101010101000100101010101110110000010100101001111100000001101000010000001001011011100100000000000000000101111100000001101000010101010110011000001000001100110001110000000110100100011000110101010100010010101010110011000001000001100111110000000110100101000000001100011010101000000000000000010111110000000110100001010101011001100000100000110011000111000000011010010001100011010101010001001010101011001100000100000110011111000000011010010010000000110001101010100000001100111000011111000000011010000101010101100110000010000011001100011100000001101001000110001101010101000100101010101100110000010000011001111100000001101001001000000011000110101010000000000000000101101100001001111110010101010110011000001000001100110001110000000110100100011000110101010100010010101010110011000001000001100111110000000110100100100000001100011010101000000000000000010110110000100111111101010101011001100000100000110011000011000010011111110001100011010101010001101010101011001100000100000110011011000010011111110010000000110001101010100000000000000001011011000010011111100101010101100110000010000011001100001100001001111110000110001101010101000100101010101100110000010000011001101100001001111110010000000011000110101010000000000000000101101100001001111110010101010110011000001000001100110000110000100111111000011000110101010100010010101010110011000001000001100110110000100111111001000000001100011010101000000000000000010110110000100111111001010101011001100000100000110011000011000010011111100001100011010101010001001010101011001100000100000110011011000010011111100100000000110001101010100000000000000001011011000010011111100101010101100110000010000011001100001100001001111110000110001101010101000100101010101100110000010000011001101100001001111110010000000011000110101010000000000000000101101100001001111110010101010110011000001000001100110000110000100111111000011000110101010100010010101010110011000001000001100110110000100111111001000000001100011010101000000000000000010110110000100111111001010101011001100000100000110011000011000010011111100001100011010101010001001010101011001100000100000110011011000010011111100100000000110001101010100000000000000001011011000010011111100101010101100110000010000011001100001100001001111110000110001101010101000100101010101100110000010000011001101100001001111110010000000011000110101010000000000000000101101100001001111110010101010110011000001000001100110000110000100111111000011000110101010100010010101010110011000001000001100110110000100111111001000000001100011010101000000000000000010110110000100111111001010101011001100000100000110011000011000010011111100001100011010101010001001010101011001100000100000110011011000010011111100100000000110001101010100000000000000001011011000010011111100101010101100110000010000011001100001100001001111110000110001101010101000100101010101100110000010000011001101100001001111110010000000011000110101010000000000000000101101100001001111110010101010110011000001000001100110000110000100111111000011000110101010100010010101010110011000001000001100110110000100111111001000000001100011010101000000000000000010110110000100111111001010101011001100000100000110011000011000010011111100001100011010101010001001010101011001100000100000110011011000010011111100100000000110001101010100000000000000001011011000010011111100101010101100110000010000011001100001100001001111110000110001101010101000100101010101100110000010000011001101100001001111110010000000011000110101010000000000000000101101100001001111110010101010110011000001000001100110000110000100111111000011000110101010100010010101010110011000001000001100110110000100111111001000000001100011010101000000000000000010110110000100111111001010101011001100000100000110011000011000010011111100001100011010101010001001010101011001100000100000110011011000010011111100100000000110001101010100000000000000001011011000010011111100101010101100110000010000011001100001100001001111110000110001101010101000100101010101100110000010000011001101100001001111110010000000011000110101010000000000000000101101100001001111110010101010110011000001000001100110000110000100111111000011000110101010100010010101010110011000001000001100110110000100111111001000000001100011010101000000000000000010110110000100111111001010101011001100000100000110011000011000010011111100001100011010101010001001010101011001100000100000110011011000010011111100100000000110001101010100000000000000001011011000010011111100101010101100110000010000011001100001100001001111110000110001101010101000100101010101100110000010000011001101100001001111110010000000011000110101010000000000000000101101100001001111110010101010110011000001000001100110000110000100111111000011000110101010100010010101010110011000001000001100110110000100111111001000000001100011010101000000000000000010110110000100111111001010101011001100000100000110011000011000010011111100001100011010101010001001010101011001100000100000110011011000010011111100100000000110001101010100000000000000001011011000010011111100101010101100110000010000011001100001100001001111110000110001101010101000100101010101100110000010000011001101100001001111110010000000011000110101010000000000000000101101100001001111110010101010110011000001000001100110000110000100111111000011000110101010100010010101010110011000001000001100110110000100111111001000000001100011010101000000000000000010110110000100111111001010101011001100000100000110011000011000010011111100001100011010101010001001010101011001100000100000110011011000010011111100100000000110001101010100000000000000001011011000010011111100101010101100110000010000011001100001100001001111110000110001101010101000100101010101100110000010000011001101100001001111110010000000011000110101010000000000000000101101100001001111110010101010110011000001000001100110000110000100111111000011000110101010100010010101010110011000001000001100110110000100111111001000000001100011010101000000000000000010110110000100111111001010101011001100000100000110011000011000010011111100001100011010101010001001010101011001100000100000110011011000010011111100100000000110001101010100000000000000001011011000010011111100101010101100110000010000011001100001100001001111110000110001101010101000100101010101100110000010000011001101100001001111110010000000011000110101010000000000000000101101100001001111110010101010110011000001000001100110000110000100111111000011000110101010100010010101010110011000001000001100110110000100111111001000000001100011010101000000000000000010110110000100111111001010101011001100000100000110011000011000010011111100001100011010101010001001010101011001100000100000110011011000010011111100100000000110001101010100000000000000001011011000010011111100101010101100110000010000011001100001100001001111110000110001101010101000100101010101100110000010000011001101100001001111110010000000011000110101010000000000000000101101100001001111110010101010111011000001000001100110000110000100111111111100000001101000100010010101010110011000001000001100110110000100111111001000000001100011010101000000000000000010110110000100111111001010101011101100000100000110011000011000010011111111110000000110100010001001010101011101100000100000110011011000010011111110100000111000000011010000000000000000001011011000010011111100101010101110110000010000011001100001100001001111111111000000011010001000100101010101110110000010000011001101100001001111111001000011100000001101000100000110011100001101100001001111110010101010111011000001000001100110000110000100111111111100000001101000100010010101010111011000001000001100110110000100111111100100001110000000110100000000000000000010110111000000110011001010101011101100000100000110011000011000010011111111110000000110100010001001010101011101100000100000110011011000010011111110010000111000000011010000000000000000001011011100000011001110101010101110110000010000011001100001110000001100111111000000011010001000110101010101110110000010000011001101110000001100111001000011100000001101000000000000000000101101110000001100110010101010111011000001000001100110000111000000110011011100000001101000100010010101010111011000001000001100110111000000110011001000001110000000110100000000000000000010110111000000110011001010101011101100000100000110011000011100000011001101110000000110100010001001010101011101100000100000110011011100000011001100100000111000000011010000000000000000001011011100000011001100101010101110110000010000011001100001110000001100110111000000011010001000100101010101110110000010000011001101110000001100110010000011100000001101000000000000000000101101110000001100110010101010111011000001000001100110000111000000110011011100000001101000100010010101010111011000001000001100110111000000110011001000001110000000110100000000000000000010110111000000110011001010101011101100000100000110011000011100000011001101110000000110100010001001010101011101100000100000110011011100000011001100100000111000000011010000000000000000001011011100000011001100101010101110110000010000011001100001110000001100110111000000011010001000100101010101110110000010000011001101110000001100110010000011100000001101000000000000000000101101110000001100110010101010111011000001000001100110000111000000110011011100000001101000100010010101010111011000001000001100110111000000110011001000001110000000110100000000000000000010110111000000110011001010101011101100000100000110011000011100000011001101110000000110100010001001010101011101100000100000110011011100000011001100100000111000000011010000000000000000001011011100000011001100101010101110110000010000011001100001110000001100110111000000011010001000100101010101110110000010000011001101110000001100110010000011100000001101000000000000000000101101110000001100110010101010111011000001000001100110000111000000110011011100000001101000100010010101010111011000001000001100110111000000110011001000001110000000110100000000000000000010110111000000110011001010101011101100000100000110011000011100000011001101110000000110100010001001010101011101100000100000110011011100000011001100100000111000000011010000000000000000001011011100000011001100101010101110110000010000011001100001110000001100110111000000011010001000100101010101110110000010000011001101110000001100110010000011100000001101000000000000000000101101110000001100110010101010111011000001000001100110000111000000110011011100000001101000100010010101010111011000001000001100110111000000110011001000001110000000110100000000000000000010110111000000110011001010101011101100000100000110011000011100000011001101110000000110100010001001010101011101100000100000110011011100000011001100100000111000000011010000000000000000001011011100000011001100101010101110110000010000011001100001110000001100110111000000011010001000100101010101110110000010000011001101110000001100110010000011100000001101000000000000000000101101110000001100110010101010111011000001000001100110000111000000110011011100000001101000100010010101010111011000001000001100110111000000110011001000001110000000110100000000000000000010110111000000110011001010101011101100000100000110011000011100000011001101110000000110100010001001010101011101100000100000110011011100000011001100100000111000000011010000000000000000001011011100000011001100101010101110110000010000011001100001110000001100110111000000011010001000100101010101110110000010000011001101110000001100110010000011100000001101000000000000000000101101110000001100110010101010111011000001000001100110000111000000110011011100000001101000100010010101010111011000001000001100110111000000110011001000001110000000110100000000000000000010110111000000110011001010101011101100000100000110011000011100000011001101110000000110100010001001010101011101100000100000110011011100000011001100100000111000000011010000000000000000001011011100000011001100101010101110110000010000011001100001110000001100110111000000011010001000100101010101110110000010000011001101110000001100110010000011100000001101000000000000000000101101110000001100110010101010111011000001000001100110000111000000110011011100000001101000100010010101010111011000001000001100110111000000110011001000001110000000110100000000000000000010110111000000110011001010101011101100000100000110011000011100000011001101110000000110100010001001010101011101100000100000110011011100000011001100100000111000000011010000000000000000001011011100000011001100101010101110110000010000011001100001110000001100110111000000011010001000100101010101110110000010000011001101110000001100110010000011100000001101000000000000000000101101110000001100110010101010111011000001000001100110000111000000110011011100000001101000100010010101010111011000001000001100110111000000110011001000001110000000110100000000000000000010110111000000110011001010101011101100000100000110011000011100000011001101110000000110100010001001010101011101100000100000110011011100000011001100100000111000000011010000000000000000001011011100000011001100101010101110110000010000011001100001110000001100110111000000011010001000100101010101110110000010000011001101110000001100110010000011100000001101000000000000000000101101110000001100110010101010111011000001000001100110000111000000110011011100000001101000100010010101010111011000001000001100110111000000110011001000001110000000110100000000000000000010110111000000110011001010101011101100000100000110011000011100000011001101110000000110100010001001010101011101100000100000110011011100000011001100100000111000000011010000000000000000001011011100000011001100101010101110110000010000011001100001110000001100110111000000011010001000100101010101110110000010000011001101110000001100110010000011100000001101000000000000000000101101110000001100110010101010110011000001010001100110000111000000110011101100001001111110100010010101010111011000001000001100110111000000110011001000001110000000110100000000000000000010110111000000110011001010101011001100000101000110011000011100000011001110110000100111111010001001010101011001100000101000110011011100000011001110100000011000010011111100000000000000001011011100000011001100101010101100110000010100011001100001110000001100111011000010011111101000100101010101100110000010100011001101110000001100111001000001100001001111110000010110011100001101110000001100110010101010110011000001010001100110000111000000110011101100001001111110100010010101010110011000001010001100110111000000110011100100000110000100111111000000000000000010111000111111000000001010101011001100000101000110011000011100000011001110110000100111111010001001010101011001100000101000110011011100000011001110010000011000010011111100000000000000001011100011111100000010101010101100110000010100011001100010001111110000001011000010011111101000110101010101100110000010100011001110001111110000001001000001100001001111110000000000000000101110001111110000000010101010110011000001010001100110001000111111000000001100001001111110100010010101010110011000001010001100111000111111000000001000000110000100111111000000000000000010111000111111000000001010101011001100000101000110011000100011111100000000110000100111111010001001010101011001100000101000110011100011111100000000100000011000010011111100000000000000001011100011111100000000101010101100110000010100011001100010001111110000000011000010011111101000100101010101100110000010100011001110001111110000000010000001100001001111110000000000000000101110001111110000000010101010110011000001010001100110001000111111000000001100001001111110100010010101010110011000001010001100111000111111000000001000000110000100111111000000000000000010111000111111000000001010101011001100000101000110011000100011111100000000110000100111111010001001010101011001100000101000110011100011111100000000100000011000010011111100000000000000001011100011111100000000101010101100110000010100011001100010001111110000000011000010011111101000100101010101100110000010100011001110001111110000000010000001100001001111110000000000000000101110001111110000000010101010110011000001010001100110001000111111000000001100001001111110100010010101010110011000001010001100111000111111000000001000000110000100111111000000000000000010111000111111000000001010101011001100000101000110011000100011111100000000110000100111111010001001010101011001100000101000110011100011111100000000100000011000010011111100000000000000001011100011111100000000101010101100110000010100011001100010001111110000000011000010011111101000100101010101100110000010100011001110001111110000000010000001100001001111110000000000000000101110001111110000000010101010110011000001010001100110001000111111000000001100001001111110100010010101010110011000001010001100111000111111000000001000000110000100111111000000000000000010111000111111000000001010101011001100000101000110011000100011111100000000110000100111111010001001010101011001100000101000110011100011111100000000100000011000010011111100000000000000001011100011111100000000101010101100110000010100011001100010001111110000000011000010011111101000100101010101100110000010100011001110001111110000000010000001100001001111110000000000000000101110001111110000000010101010110011000001010001100110001000111111000000001100001001111110100010010101010110011000001010001100111000111111000000001000000110000100111111000000000000000010111000111111000000001010101011001100000101000110011000100011111100000000110000100111111010001001010101011001100000101000110011100011111100000000100000011000010011111100000000000000001011100011111100000000101010101100110000010100011001100010001111110000000011000010011111101000100101010101100110000010100011001110001111110000000010000001100001001111110000000000000000101110001111110000000010101010110011000001010001100110001000111111000000001100001001111110100010010101010110011000001010001100111000111111000000001000000110000100111111000000000000000010111000111111000000001010101011001100000101000110011000100011111100000000110000100111111010001001010101011001100000101000110011100011111100000000100000011000010011111100000000000000001011100011111100000000101010101100110000010100011001100010001111110000000011000010011111101000100101010101100110000010100011001110001111110000000010000001100001001111110000000000000000101110001111110000000010101010110011000001010001100110001000111111000000001100001001111110100010010101010110011000001010001100111000111111000000001000000110000100111111000000000000000010111000111111000000001010101011001100000101000110011000100011111100000000110000100111111010001001010101011001100000101000110011100011111100000000100000011000010011111100000000000000001011100011111100000000101010101100110000010100011001100010001111110000000011000010011111101000100101010101100110000010100011001110001111110000000010000001100001001111110000000000000000101110001111110000000010101010110011000001010001100110001000111111000000001100001001111110100010010101010110011000001010001100111000111111000000001000000110000100111111000000000000000010111000111111000000001010101011001100000101000110011000100011111100000000110000100111111010001001010101011001100000101000110011100011111100000000100000011000010011111100000000000000001011100011111100000000101010101100110000010100011001100010001111110000000011000010011111101000100101010101100110000010100011001110001111110000000010000001100001001111110000000000000000101110001111110000000010101010110011000001010001100110001000111111000000001100001001111110100010010101010110011000001010001100111000111111000000001000000110000100111111000000000000000010111000111111000000001010101011001100000101000110011000100011111100000000110000100111111010001001010101011001100000101000110011100011111100000000100000011000010011111100000000000000001011100011111100000000101010101100110000010100011001100010001111110000000011000010011111101000100101010101100110000010100011001110001111110000000010000001100001001111110000000000000000101110001111110000000010101010110011000001010001100110001000111111000000001100001001111110100010010101010110011000001010001100111000111111000000001000000110000100111111000000000000000010111000111111000000001010101011001100000101000110011000100011111100000000110000100111111010001001010101011001100000101000110011100011111100000000100000011000010011111100000000000000001011100011111100000000101010101100110000010100011001100010001111110000000011000010011111101000100101010101100110000010100011001110001111110000000010000001100001001111110000000000000000101110001111110000000010101010110011000001010001100110001000111111000000001100001001111110100010010101010110011000001010001100111000111111000000001000000110000100111111000000000000000010111000111111000000001010101011101100000101000110011000100011111100000010111000000110011010001001010101011001100000101000110011100011111100000000100000011000010011111100000000000000001011100011111100000000101010101110110000010100011001100010001111110000001011100000011001101000100101010101110110000010100011001110001111110000001010000001110000001100110000000000000000101110001111110000000010101010111011000001010001100110001000111111000000101110000001100110100010010101010111011000001010001100111000111111000000100100000111000000110011010001011001110000111000111111000000001010101011101100000101000110011000100011111100000010111000000110011010001001010101011101100000101000110011100011111100000010010000011100000011001100000000000000001011011011110000101100101010101110110000010100011001100010001111110000001011100000011001101000100101010101110110000010100011001110001111110000001001000001110000001100110000000000000000101101101111000010111010101010111011000001010001100110000110111100001011101110000001100110100011010101010111011000001010001100110110111100001011100100000111000000110011000000000000000010110110111100001011001010101011101100000101000110011000011011110000101100111000000110011010001001010101011101100000101000110011011011110000101100100000011100000011001100000000000000001011011011110000101100101010101110110000010100011001100001101111000010110011100000011001101000100101010101110110000010100011001101101111000010110010000001110000001100110000000000000000101101101111000010110010101010111011000001010001100110000110111100001011001110000001100110100010010101010111011000001010001100110110111100001011001000000111000000110011000000000000000010110110111100001011001010101011101100000101000110011000011011110000101100111000000110011010001001010101011101100000101000110011011011110000101100100000011100000011001100000000000000001011011011110000101100101010101110110000010100011001100001101111000010110011100000011001101000100101010101110110000010100011001101101111000010110010000001110000001100110000000000000000101101101111000010110010101010111011000001010001100110000110111100001011001110000001100110100010010101010111011000001010001100110110111100001011001000000111000000110011000000000000000010110110111100001011001010101011101100000101000110011000011011110000101100111000000110011010001001010101011101100000101000110011011011110000101100100000011100000011001100000000000000001011011011110000101100101010101110110000010100011001100001101111000010110011100000011001101000100101010101110110000010100011001101101111000010110010000001110000001100110000000000000000101101101111000010110010101010111011000001010001100110000110111100001011001110000001100110100010010101010111011000001010001100110110111100001011001000000111000000110011000000000000000010110110111100001011001010101011101100000101000110011000011011110000101100111000000110011010001001010101011101100000101000110011011011110000101100100000011100000011001100000000000000001011011011110000101100101010101110110000010100011001100001101111000010110011100000011001101000100101010101110110000010100011001101101111000010110010000001110000001100110000000000000000101101101111000010110010101010111011000001010001100110000110111100001011001110000001100110100010010101010111011000001010001100110110111100001011001000000111000000110011000000000000000010110110111100001011001010101011101100000101000110011000011011110000101100111000000110011010001001010101011101100000101000110011011011110000101100100000011100000011001100000000000000001011011011110000101100101010101110110000010100011001100001101111000010110011100000011001101000100101010101110110000010100011001101101111000010110010000001110000001100110000000000000000101101101111000010110010101010111011000001010001100110000110111100001011001110000001100110100010010101010111011000001010001100110110111100001011001000000111000000110011000000000000000010110110111100001011001010101011101100000101000110011000011011110000101100111000000110011010001001010101011101100000101000110011011011110000101100100000011100000011001100000000000000001011011011110000101100101010101110110000010100011001100001101111000010110011100000011001101000100101010101110110000010100011001101101111000010110010000001110000001100110000000000000000101101101111000010110010101010111011000001010001100110000110111100001011001110000001100110100010010101010111011000001010001100110110111100001011001000000111000000110011000000000000000010110110111100001011001010101011101100000101000110011000011011110000101100111000000110011010001001010101011101100000101000110011011011110000101100100000011100000011001100000000000000001011011011110000101100101010101110110000010100011001100001101111000010110011100000011001101000100101010101110110000010100011001101101111000010110010000001110000001100110000000000000000101101101111000010110010101010111011000001010001100110000110111100001011001110000001100110100010010101010111011000001010001100110110111100001011001000000111000000110011000000000000000010110110111100001011001010101011101100000101000110011000011011110000101100111000000110011010001001010101011101100000101000110011011011110000101100100000011100000011001100000000000000001011011011110000101100101010101110110000010100011001100001101111000010110011100000011001101000100101010101110110000010100011001101101111000010110010000001110000001100110000000000000000101101101111000010110010101010111011000001010001100110000110111100001011001110000001100110100010010101010111011000001010001100110110111100001011001000000111000000110011000000000000000010110110111100001011001010101011101100000101000110011000011011110000101100111000000110011010001001010101011101100000101000110011011011110000101100100000011100000011001100000000000000001011011011110000101100101010101110110000010100011001100001101111000010110011100000011001101000100101010101110110000010100011001101101111000010110010000001110000001100110000000000000000101101101111000010110010101010111011000001010001100110000110111100001011001110000001100110100010010101010111011000001010001100110110111100001011001000000111000000110011000000000000000010110110111100001011001010101011101100000101000110011000011011110000101100111000000110011010001001010101011101100000101000110011011011110000101100100000011100000011001100000000000000001011011011110000101100101010101110110000010100011001100001101111000010110011100000011001101000100101010101110110000010100011001101101111000010110010000001110000001100110000000000000000101101101111000010110010101010111011000001010001100110000110111100001011001110000001100110100010010101010111011000001010001100110110111100001011001000000111000000110011000000000000000010110110111100001011001010101011101100000101000110011000011011110000101100111000000110011010001001010101011101100000101000110011011011110000101100100000011100000011001100000000000000001011011011110000101100101010101100110000010000111001100001101111000010111100011111100000001000100101010101110110000010100011001101101111000010110010000001110000001100110000000000000000101101101111000010110010101010110011000001000011100110000110111100001011110001111110000000100010010101010110011000001000011100110110111100001011101000001000111111000000000000000000000010110110111100001011001010101011001100000100001110011000011011110000101111000111111000000010001001010101011001100000100001110011011011110000101110010000100011111100000000000011100111000011011011110000101100101010101100110000010000111001100001101111000010111100011111100000001000100101010101100110000010000111001101101111000010111001000010001111110000000000000000000000101100001011110111000010101010110011000001000011100110000110111100001011110001111110000000100010010101010110011000001000011100110110111100001011100100001000111111000000000000000000000010110000101111011100101010101011001100000100001110011000000010111101110011000111111000000010001101010101011001100000100001110011000010111101110010010000100011111100000000000000000000001011000010111101110000101010101100110000010000111001100000001011110111000100011111100000001000100101010101100110000010000111001100001011110111000010000010001111110000000000000000000000101100001011110111000010101010110011000001000011100110000000101111011100010001111110000000100010010101010110011000001000011100110000101111011100001000001000111111000000000000000000000010110000101111011100001010101011001100000100001110011000000010111101110001000111111000000010001001010101011001100000100001110011000010111101110000100000100011111100000000000000000000001011000010111101110000101010101100110000010000111001100000001011110111000100011111100000001000100101010101100110000010000111001100001011110111000010000010001111110000000000000000000000101100001011110111000010101010110011000001000011100110000000101111011100010001111110000000100010010101010110011000001000011100110000101111011100001000001000111111000000000000000000000010110000101111011100001010101011001100000100001110011000000010111101110001000111111000000010001001010101011001100000100001110011000010111101110000100000100011111100000000000000000000001011000010111101110000101010101100110000010000111001100000001011110111000100011111100000001000100101010101100110000010000111001100001011110111000010000010001111110000000000000000000000101100001011110111000010101010110011000001000011100110000000101111011100010001111110000000100010010101010110011000001000011100110000101111011100001000001000111111000000000000000000000010110000101111011100001010101011001100000100001110011000000010111101110001000111111000000010001001010101011001100000100001110011000010111101110000100000100011111100000000000000000000001011000010111101110000101010101100110000010000111001100000001011110111000100011111100000001000100101010101100110000010000111001100001011110111000010000010001111110000000000000000000000101100001011110111000010101010110011000001000011100110000000101111011100010001111110000000100010010101010110011000001000011100110000101111011100001000001000111111000000000000000000000010110000101111011100001010101011001100000100001110011000000010111101110001000111111000000010001001010101011001100000100001110011000010111101110000100000100011111100000000000000000000001011000010111101110000101010101100110000010000111001100000001011110111000100011111100000001000100101010101100110000010000111001100001011110111000010000010001111110000000000000000000000101100001011110111000010101010110011000001000011100110000000101111011100010001111110000000100010010101010110011000001000011100110000101111011100001000001000111111000000000000000000000010110000101111011100001010101011001100000100001110011000000010111101110001000111111000000010001001010101011001100000100001110011000010111101110000100000100011111100000000000000000000001011000010111101110000101010101100110000010000111001100000001011110111000100011111100000001000100101010101100110000010000111001100001011110111000010000010001111110000000000000000000000101100001011110111000010101010110011000001000011100110000000101111011100010001111110000000100010010101010110011000001000011100110000101111011100001000001000111111000000000000000000000010110000101111011100001010101011001100000100001110011000000010111101110001000111111000000010001001010101011001100000100001110011000010111101110000100000100011111100000000000000000000001011000010111101110000101010101100110000010000111001100000001011110111000100011111100000001000100101010101100110000010000111001100001011110111000010000010001111110000000000000000000000101100001011110111000010101010110011000001000011100110000000101111011100010001111110000000100010010101010110011000001000011100110000101111011100001000001000111111000000000000000000000010110000101111011100001010101011001100000100001110011000000010111101110001000111111000000010001001010101011001100000100001110011000010111101110000100000100011111100000000000000000000001011000010111101110000101010101100110000010000111001100000001011110111000100011111100000001000100101010101100110000010000111001100001011110111000010000010001111110000000000000000000000101100001011110111000010101010110011000001000011100110000000101111011100010001111110000000100010010101010110011000001000011100110000101111011100001000001000111111000000000000000000000010110000101111011100001010101011001100000100001110011000000010111101110001000111111000000010001001010101011001100000100001110011000010111101110000100000100011111100000000000000000000001011000010111101110000101010101100110000010000111001100000001011110111000100011111100000001000100101010101100110000010000111001100001011110111000010000010001111110000000000000000000000101100001011110111000010101010110011000001000011100110000000101111011100010001111110000000100010010101010110011000001000011100110000101111011100001000001000111111000000000000000000000010110000101111011100001010101011001100000100001110011000000010111101110001000111111000000010001001010101011001100000100001110011000010111101110000100000100011111100000000000000000000001011000010111101110000101010101100110000010000111001100000001011110111000100011111100000001000100101010101100110000010000111001100001011110111000010000010001111110000000000000000000000101100001011110111000010101010110011000001000011100110000000101111011100010001111110000000100010010101010110011000001000011100110000101111011100001000001000111111000000000000000000000010110000101111011100001010101011001100000100001110011000000010111101110001000111111000000010001001010101011001100000100001110011000010111101110000100000100011111100000000000000000000001011000010111101110000101010101100110000010000111001100000001011110111000100011111100000001000100101010101100110000010000111001100001011110111000010000010001111110000000000000000000000101100001011110111000010101010111011000001000011100110000000101111011100101101111000010110100010010101010110011000001000011100110000101111011100001000001000111111000000000000000000000010110000101111011100001010101011101100000100001110011000000010111101110010110111100001011010001001010101011101100000100001110011000010111101110010100000011011110000101100000000000000001011000010111101110000101010101110110000010000111001100000001011110111001011011110000101101000100101010101110110000010000111001100001011110111001001000001101111000010110100001110011100001100001011110111000010101010111011000001000011100110000000101111011100101101111000010110100010010101010111011000001000011100110000101111011100100100000110111100001011000000000000000010110110011000010000001010101011101100000100001110011000000010111101110010110111100001011010001001010101011101100000100001110011000010111101110010010000011011110000101100000000000000001011011001100001000010101010101110110000010000111001100001100110000100001011011110000101101000110101010101110110000010000111001101100110000100001001000001101111000010110000000000000000101101100110000100000010101010111011000001000011100110000110011000010000001101111000010110100010010101010111011000001000011100110110011000010000001000000110111100001011000000000000000010110110011000010000001010101011101100000100001110011000011001100001000000110111100001011010001001010101011101100000100001110011011001100001000000100000011011110000101100000000000000001011011001100001000000101010101110110000010000111001100001100110000100000011011110000101101000100101010101110110000010000111001101100110000100000010000001101111000010110000000000000000101101100110000100000010101010111011000001000011100110000110011000010000001101111000010110100010010101010111011000001000011100110110011000010000001000000110111100001011000000000000000010110110011000010000001010101011101100000100001110011000011001100001000000110111100001011010001001010101011101100000100001110011011001100001000000100000011011110000101100000000000000001011011001100001000000101010101110110000010000111001100001100110000100000011011110000101101000100101010101110110000010000111001101100110000100000010000001101111000010110000000000000000101101100110000100000010101010111011000001000011100110000110011000010000001101111000010110100010010101010111011000001000011100110110011000010000001000000110111100001011000000000000000010110110011000010000001010101011101100000100001110011000011001100001000000110111100001011010001001010101011101100000100001110011011001100001000000100000011011110000101100000000000000001011011001100001000000101010101110110000010000111001100001100110000100000011011110000101101000100101010101110110000010000111001101100110000100000010000001101111000010110000000000000000101101100110000100000010101010111011000001000011100110000110011000010000001101111000010110100010010101010111011000001000011100110110011000010000001000000110111100001011000000000000000010110110011000010000001010101011101100000100001110011000011001100001000000110111100001011010001001010101011101100000100001110011011001100001000000100000011011110000101100000000000000001011011001100001000000101010101110110000010000111001100001100110000100000011011110000101101000100101010101110110000010000111001101100110000100000010000001101111000010110000000000000000101101100110000100000010101010111011000001000011100110000110011000010000001101111000010110100010010101010111011000001000011100110110011000010000001000000110111100001011000000000000000010110110011000010000001010101011101100000100001110011000011001100001000000110111100001011010001001010101011101100000100001110011011001100001000000100000011011110000101100000000000000001011011001100001000000101010101110110000010000111001100001100110000100000011011110000101101000100101010101110110000010000111001101100110000100000010000001101111000010110000000000000000101101100110000100000010101010111011000001000011100110000110011000010000001101111000010110100010010101010111011000001000011100110110011000010000001000000110111100001011000000000000000010110110011000010000001010101011101100000100001110011000011001100001000000110111100001011010001001010101011101100000100001110011011001100001000000100000011011110000101100000000000000001011011001100001000000101010101110110000010000111001100001100110000100000011011110000101101000100101010101110110000010000111001101100110000100000010000001101111000010110000000000000000101101100110000100000010101010111011000001000011100110000110011000010000001101111000010110100010010101010111011000001000011100110110011000010000001000000110111100001011000000000000000010110110011000010000001010101011101100000100001110011000011001100001000000110111100001011010001001010101011101100000100001110011011001100001000000100000011011110000101100000000000000001011011001100001000000101010101110110000010000111001100001100110000100000011011110000101101000100101010101110110000010000111001101100110000100000010000001101111000010110000000000000000101101100110000100000010101010111011000001000011100110000110011000010000001101111000010110100010010101010111011000001000011100110110011000010000001000000110111100001011000000000000000010110110011000010000001010101011101100000100001110011000011001100001000000110111100001011010001001010101011101100000100001110011011001100001000000100000011011110000101100000000000000001011011001100001000000101010101110110000010000111001100001100110000100000011011110000101101000100101010101110110000010000111001101100110000100000010000001101111000010110000000000000000101101100110000100000010101010111011000001000011100110000110011000010000001101111000010110100010010101010111011000001000011100110110011000010000001000000110111100001011000000000000000010110110011000010000001010101011101100000100001110011000011001100001000000110111100001011010001001010101011101100000100001110011011001100001000000100000011011110000101100000000000000001011011001100001000000101010101110110000010000111001100001100110000100000011011110000101101000100101010101110110000010000111001101100110000100000010000001101111000010110000000000000000101101100110000100000010101010111011000001000011100110000110011000010000001101111000010110100010010101010111011000001000011100110110011000010000001000000110111100001011000000000000000010110110011000010000001010101011101100000100001110011000011001100001000000110111100001011010001001010101011101100000100001110011011001100001000000100000011011110000101100000000000000001011011001100001000000101010101110110000010000111001100001100110000100000011011110000101101000100101010101110110000010000111001101100110000100000010000001101111000010110000000000000000101101100110000100000010101010111011000001000011100110000110011000010000001101111000010110100010010101010111011000001000011100110110011000010000001000000110111100001011000000000000000010110110011000010000001010101011001100000101001110011000011001100001000010000101111011100010001001010101011101100000100001110011011001100001000000100000011011110000101100000000000000001011011001100001000000101010101100110000010100111001100001100110000100001000010111101110001000100101010101100110000010100111001101100110000100001010000000001011110111000000000000000000101101100110000100000010101010110011000001010011100110000110011000010000100001011110111000100010010101010110011000001010011100110110011000010000100100000000101111011100000001111001110000110110011000010000001010101011001100000101001110011000011001100001000010000101111011100010001001010101011001100000101001110011011001100001000010010000000010111101110000000000000000001011010110000010110000101010101100110000010100111001100001100110000100001000010111101110001000100101010101100110000010100111001101100110000100001001000000001011110111000000000000000000101101011000001011001010101010110011000001010011100110000101100000101100100001011110111000100011010101010110011000001010011100110101100000101100100100000000101111011100000000000000000010110101100000101100001010101011001100000101001110011000010110000010110000000101111011100010001001010101011001100000101001110011010110000010110000100000000010111101110000000000000000001011010110000010110000101010101100110000010100111001100001011000001011000000010111101110001000100101010101100110000010100111001101011000001011000010000000001011110111000000000000000000101101011000001011000010101010110011000001010011100110000101100000101100000001011110111000100010010101010110011000001010011100110101100000101100001000000000101111011100000000000000000010110101100000101100001010101011001100000101001110011000010110000010110000000101111011100010001001010101011001100000101001110011010110000010110000100000000010111101110000000000000000001011010110000010110000101010101100110000010100111001100001011000001011000000010111101110001000100101010101100110000010100111001101011000001011000010000000001011110111000000000000000000101101011000001011000010101010110011000001010011100110000101100000101100000001011110111000100010010101010110011000001010011100110101100000101100001000000000101111011100000000000000000010110101100000101100001010101011001100000101001110011000010110000010110000000101111011100010001001010101011001100000101001110011010110000010110000100000000010111101110000000000000000001011010110000010110000101010101100110000010100111001100001011000001011000000010111101110001000100101010101100110000010100111001101011000001011000010000000001011110111000000000000000000101101011000001011000010101010110011000001010011100110000101100000101100000001011110111000100010010101010110011000001010011100110101100000101100001000000000101111011100000000000000000010110101100000101100001010101011001100000101001110011000010110000010110000000101111011100010001001010101011001100000101001110011010110000010110000100000000010111101110000000000000000001011010110000010110000101010101100110000010100111001100001011000001011000000010111101110001000100101010101100110000010100111001101011000001011000010000000001011110111000000000000000000101101011000001011000010101010110011000001010011100110000101100000101100000001011110111000100010010101010110011000001010011100110101100000101100001000000000101111011100000000000000000010110101100000101100001010101011001100000101001110011000010110000010110000000101111011100010001001010101011001100000101001110011010110000010110000100000000010111101110000000000000000001011010110000010110000101010101100110000010100111001100001011000001011000000010111101110001000100101010101100110000010100111001101011000001011000010000000001011110111000000000000000000101101011000001011000010101010110011000001010011100110000101100000101100000001011110111000100010010101010110011000001010011100110101100000101100001000000000101111011100000000000000000010110101100000101100001010101011001100000101001110011000010110000010110000000101111011100010001001010101011001100000101001110011010110000010110000100000000010111101110000000000000000001011010110000010110000101010101100110000010100111001100001011000001011000000010111101110001000100101010101100110000010100111001101011000001011000010000000001011110111000000000000000000101101011000001011000010101010110011000001010011100110000101100000101100000001011110111000100010010101010110011000001010011100110101100000101100001000000000101111011100000000000000000010110101100000101100001010101011001100000101001110011000010110000010110000000101111011100010001001010101011001100000101001110011010110000010110000100000000010111101110000000000000000001011010110000010110000101010101100110000010100111001100001011000001011000000010111101110001000100101010101100110000010100111001101011000001011000010000000001011110111000000000000000000101101011000001011000010101010110011000001010011100110000101100000101100000001011110111000100010010101010110011000001010011100110101100000101100001000000000101111011100000000000000000010110101100000101100001010101011001100000101001110011000010110000010110000000101111011100010001001010101011001100000101001110011010110000010110000100000000010111101110000000000000000001011010110000010110000101010101100110000010100111001100001011000001011000000010111101110001000100101010101100110000010100111001101011000001011000010000000001011110111000000000000000000101101011000001011000010101010110011000001010011100110000101100000101100000001011110111000100010010101010110011000001010011100110101100000101100001000000000101111011100000000000000000010110101100000101100001010101011001100000101001110011000010110000010110000000101111011100010001001010101011001100000101001110011010110000010110000100000000010111101110000000000000000001011010110000010110000101010101100110000010100111001100001011000001011000000010111101110001000100101010101100110000010100111001101011000001011000010000000001011110111000000000000000000101101011000001011000010101010110011000001010011100110000101100000101100000001011110111000100010010101010110011000001010011100110101100000101100001000000000101111011100000000000000000010110101100000101100001010101011001100000101001110011000010110000010110000000101111011100010001001010101011001100000101001110011010110000010110000100000000010111101110000000000000000001011010110000010110000101010101100110000010100111001100001011000001011000000010111101110001000100101010101100110000010100111001101011000001011000010000000001011110111000000000000000000101101011000001011000010101010110011000001010011100110000101100000101100000001011110111000100010010101010110011000001010011100110101100000101100001000000000101111011100000000000000000010110101100000101100001010101011101100000101001110011000010110000010110010110011000010000010001001010101011001100000101001110011010110000010110000100000000010111101110000000000000000001011010110000010110000101010101110110000010100111001100001011000001011001011001100001000001000100101010101110110000010100111001101011000001011001010000001100110000100000000000000000000101101011000001011000010101010111011000001010011100110000101100000101100101100110000100000100010010101010111011000001010011100110101100000101100100100000110011000010000010001111001110000110101100000101100001010101011101100000101001110011000010110000010110010110011000010000010001001010101011101100000101001110011010110000010110010010000011001100001000000000000000000001011101111100011001100101010101110110000010100111001100001011000001011001011001100001000001000100101010101110110000010100111001101011000001011001001000001100110000100000000000000000000101110111110001100111010101010111011000001010011100110001011111000110011101100110000100000100011010101010111011000001010011100111011111000110011100100000110011000010000000000000000000010111011111000110011001010101011101100000101001110011000101111100011001100110011000010000010001001010101011101100000101001110011101111100011001100100000011001100001000000000000000000001011101111100011001100101010101110110000010100111001100010111110001100110011001100001000001000100101010101110110000010100111001110111110001100110010000001100110000100000000000000000000101110111110001100110010101010111011000001010011100110001011111000110011001100110000100000100010010101010111011000001010011100111011111000110011001000000110011000010000000000000000000010111011111000110011001010101011101100000101001110011000101111100011001100110011000010000010001001010101011101100000101001110011101111100011001100100000011001100001000000000000000000001011101111100011001100101010101110110000010100111001100010111110001100110011001100001000001000100101010101110110000010100111001110111110001100110010000001100110000100000000000000000000101110111110001100110010101010111011000001010011100110001011111000110011001100110000100000100010010101010111011000001010011100111011111000110011001000000110011000010000000000000000000010111011111000110011001010101011101100000101001110011000101111100011001100110011000010000010001001010101011101100000101001110011101111100011001100100000011001100001000000000000000000001011101111100011001100101010101110110000010100111001100010111110001100110011001100001000001000100101010101110110000010100111001110111110001100110010000001100110000100000000000000000000101110111110001100110010101010111011000001010011100110001011111000110011001100110000100000100010010101010111011000001010011100111011111000110011001000000110011000010000000000000000000010111011111000110011001010101011101100000101001110011000101111100011001100110011000010000010001001010101011101100000101001110011101111100011001100100000011001100001000000000000000000001011101111100011001100101010101110110000010100111001100010111110001100110011001100001000001000100101010101110110000010100111001110111110001100110010000001100110000100000000000000000000101110111110001100110010101010111011000001010011100110001011111000110011001100110000100000100010010101010111011000001010011100111011111000110011001000000110011000010000000000000000000010111011111000110011001010101011101100000101001110011000101111100011001100110011000010000010001001010101011101100000101001110011101111100011001100100000011001100001000000000000000000001011101111100011001100101010101110110000010100111001100010111110001100110011001100001000001000100101010101110110000010100111001110111110001100110010000001100110000100000000000000000000101110111110001100110010101010111011000001010011100110001011111000110011001100110000100000100010010101010111011000001010011100111011111000110011001000000110011000010000000000000000000010111011111000110011001010101011101100000101001110011000101111100011001100110011000010000010001001010101011101100000101001110011101111100011001100100000011001100001000000000000000000001011101111100011001100101010101110110000010100111001100010111110001100110011001100001000001000100101010101110110000010100111001110111110001100110010000001100110000100000000000000000000101110111110001100110010101010111011000001010011100110001011111000110011001100110000100000100010010101010111011000001010011100111011111000110011001000000110011000010000000000000000000010111011111000110011001010101011101100000101001110011000101111100011001100110011000010000010001001010101011101100000101001110011101111100011001100100000011001100001000000000000000000001011101111100011001100101010101110110000010100111001100010111110001100110011001100001000001000100101010101110110000010100111001110111110001100110010000001100110000100000000000000000000101110111110001100110010101010111011000001010011100110001011111000110011001100110000100000100010010101010111011000001010011100111011111000110011001000000110011000010000000000000000000010111011111000110011001010101011101100000101001110011000101111100011001100110011000010000010001001010101011101100000101001110011101111100011001100100000011001100001000000000000000000001011101111100011001100101010101110110000010100111001100010111110001100110011001100001000001000100101010101110110000010100111001110111110001100110010000001100110000100000000000000000000101110111110001100110010101010111011000001010011100110001011111000110011001100110000100000100010010101010111011000001010011100111011111000110011001000000110011000010000000000000000000010111011111000110011001010101011101100000101001110011000101111100011001100110011000010000010001001010101011101100000101001110011101111100011001100100000011001100001000000000000000000001011101111100011001100101010101110110000010100111001100010111110001100110011001100001000001000100101010101110110000010100111001110111110001100110010000001100110000100000000000000000000101110111110001100110010101010111011000001010011100110001011111000110011001100110000100000100010010101010111011000001010011100111011111000110011001000000110011000010000000000000000000010111011111000110011001010101011101100000101001110011000101111100011001100110011000010000010001001010101011101100000101001110011101111100011001100100000011001100001000000000000000000001011101111100011001100101010101110110000010100111001100010111110001100110011001100001000001000100101010101110110000010100111001110111110001100110010000001100110000100000000000000000000101110111110001100110010101010111011000001010011100110001011111000110011001100110000100000100010010101010111011000001010011100111011111000110011001000000110011000010000000000000000000010111011111000110011001010101011101100000101001110011000101111100011001100110011000010000010001001010101011101100000101001110011101111100011001100100000011001100001000000000000000000001011101111100011001100101010101100110000010000000101100010111110001100111010110000010110001000100101010101110110000010100111001110111110001100110010000001100110000100000000000000000000101110111110001100110010101010110011000001000000010110001011111000110011101011000001011000100010010101010110011000001000000010111011111000110011101000000101100000101100000000000000000010111011111000110011001010101011001100000100000001011000101111100011001110101100000101100010001001010101011001100000100000001011101111100011001110010000010110000010110000000000010111000011101111100011001100101010101100110000010000000101100010111110001100111010110000010110001000100101010101100110000010000000101110111110001100111001000001011000001011000000000000000000101100010110000101100010101010110011000001000000010110001011111000110011101011000001011000100010010101010110011000001000000010111011111000110011100100000101100000101100000000000000000010110001011000010110101010101011001100000100000001011000000101100001011010101100000101100010001101010101011001100000100000001011000101100001011010010000010110000010110000000000000000001011000101100001011000101010101100110000010000000101100000010110000101100010110000010110001000100101010101100110000010000000101100010110000101100010000001011000001011000000000000000000101100010110000101100010101010110011000001000000010110000001011000010110001011000001011000100010010101010110011000001000000010110001011000010110001000000101100000101100000000000000000010110001011000010110001010101011001100000100000001011000000101100001011000101100000101100010001001010101011001100000100000001011000101100001011000100000010110000010110000000000000000001011000101100001011000101010101100110000010000000101100000010110000101100010110000010110001000100101010101100110000010000000101100010110000101100010000001011000001011000000000000000000101100010110000101100010101010110011000001000000010110000001011000010110001011000001011000100010010101010110011000001000000010110001011000010110001000000101100000101100000000000000000010110001011000010110001010101011001100000100000001011000000101100001011000101100000101100010001001010101011001100000100000001011000101100001011000100000010110000010110000000000000000001011000101100001011000101010101100110000010000000101100000010110000101100010110000010110001000100101010101100110000010000000101100010110000101100010000001011000001011000000000000000000101100010110000101100010101010110011000001000000010110000001011000010110001011000001011000100010010101010110011000001000000010110001011000010110001000000101100000101100000000000000000010110001011000010110001010101011001100000100000001011000000101100001011000101100000101100010001001010101011001100000100000001011000101100001011000100000010110000010110000000000000000001011000101100001011000101010101100110000010000000101100000010110000101100010110000010110001000100101010101100110000010000000101100010110000101100010000001011000001011000000000000000000101100010110000101100010101010110011000001000000010110000001011000010110001011000001011000100010010101010110011000001000000010110001011000010110001000000101100000101100000000000000000010110001011000010110001010101011001100000100000001011000000101100001011000101100000101100010001001010101011001100000100000001011000101100001011000100000010110000010110000000000000000001011000101100001011000101010101100110000010000000101100000010110000101100010110000010110001000100101010101100110000010000000101100010110000101100010000001011000001011000000000000000000101100010110000101100010101010110011000001000000010110000001011000010110001011000001011000100010010101010110011000001000000010110001011000010110001000000101100000101100000000000000000010110001011000010110001010101011001100000100000001011000000101100001011000101100000101100010001001010101011001100000100000001011000101100001011000100000010110000010110000000000000000001011000101100001011000101010101100110000010000000101100000010110000101100010110000010110001000100101010101100110000010000000101100010110000101100010000001011000001011000000000000000000101100010110000101100010101010110011000001000000010110000001011000010110001011000001011000100010010101010110011000001000000010110001011000010110001000000101100000101100000000000000000010110001011000010110001010101011001100000100000001011000000101100001011000101100000101100010001001010101011001100000100000001011000101100001011000100000010110000010110000000000000000001011000101100001011000101010101100110000010000000101100000010110000101100010110000010110001000100101010101100110000010000000101100010110000101100010000001011000001011000000000000000000101100010110000101100010101010110011000001000000010110000001011000010110001011000001011000100010010101010110011000001000000010110001011000010110001000000101100000101100000000000000000010110001011000010110001010101011001100000100000001011000000101100001011000101100000101100010001001010101011001100000100000001011000101100001011000100000010110000010110000000000000000001011000101100001011000101010101100110000010000000101100000010110000101100010110000010110001000100101010101100110000010000000101100010110000101100010000001011000001011000000000000000000101100010110000101100010101010110011000001000000010110000001011000010110001011000001011000100010010101010110011000001000000010110001011000010110001000000101100000101100000000000000000010110001011000010110001010101011001100000100000001011000000101100001011000101100000101100010001001010101011001100000100000001011000101100001011000100000010110000010110000000000000000001011000101100001011000101010101100110000010000000101100000010110000101100010110000010110001000100101010101100110000010000000101100010110000101100010000001011000001011000000000000000000101100010110000101100010101010110011000001000000010110000001011000010110001011000001011000100010010101010110011000001000000010110001011000010110001000000101100000101100000000000000000010110001011000010110001010101011001100000100000001011000000101100001011000101100000101100010001001010101011001100000100000001011000101100001011000100000010110000010110000000000000000001011000101100001011000101010101100110000010000000101100000010110000101100010110000010110001000100101010101100110000010000000101100010110000101100010000001011000001011000000000000000000101100010110000101100010101010110011000001000000010110000001011000010110001011000001011000100010010101010110011000001000000010110001011000010110001000000101100000101100000000000000000010110001011000010110001010101011001100000100000001011000000101100001011000101100000101100010001001010101011001100000100000001011000101100001011000100000010110000010110000000000000000001011000101100001011000101010101100110000010000000101100000010110000101100010110000010110001000100101010101100110000010000000101100010110000101100010000001011000001011000000000000000000101100010110000101100010101010111011000001000000010110000001011000010110110111110001100110100010010101010110011000001000000010110001011000010110001000000101100000101100000000000000000010110001011000010110001010101011101100000100000001011000000101100001011011011111000110011010001001010101011101100000100000001011000101100001011010100000101111100011001100000000000000001011000101100001011000101010101110110000010000000101100000010110000101101101111100011001101000100101010101110110000010000000101100010110000101101001000010111110001100110100000001011100001100010110000101100010101010111011000001000000010110000001011000010110110111110001100110100010010101010111011000001000000010110001011000010110100100001011111000110011000000000000000010110001111011111100001010101011101100000100000001011000000101100001011011011111000110011010001001010101011101100000100000001011000101100001011010010000101111100011001100000000000000001011000111101111110010101010101110110000010000000101100000011110111111001101111100011001101000110101010101110110000010000000101100011110111111001001000010111110001100110000000000000000101100011110111111000010101010111011000001000000010110000001111011111100010111110001100110100010010101010111011000001000000010110001111011111100001000001011111000110011000000000000000010110001111011111100001010101011101100000100000001011000000111101111110001011111000110011010001001010101011101100000100000001011000111101111110000100000101111100011001100000000000000001011000111101111110000101010101110110000010000000101100000011110111111000101111100011001101000100101010101110110000010000000101100011110111111000010000010111110001100110000000000000000101100011110111111000010101010111011000001000000010110000001111011111100010111110001100110100010010101010111011000001000000010110001111011111100001000001011111000110011000000000000000010110001111011111100001010101011101100000100000001011000000111101111110001011111000110011010001001010101011101100000100000001011000111101111110000100000101111100011001100000000000000001011000111101111110000101010101110110000010000000101100000011110111111000101111100011001101000100101010101110110000010000000101100011110111111000010000010111110001100110000000000000000101100011110111111000010101010111011000001000000010110000001111011111100010111110001100110100010010101010111011000001000000010110001111011111100001000001011111000110011000000000000000010110001111011111100001010101011101100000100000001011000000111101111110001011111000110011010001001010101011101100000100000001011000111101111110000100000101111100011001100000000000000001011000111101111110000101010101110110000010000000101100000011110111111000101111100011001101000100101010101110110000010000000101100011110111111000010000010111110001100110000000000000000101100011110111111000010101010111011000001000000010110000001111011111100010111110001100110100010010101010111011000001000000010110001111011111100001000001011111000110011000000000000000010110001111011111100001010101011101100000100000001011000000111101111110001011111000110011010001001010101011101100000100000001011000111101111110000100000101111100011001100000000000000001011000111101111110000101010101110110000010000000101100000011110111111000101111100011001101000100101010101110110000010000000101100011110111111000010000010111110001100110000000000000000101100011110111111000010101010111011000001000000010110000001111011111100010111110001100110100010010101010111011000001000000010110001111011111100001000001011111000110011000000000000000010110001111011111100001010101011101100000100000001011000000111101111110001011111000110011010001001010101011101100000100000001011000111101111110000100000101111100011001100000000000000001011000111101111110000101010101110110000010000000101100000011110111111000101111100011001101000100101010101110110000010000000101100011110111111000010000010111110001100110000000000000000101100011110111111000010101010111011000001000000010110000001111011111100010111110001100110100010010101010111011000001000000010110001111011111100001000001011111000110011000000000000000010110001111011111100001010101011101100000100000001011000000111101111110001011111000110011010001001010101011101100000100000001011000111101111110000100000101111100011001100000000000000001011000111101111110000101010101110110000010000000101100000011110111111000101111100011001101000100101010101110110000010000000101100011110111111000010000010111110001100110000000000000000101100011110111111000010101010111011000001000000010110000001111011111100010111110001100110100010010101010111011000001000000010110001111011111100001000001011111000110011000000000000000010110001111011111100001010101011101100000100000001011000000111101111110001011111000110011010001001010101011101100000100000001011000111101111110000100000101111100011001100000000000000001011000111101111110000101010101110110000010000000101100000011110111111000101111100011001101000100101010101110110000010000000101100011110111111000010000010111110001100110000000000000000101100011110111111000010101010111011000001000000010110000001111011111100010111110001100110100010010101010111011000001000000010110001111011111100001000001011111000110011000000000000000010110001111011111100001010101011101100000100000001011000000111101111110001011111000110011010001001010101011101100000100000001011000111101111110000100000101111100011001100000000000000001011000111101111110000101010101110110000010000000101100000011110111111000101111100011001101000100101010101110110000010000000101100011110111111000010000010111110001100110000000000000000101100011110111111000010101010111011000001000000010110000001111011111100010111110001100110100010010101010111011000001000000010110001111011111100001000001011111000110011000000000000000010110001111011111100001010101011101100000100000001011000000111101111110001011111000110011010001001010101011101100000100000001011000111101111110000100000101111100011001100000000000000001011000111101111110000101010101110110000010000000101100000011110111111000101111100011001101000100101010101110110000010000000101100011110111111000010000010111110001100110000000000000000101100011110111111000010101010111011000001000000010110000001111011111100010111110001100110100010010101010111011000001000000010110001111011111100001000001011111000110011000000000000000010110001111011111100001010101011101100000100000001011000000111101111110001011111000110011010001001010101011101100000100000001011000111101111110000100000101111100011001100000000000000001011000111101111110000101010101110110000010000000101100000011110111111000101111100011001101000100101010101110110000010000000101100011110111111000010000010111110001100110000000000000000101100011110111111000010101010111011000001000000010110000001111011111100010111110001100110100010010101010111011000001000000010110001111011111100001000001011111000110011000000000000000010110001111011111100001010101011001100000101000001011000000111101111110010001011000010110010001001010101011101100000100000001011000111101111110000100000101111100011001100000000000000001011000111101111110000101010101100110000010100000101100000011110111111001000101100001011001000100101010101100110000010100000101100011110111111001010000000010110000101100000000000000000101100011110111111000010101010110011000001010000010110000001111011111100100010110000101100100010010101010110011000001010000010110001111011111100100100000001011000010110000001000101110000110001111011111100001010101011001100000101000001011000000111101111110010001011000010110010001001010101011001100000101000001011000111101111110010010000000101100001011000000000000000001011111100000001111100101010101100110000010100000101100000011110111111001000101100001011001000100101010101100110000010100000101100011110111111001001000000010110000101100000000000000000101111110000000111111010101010110011000001010000010110001111000000011111100010110000101100100011010101010110011000001010000010111111000000011111100100000001011000010110000000000000000010111111000000011111001010101011001100000101000001011000111100000001111100001011000010110010001001010101011001100000101000001011111100000001111100100000000101100001011000000000000000001011111100000001111100101010101100110000010100000101100011110000000111110000101100001011001000100101010101100110000010100000101111110000000111110000001000010110000101100010000000000000100011110000000111110010101010110011000001010000010110001111000000011111000010110000101100100010010101010110011000001010000010111111000000011111000000100001011000010110000000000000000010111111000000011111001010101011001100000101000001011000111100000001111100001011000010110010001001010101011001100000101000001011111100000001111100000010000101100001011000000000000000001011111100000001111100101010101100110000010100000101100011110000000111110000101100001011001000100101010101100110000010100000101111110000000111110000000100010110000101100000000000000000000111110000000111110010101010110011000001010000010110001111000000011111000010110000101100100010010101010110011000001010000010111111000000011111000000010001011000010110000000000000000010111111000000011111001010101011001100000101000001011000111100000001111100001011000010110010001001010101011001100000101000001011111100000001111100000001000101100001011000000000000000001011111100000001111100101010101100110000010100000101100011110000000111110000101100001011001000100101010101100110000010100000101111110000000111110000000100010110000101100000000000000000101111110000000111110010101010110011000001010000010110001111000000011111000010110000101100100010010101010110011000001010000010111111000000011111000000010001011000010110000000000000000010111111000000011111001010101011001100000101000001011000111100000001111100001011000010110010001001010101011001100000101000001011111100000001111100000001000101100001011000000000000000001011111100000001111100101010101100110000010100000101100011110000000111110000101100001011001000100101010101100110000010100000101111110000000111110000000100010110000101100000000000000000101111110000000111110010101010110011000001010000010110001111000000011111000010110000101100100010010101010110011000001010000010111111000000011111000000010001011000010110000000000000000010111111000000011111001010101011001100000101000001011000111100000001111100001011000010110010001001010101011001100000101000001011111100000001111100001000000101100001011000000000000000001011111100000001111100101010101100110000010100000101100011110000000111110000101100001011001000100101010101100110000010100000101111110000000111110000100000010110000101100000000000000000101111110000000111110010101010110011000001010000010110001111000000011111000010110000101100100010010101010110011000001010000010111111000000011111000010000001011000010110000000000000000010111111000000011111001010101011001100000101000001011000111100000001111100001011000010110010001001010101011001100000101000001011111100000001111100001000000101100001011000000000000000001011111100000001111100101010101100110000010100000101100011110000000111110000101100001011001000100101010101100110000010100000101111110000000111110000100000010110000101100000000000000000101111110000000111110010101010110011000001010000010110001111000000011111000010110000101100100010010101010110011000001010000010111111000000011111000010000001011000010110000000000000000010111111000000011111001010101011001100000101000001011000111100000001111100001011000010110010001001010101011001100000101000001011111100000001111100001000000101100001011000000000000000001011111100000001111100101010101100110000010100000101100011110000000111110000101100001011001000100101010101100110000010100000101111110000000111110000100000010110000101100000000000000000101111110000000111110010101010110011000001010000010110001111000000011111000010110000101100100010010101010110011000001010000010111111000000011111000010000001011000010110000000000000000010111111000000011111001010101011001100000101000001011000111100000001111100001011000010110010001001010101011001100000101000001011111100000001111100001000000101100001011000000000000000001011111100000001111100101010101100110000010100000101100011110000000111110000101100001011001000100101010101100110000010100000101111110000000111110000100000010110000101100000000000000000101111110000000111110010101010110011000001010000010110001111000000011111000010110000101100100010010101010110011000001010000010111111000000011111000010000001011000010110000000000000000010111111000000011111001010101011001100000101000001011000111100000001111100001011000010110010001001010101011001100000101000001011111100000001111100001000000101100001011000000000000000001011111100000001111100101010101100110000010100000101100011110000000111110000101100001011001000100101010101100110000010100000101111110000000111110000100000010110000101100000000000000000101111110000000111110010101010110011000001010000010110001111000000011111000010110000101100100010010101010110011000001010000010111111000000011111000010000001011000010110000000000000000010111111000000011111001010101011001100000101000001011000111100000001111100001011000010110010001001010101011001100000101000001011111100000001111100001000000101100001011000000000000000001011111100000001111100101010101100110000010100000101100011110000000111110000101100001011001000100101010101100110000010100000101111110000000111110000100000010110000101100000000000000000101111110000000111110010101010110011000001010000010110001111000000011111000010110000101100100010010101010110011000001010000010111111000000011111000010000001011000010110000000000000000010111111000000011111001010101011101100000101000001011000111100000001111110001111011111100010001001010101011001100000101000001011111100000001111100001000000101100001011000000000000000001011111100000001111100101010101110110000010100000101100011110000000111111000111101111110001000100101010101110110000010100000101111110000000111111000100000011110111111000000000000000000101111110000000111110010101010111011000001010000010110001111000000011111100011110111111000100010010101010111011000001010000010111111000000011111110000000001111011111100001101010101100010011111000000011111001010101011101100000101000001011000111100000001111110001111011111100010001001010101011101100000101000001011111100000001111111000000000111101111110000000000000000001011111100000001111100101010101110110000010100000101100011110000000111111000111101111110001000100101010101110110000010100000101111110000000111111100000000011110111111000000000000000000101111110000000111110010101010111011000001010000010110001111000000011111100011110111111000100010010101010111011000001010000010111111000000011111101000000001111011111100000000000000000010111111000000011111001010101011101100000101000001011000111100000001111110001111011111100010001001010101011101100000101000001011111100000001111110010000000111101111110001000100010111000011111100000001111100101010101110110000010100000101100011110000000111111000111101111110001000100101010101110110000010100000101111110000000111111001000000011110111111000000000000000000101110000000001010010010101010111011000001010000010110001111000000011111100011110111111000100010010101010111011000001010000010111111000000011111100100000001111011111100000000000000000010111000000000101001101010101011101100000101000001011000100000000010100110001111011111100010001101010101011101100000101000001011100000000010100110010000000111101111110000000000000000001011100000000010100100101010101110110000010100000101100010000000001010010000111101111110001000100101010101110110000010100000101110000000001010010010000000011110111111000000000000000000101110000000001010010010101010111011000001010000010110001000000000101001000011110111111000100010010101010111011000001010000010111000000000101001001000000001111011111100000000000000000010111000000000101001001010101011101100000101000001011000100000000010100100001111011111100010001001010101011101100000101000001011100000000010100100100000000111101111110000000000000000001011100000000010100100101010101110110000010100000101100010000000001010010000111101111110001000100101010101110110000010100000101110000000001010010010000000011110111111000000000000000000101110000000001010010010101010111011000001010000010110001000000000101001000011110111111000100010010101010111011000001010000010111000000000101001001000000001111011111100000000000000000010111000000000101001001010101011101100000101000001011000100000000010100100001111011111100010001001010101011101100000101000001011100000000010100100100000000111101111110000000000000000001011100000000010100100101010101110110000010100000101100010000000001010010000111101111110001000100101010101110110000010100000101110000000001010010010000000011110111111000000000000000000101110000000001010010010101010111011000001010000010110001000000000101001000011110111111000100010010101010111011000001010000010111000000000101001001000000001111011111100000000000000000010111000000000101001001010101011101100000101000001011000100000000010100100001111011111100010001001010101011101100000101000001011100000000010100100100000000111101111110000000000000000001011100000000010100100101010101110110000010100000101100010000000001010010000111101111110001000100101010101110110000010100000101110000000001010010010000000011110111111000000000000000000101110000000001010010010101010111011000001010000010110001000000000101001000011110111111000100010010101010111011000001010000010111000000000101001001000000001111011111100000000000000000010111000000000101001001010101011101100000101000001011000100000000010100100001111011111100010001001010101011101100000101000001011100000000010100100100000000111101111110000000000000000001011100000000010100100101010101110110000010100000101100010000000001010010000111101111110001000100101010101110110000010100000101110000000001010010010000000011110111111000000000000000000101110000000001010010010101010111011000001010000010110001000000000101001000011110111111000100010010101010111011000001010000010111000000000101001001000000001111011111100000000000000000010111000000000101001001010101011101100000101000001011000100000000010100100001111011111100010001001010101011101100000101000001011100000000010100100100000000111101111110000000000000000001011100000000010100100101010101110110000010100000101100010000000001010010000111101111110001000100101010101110110000010100000101110000000001010010010000000011110111111000000000000000000101110000000001010010010101010111011000001010000010110001000000000101001000011110111111000100010010101010111011000001010000010111000000000101001001000000001111011111100000000000000000010111000000000101001001010101011101100000101000001011000100000000010100100001111011111100010001001010101011101100000101000001011100000000010100100100000000111101111110000000000000000001011100000000010100100101010101110110000010100000101100010000000001010010000111101111110001000100101010101110110000010100000101110000000001010010010000000011110111111000000000000000000101110000000001010010010101010111011000001010000010110001000000000101001000011110111111000100010010101010111011000001010000010111000000000101001001000000001111011111100000000000000000010111000000000101001001010101011101100000101000001011000100000000010100100001111011111100010001001010101011101100000101000001011100000000010100100100000000111101111110000000000000000001011100000000010100100101010101110110000010100000101100010000000001010010000111101111110001000100101010101110110000010100000101110000000001010010010000000011110111111000000000000000000101110000000001010010010101010111011000001010000010110001000000000101001000011110111111000100010010101010111011000001010000010111000000000101001001000000001111011111100000000000000000010111000000000101001001010101011101100000101000001011000100000000010100100001111011111100010001001010101011101100000101000001011100000000010100100100000000111101111110000000000000000001011100000000010100100101010101110110000010100000101100010000000001010010000111101111110001000100101010101110110000010100000101110000000001010010010000000011110111111000000000000000000101110000000001010010010101010111011000001010000010110001000000000101001000011110111111000100010010101010111011000001010000010111000000000101001001000000001111011111100000000000000000010111000000000101001001010101011101100000101000001011000100000000010100100001111011111100010001001010101011101100000101000001011100000000010100100100000000111101111110000000000000000001011100000000010100100101010101100110000010000100101100010000000001010011111100000001111101000100101010101110110000010100000101110000000001010010010000000011110111111000000000000000000101110000000001010010010101010110011000001000010010110001000000000101001111110000000111110100010010101010110011000001000010010111000000000101001101000001111000000011111000000000000000010111000000000101001001010101011001100000100001001011000100000000010100111111000000011111010001001010101011001100000100001001011100000000010100110010000111100000001111100000010010111000011100000000010100100101010101100110000010000100101100010000000001010011111100000001111101000100101010101100110000010000100101110000000001010011001000011110000000111110000000000000000101100001110001111000010101010110011000001000010010110001000000000101001111110000000111110100010010101010110011000001000010010111000000000101001100100001111000000011111000000000000000010110000111000111100101010101011001100000100001001011000000011100011110011111000000011111010001101010101011001100000100001001011000011100011110010010000111100000001111100000000000000001011000011100011110000101010101100110000010000100101100000001110001111000111100000001111101000100101010101100110000010000100101100001110001111000010000011110000000111110000000000000000101100001110001111000010101010110011000001000010010110000000111000111100011110000000111110100010010101010110011000001000010010110000111000111100001000001111000000011111000000000000000010110000111000111100001010101011001100000100001001011000000011100011110001111000000011111010001001010101011001100000100001001011000011100011110000100000111100000001111100000000000000001011000011100011110000101010101100110000010000100101100000001110001111000111100000001111101000100101010101100110000010000100101100001110001111000010000011110000000111110000000000000000101100001110001111000010101010110011000001000010010110000000111000111100011110000000111110100010010101010110011000001000010010110000111000111100001000001111000000011111000000000000000010110000111000111100001010101011001100000100001001011000000011100011110001111000000011111010001001010101011001100000100001001011000011100011110000100000111100000001111100000000000000001011000011100011110000101010101100110000010000100101100000001110001111000111100000001111101000100101010101100110000010000100101100001110001111000010000011110000000111110000000000000000101100001110001111000010101010110011000001000010010110000000111000111100011110000000111110100010010101010110011000001000010010110000111000111100001000001111000000011111000000000000000010110000111000111100001010101011001100000100001001011000000011100011110001111000000011111010001001010101011001100000100001001011000011100011110000100000111100000001111100000000000000001011000011100011110000101010101100110000010000100101100000001110001111000111100000001111101000100101010101100110000010000100101100001110001111000010000011110000000111110000000000000000101100001110001111000010101010110011000001000010010110000000111000111100011110000000111110100010010101010110011000001000010010110000111000111100001000001111000000011111000000000000000010110000111000111100001010101011001100000100001001011000000011100011110001111000000011111010001001010101011001100000100001001011000011100011110000100000111100000001111100000000000000001011000011100011110000101010101100110000010000100101100000001110001111000111100000001111101000100101010101100110000010000100101100001110001111000010000011110000000111110000000000000000101100001110001111000010101010110011000001000010010110000000111000111100011110000000111110100010010101010110011000001000010010110000111000111100001000001111000000011111000000000000000010110000111000111100001010101011001100000100001001011000000011100011110001111000000011111010001001010101011001100000100001001011000011100011110000100000111100000001111100000000000000001011000011100011110000101010101100110000010000100101100000001110001111000111100000001111101000100101010101100110000010000100101100001110001111000010000011110000000111110000000000000000101100001110001111000010101010110011000001000010010110000000111000111100011110000000111110100010010101010110011000001000010010110000111000111100001000001111000000011111000000000000000010110000111000111100001010101011001100000100001001011000000011100011110001111000000011111010001001010101011001100000100001001011000011100011110000100000111100000001111100000000000000001011000011100011110000101010101100110000010000100101100000001110001111000111100000001111101000100101010101100110000010000100101100001110001111000010000011110000000111110000000000000000101100001110001111000010101010110011000001000010010110000000111000111100011110000000111110100010010101010110011000001000010010110000111000111100001000001111000000011111000000000000000010110000111000111100001010101011001100000100001001011000000011100011110001111000000011111010001001010101011001100000100001001011000011100011110000100000111100000001111100000000000000001011000011100011110000101010101100110000010000100101100000001110001111000111100000001111101000100101010101100110000010000100101100001110001111000010000011110000000111110000000000000000101100001110001111000010101010110011000001000010010110000000111000111100011110000000111110100010010101010110011000001000010010110000111000111100001000001111000000011111000000000000000010110000111000111100001010101011001100000100001001011000000011100011110001111000000011111010001001010101011001100000100001001011000011100011110000100000111100000001111100000000000000001011000011100011110000101010101100110000010000100101100000001110001111000111100000001111101000100101010101100110000010000100101100001110001111000010000011110000000111110000000000000000101100001110001111000010101010110011000001000010010110000000111000111100011110000000111110100010010101010110011000001000010010110000111000111100001000001111000000011111000000000000000010110000111000111100001010101011001100000100001001011000000011100011110001111000000011111010001001010101011001100000100001001011000011100011110000100000111100000001111100000000000000001011000011100011110000101010101100110000010000100101100000001110001111000111100000001111101000100101010101100110000010000100101100001110001111000010000011110000000111110000000000000000101100001110001111000010101010110011000001000010010110000000111000111100011110000000111110100010010101010110011000001000010010110000111000111100001000001111000000011111000000000000000010110000111000111100001010101011001100000100001001011000000011100011110001111000000011111010001001010101011001100000100001001011000011100011110000100000111100000001111100000000000000001011000011100011110000101010101100110000010000100101100000001110001111000111100000001111101000100101010101100110000010000100101100001110001111000010000011110000000111110000000000000000101100001110001111000010101010111011000001000010010110000000111000111100110000000001010010100010010101010110011000001000010010110000111000111100001000001111000000011111000000000000000010110000111000111100001010101011101100000100001001011000000011100011110011000000000101001010001001010101011101100000100001001011000011100011110010100000100000000010100100000000000000001011000011100011110000101010101110110000010000100101100000001110001111001100000000010100101000100101010101110110000010000100101100001110001111001001000010000000001010010100001001011100001100001110001111000010101010111011000001000010010110000000111000111100110000000001010010100010010101010111011000001000010010110000111000111100100100001000000000101001000000000000000010110001001011110000001010101011101100000100001001011000000011100011110011000000000101001010001001010101011101100000100001001011000011100011110010010000100000000010100100000000000000001011000100101111000010101010101110110000010000100101100000010010111100001100000000010100101000110101010101110110000010000100101100010010111100001001000010000000001010010000000000000000101100010010111100000010101010111011000001000010010110000001001011110000010000000001010010100010010101010111011000001000010010110001001011110000001000001000000000101001000000000000000010110001001011110000001010101011101100000100001001011000000100101111000001000000000101001010001001010101011101100000100001001011000100101111000000100000100000000010100100000000000000001011000100101111000000101010101110110000010000100101100000010010111100000100000000010100101000100101010101110110000010000100101100010010111100000010000010000000001010010000000000000000101100010010111100000010101010111011000001000010010110000001001011110000010000000001010010100010010101010111011000001000010010110001001011110000001000001000000000101001000000000000000010110001001011110000001010101011101100000100001001011000000100101111000001000000000101001010001001010101011101100000100001001011000100101111000000100000100000000010100100000000000000001011000100101111000000101010101110110000010000100101100000010010111100000100000000010100101000100101010101110110000010000100101100010010111100000010000010000000001010010000000000000000101100010010111100000010101010111011000001000010010110000001001011110000010000000001010010100010010101010111011000001000010010110001001011110000001000001000000000101001000000000000000010110001001011110000001010101011101100000100001001011000000100101111000001000000000101001010001001010101011101100000100001001011000100101111000000100000100000000010100100000000000000001011000100101111000000101010101110110000010000100101100000010010111100000100000000010100101000100101010101110110000010000100101100010010111100000010000010000000001010010000000000000000101100010010111100000010101010111011000001000010010110000001001011110000010000000001010010100010010101010111011000001000010010110001001011110000001000001000000000101001000000000000000010110001001011110000001010101011101100000100001001011000000100101111000001000000000101001010001001010101011101100000100001001011000100101111000000100000100000000010100100000000000000001011000100101111000000101010101110110000010000100101100000010010111100000100000000010100101000100101010101110110000010000100101100010010111100000010000010000000001010010000000000000000101100010010111100000010101010111011000001000010010110000001001011110000010000000001010010100010010101010111011000001000010010110001001011110000001000001000000000101001000000000000000010110001001011110000001010101011101100000100001001011000000100101111000001000000000101001010001001010101011101100000100001001011000100101111000000100000100000000010100100000000000000001011000100101111000000101010101110110000010000100101100000010010111100000100000000010100101000100101010101110110000010000100101100010010111100000010000010000000001010010000000000000000101100010010111100000010101010111011000001000010010110000001001011110000010000000001010010100010010101010111011000001000010010110001001011110000001000001000000000101001000000000000000010110001001011110000001010101011101100000100001001011000000100101111000001000000000101001010001001010101011101100000100001001011000100101111000000100000100000000010100100000000000000001011000100101111000000101010101110110000010000100101100000010010111100000100000000010100101000100101010101110110000010000100101100010010111100000010000010000000001010010000000000000000101100010010111100000010101010111011000001000010010110000001001011110000010000000001010010100010010101010111011000001000010010110001001011110000001000001000000000101001000000000000000010110001001011110000001010101011101100000100001001011000000100101111000001000000000101001010001001010101011101100000100001001011000100101111000000100000100000000010100100000000000000001011000100101111000000101010101110110000010000100101100000010010111100000100000000010100101000100101010101110110000010000100101100010010111100000010000010000000001010010000000000000000101100010010111100000010101010111011000001000010010110000001001011110000010000000001010010100010010101010111011000001000010010110001001011110000001000001000000000101001000000000000000010110001001011110000001010101011101100000100001001011000000100101111000001000000000101001010001001010101011101100000100001001011000100101111000000100000100000000010100100000000000000001011000100101111000000101010101110110000010000100101100000010010111100000100000000010100101000100101010101110110000010000100101100010010111100000010000010000000001010010000000000000000101100010010111100000010101010111011000001000010010110000001001011110000010000000001010010100010010101010111011000001000010010110001001011110000001000001000000000101001000000000000000010110001001011110000001010101011101100000100001001011000000100101111000001000000000101001010001001010101011101100000100001001011000100101111000000100000100000000010100100000000000000001011000100101111000000101010101110110000010000100101100000010010111100000100000000010100101000100101010101110110000010000100101100010010111100000010000010000000001010010000000000000000101100010010111100000010101010111011000001000010010110000001001011110000010000000001010010100010010101010111011000001000010010110001001011110000001000001000000000101001000000000000000010110001001011110000001010101011101100000100001001011000000100101111000001000000000101001010001001010101011101100000100001001011000100101111000000100000100000000010100100000000000000001011000100101111000000101010101110110000010000100101100000010010111100000100000000010100101000100101010101110110000010000100101100010010111100000010000010000000001010010000000000000000101100010010111100000010101010111011000001000010010110000001001011110000010000000001010010100010010101010111011000001000010010110001001011110000001000001000000000101001000000000000000010110001001011110000001010101011001100000101001001011000000100101111000010000111000111100010001001010101011101100000100001001011000100101111000000100000100000000010100100000000000000001011000100101111000000101010101100110000010100100101100000010010111100001000011100011110001000100101010101100110000010100100101100010010111100001010000000001110001111000000000000000000101100010010111100000010101010110011000001010010010110000001001011110000100001110001111000100010010101010110011000001010010010110001001011110000100100000000111000111100000001100101110000110001001011110000001010101011001100000101001001011000000100101111000010000111000111100010001001010101011001100000101001001011000100101111000010010000000011100011110000000000000000001011000110100000101100101010101100110000010100100101100000010010111100001000011100011110001000100101010101100110000010100100101100010010111100001001000000001110001111000000000000000000101100011010000010111010101010110011000001010010010110000001101000001011100001110001111000100011010101010110011000001010010010110001101000001011100100000000111000111100000000000000000010110001101000001011001010101011001100000101001001011000000110100000101100000111000111100010001001010101011001100000101001001011000110100000101100100000000011100011110000000000000000001011000110100000101100101010101100110000010100100101100000011010000010110000011100011110001000100101010101100110000010100100101100011010000010110010000000001110001111000000000000000000101100011010000010110010101010110011000001010010010110000001101000001011000001110001111000100010010101010110011000001010010010110001101000001011001000000000111000111100000000000000000010110001101000001011001010101011001100000101001001011000000110100000101100000111000111100010001001010101011001100000101001001011000110100000101100100000000011100011110000000000000000001011 11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111T1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111 @@ -1026,7 +1056,7 @@ trigger;]]> - + diff --git a/fw/rtl/intel/fifo/fifo8.qip b/fw/unused/fifo8.qip similarity index 100% rename from fw/rtl/intel/fifo/fifo8.qip rename to fw/unused/fifo8.qip diff --git a/fw/rtl/intel/fifo/fifo8.v b/fw/unused/fifo8.v similarity index 100% rename from fw/rtl/intel/fifo/fifo8.v rename to fw/unused/fifo8.v