From ab9bd74e916019c37c69661f8132149d73de1931 Mon Sep 17 00:00:00 2001 From: Polprzewodnikowy Date: Sun, 15 May 2022 15:47:12 +0200 Subject: [PATCH] backup --- .github/workflows/main.yml | 10 + .gitignore | 6 +- .gitmodules | 8 - .vscode/launch.json | 51 +- .vscode/tasks.json | 68 +- assets/sc64_logo.blend | Bin 0 -> 949816 bytes build.sh | 151 +- hw/ftdi-template.xml => cfg/ftdi_template.xml | Bin 4406 -> 4270 bytes docker_build.sh | 25 +- fw/.gitignore | 13 - fw/SummerCart64.cof | 40 - fw/SummerCart64.qpf | 31 - fw/SummerCart64.qsf | 293 - fw/SummerCart64.sdc | 91 - fw/picorv32 | 1 - fw/project/lcmxo2/.gitignore | 15 + fw/project/lcmxo2/build.sh | 5 + fw/project/lcmxo2/build.tcl | 3 + fw/project/lcmxo2/sc64.ldf | 104 + fw/project/lcmxo2/sc64.lpf | 204 + fw/project/lcmxo2/sc64.sty | 205 + fw/rtl/SummerCart64.sv | 138 - fw/rtl/cpu/cpu_bus.sv | 54 - fw/rtl/cpu/cpu_cfg.sv | 142 - fw/rtl/cpu/cpu_dd.sv | 141 - fw/rtl/cpu/cpu_flash.sv | 61 - fw/rtl/cpu/cpu_flashram.sv | 77 - fw/rtl/cpu/cpu_i2c.sv | 155 - fw/rtl/cpu/cpu_ram.sv | 33 - fw/rtl/cpu/cpu_sdram.sv | 76 - fw/rtl/cpu/cpu_si.sv | 59 - fw/rtl/cpu/cpu_soc.sv | 123 - fw/rtl/cpu/cpu_uart.sv | 153 - fw/rtl/cpu/cpu_usb.sv | 126 - fw/rtl/cpu/cpu_wrapper.sv | 85 - fw/rtl/fifo/fifo_bus.sv | 37 + fw/rtl/fifo/fifo_junction.sv | 26 + fw/rtl/intel/fifo/intel_fifo_8.qip | 4 - fw/rtl/intel/fifo/intel_fifo_8.v | 179 - fw/rtl/mcu/mcu_spi.sv | 92 + fw/rtl/mcu/mcu_top.sv | 753 + fw/rtl/memory/mem_bus.sv | 31 + fw/rtl/memory/memory_arbiter.sv | 159 + fw/rtl/memory/memory_dma.sv | 246 +- fw/rtl/memory/memory_flash.sv | 493 + fw/rtl/memory/memory_sdram.sv | 147 +- fw/rtl/n64/n64_bootloader.sv | 94 - fw/rtl/n64/n64_bus.sv | 69 - fw/rtl/n64/n64_cfg.sv | 126 +- fw/rtl/n64/n64_dd.sv | 276 +- fw/rtl/n64/n64_flashram.sv | 263 +- fw/rtl/n64/n64_pi.sv | 552 +- fw/rtl/n64/n64_pi_fifo.sv | 17 +- fw/rtl/n64/n64_reg_bus.sv | 66 + fw/rtl/n64/n64_scb.sv | 141 + fw/rtl/n64/n64_sdram.sv | 90 - fw/rtl/n64/n64_si.sv | 551 +- fw/rtl/n64/n64_soc.sv | 97 - fw/rtl/n64/n64_top.sv | 92 + fw/rtl/sd/sd_clk.sv | 46 + fw/rtl/sd/sd_cmd.sv | 15 + fw/rtl/sd/sd_crc_7.sv | 28 + fw/rtl/sd/sd_scb.sv | 21 + fw/rtl/sd/sd_top.sv | 43 + fw/rtl/system/config.sv | 76 - fw/rtl/system/sc64.sv | 22 - fw/rtl/system/system.sv | 62 - fw/rtl/top.sv | 240 + fw/rtl/usb/usb_ft1248.sv | 175 +- .../vendor/intel/generated/intel_flash.qsys | 90 - .../intel/generated/intel_gpio_ddro.qip | 77 - .../vendor/intel/generated/intel_gpio_ddro.v | 123 - .../intel_gpio_ddro/altera_gpio_lite.sv | 1200 - fw/rtl/vendor/intel/generated/intel_pll.ppf | 11 - fw/rtl/vendor/intel/generated/intel_pll.qip | 5 - fw/rtl/vendor/intel/generated/intel_pll.v | 341 - fw/rtl/vendor/intel/vendor_flash.sv | 175 - fw/rtl/vendor/intel/vendor_reconfigure.sv | 42 - fw/rtl/vendor/lcmxo2/fifo_8kb.sv | 31 + .../generated/fifo_8kb_lattice_generated.v | 66 + .../lcmxo2/generated/pll_lattice_generated.v | 98 + fw/rtl/vendor/lcmxo2/pll.sv | 36 + fw/scripts/post_module.tcl | 6 - hw/.gitignore | 7 - hw/README.md | 7 - hw/SummerCart64.brd | 5643 -- hw/SummerCart64.cam | 276 - hw/SummerCart64.lbr | 1532 - hw/SummerCart64.sch | 17889 ----- hw/pcb/.gitignore | 6 + hw/pcb/sc64v2.kicad_pcb | 62349 ++++++++++++++++ hw/pcb/sc64v2.kicad_pro | 435 + hw/pcb/sc64v2.kicad_sch | 11759 +++ hw/shell/sc64_shell.f3d | Bin 0 -> 3469087 bytes hw/shell/sc64_shell_back.stl | Bin 0 -> 1045384 bytes hw/shell/sc64_shell_front.stl | Bin 0 -> 720184 bytes sw/{n64 => bootloader}/.gitignore | 0 sw/{n64 => bootloader}/Makefile | 36 +- sw/{n64 => bootloader}/N64.ld | 8 +- sw/bootloader/assets/background.png | Bin 0 -> 6760 bytes sw/{n64 => bootloader}/src/boot.c | 2 +- sw/{n64 => bootloader}/src/boot.h | 0 sw/{n64 => bootloader}/src/crc32.c | 0 sw/{n64 => bootloader}/src/crc32.h | 0 sw/{n64 => bootloader}/src/error.c | 2 + sw/{n64 => bootloader}/src/error.h | 0 sw/{n64 => bootloader}/src/exception.S | 0 sw/{n64 => bootloader}/src/exception.c | 60 +- sw/{n64 => bootloader}/src/exception.h | 0 sw/{n64 => bootloader}/src/exception_regs.h | 0 .../src/fatfs/00history.txt | 0 sw/{n64 => bootloader}/src/fatfs/00readme.txt | 0 sw/{n64 => bootloader}/src/fatfs/diskio.c | 0 sw/{n64 => bootloader}/src/fatfs/diskio.h | 0 sw/{n64 => bootloader}/src/fatfs/ff.c | 0 sw/{n64 => bootloader}/src/fatfs/ff.h | 0 sw/{n64 => bootloader}/src/fatfs/ffconf.h | 6 +- sw/{n64 => bootloader}/src/fatfs/ffsystem.c | 0 sw/{n64 => bootloader}/src/fatfs/ffunicode.c | 0 sw/{n64 => bootloader}/src/font.c | 0 sw/{n64 => bootloader}/src/font.h | 0 sw/{n64 => bootloader}/src/init.c | 0 sw/{n64 => bootloader}/src/init.h | 0 sw/{n64 => bootloader}/src/interrupt.c | 0 sw/{n64 => bootloader}/src/io.c | 0 sw/{n64 => bootloader}/src/io.h | 5 +- sw/{n64 => bootloader}/src/ipl2.S | 0 sw/{n64 => bootloader}/src/main.c | 0 sw/{n64 => bootloader}/src/sc64.c | 10 +- sw/{n64 => bootloader}/src/sc64.h | 28 +- sw/{n64 => bootloader}/src/startup.S | 12 + sw/{n64 => bootloader}/src/storage.c | 0 sw/{n64 => bootloader}/src/storage.h | 0 sw/{n64 => bootloader}/src/syscalls.c | 4 +- sw/{n64 => bootloader}/src/version.c | 0 sw/{n64 => bootloader}/src/version.h | 0 sw/{n64 => bootloader}/src/vr4300.h | 1 + sw/bootloader/tools/asset_converter.py | 31 + sw/bootloader/tools/requirements.txt | 1 + sw/cic | 1 - sw/controller/.gitignore | 2 + sw/{riscv => controller}/Makefile | 42 +- sw/controller/STM32G030F6Px_FLASH.ld | 55 + sw/controller/lib/cmsis_compiler.h | 283 + sw/controller/lib/cmsis_gcc.h | 2168 + sw/controller/lib/cmsis_version.h | 39 + sw/controller/lib/core_cm0plus.h | 1085 + sw/controller/lib/mpu_armv7.h | 272 + sw/controller/lib/stm32g030xx.h | 7335 ++ sw/controller/lib/stm32g0xx.h | 249 + sw/controller/lib/system_stm32g0xx.h | 104 + sw/controller/src/cfg.c | 250 + sw/{riscv => controller}/src/cfg.h | 4 +- sw/controller/src/cic.c | 359 + sw/controller/src/cic.h | 16 + sw/controller/src/flash.c | 11 + sw/controller/src/flash.h | 11 + sw/controller/src/flashram.c | 71 + sw/{riscv => controller}/src/flashram.h | 2 +- sw/controller/src/fpga.c | 134 + sw/controller/src/fpga.h | 134 + sw/controller/src/gvr.c | 57 + sw/controller/src/gvr.h | 8 + sw/controller/src/hw.c | 445 + sw/controller/src/hw.h | 63 + sw/controller/src/main.c | 30 + sw/controller/src/rtc.c | 287 + sw/{riscv => controller}/src/rtc.h | 13 +- sw/controller/src/startup.S | 158 + sw/controller/src/task.c | 141 + sw/controller/src/task.h | 23 + sw/controller/src/usb.c | 243 + sw/controller/src/usb.h | 9 + sw/pc/sc64.py | 724 +- sw/riscv/.gitignore | 1 - sw/riscv/SC64.ld | 49 - sw/riscv/src/cfg.c | 356 - sw/riscv/src/dd.c | 446 - sw/riscv/src/dd.h | 24 - sw/riscv/src/flash.c | 41 - sw/riscv/src/flash.h | 13 - sw/riscv/src/flashram.c | 92 - sw/riscv/src/i2c.c | 113 - sw/riscv/src/i2c.h | 16 - sw/riscv/src/isv.c | 74 - sw/riscv/src/isv.h | 14 - sw/riscv/src/joybus.c | 161 - sw/riscv/src/joybus.h | 17 - sw/riscv/src/process.c | 46 - sw/riscv/src/process.h | 9 - sw/riscv/src/rtc.c | 231 - sw/riscv/src/startup.S | 47 - sw/riscv/src/sys.h | 212 - sw/riscv/src/uart.c | 81 - sw/riscv/src/uart.h | 16 - sw/riscv/src/usb.c | 310 - sw/riscv/src/usb.h | 35 - 197 files changed, 93573 insertions(+), 34145 deletions(-) delete mode 100644 .gitmodules create mode 100644 assets/sc64_logo.blend rename hw/ftdi-template.xml => cfg/ftdi_template.xml (55%) delete mode 100644 fw/.gitignore delete mode 100644 fw/SummerCart64.cof delete mode 100644 fw/SummerCart64.qpf delete mode 100644 fw/SummerCart64.qsf delete mode 100644 fw/SummerCart64.sdc delete mode 160000 fw/picorv32 create mode 100644 fw/project/lcmxo2/.gitignore create mode 100644 fw/project/lcmxo2/build.sh create mode 100644 fw/project/lcmxo2/build.tcl create mode 100644 fw/project/lcmxo2/sc64.ldf create mode 100644 fw/project/lcmxo2/sc64.lpf create mode 100644 fw/project/lcmxo2/sc64.sty delete mode 100644 fw/rtl/SummerCart64.sv delete mode 100644 fw/rtl/cpu/cpu_bus.sv delete mode 100644 fw/rtl/cpu/cpu_cfg.sv delete mode 100644 fw/rtl/cpu/cpu_dd.sv delete mode 100644 fw/rtl/cpu/cpu_flash.sv delete mode 100644 fw/rtl/cpu/cpu_flashram.sv delete mode 100644 fw/rtl/cpu/cpu_i2c.sv delete mode 100644 fw/rtl/cpu/cpu_ram.sv delete mode 100644 fw/rtl/cpu/cpu_sdram.sv delete mode 100644 fw/rtl/cpu/cpu_si.sv delete mode 100644 fw/rtl/cpu/cpu_soc.sv delete mode 100644 fw/rtl/cpu/cpu_uart.sv delete mode 100644 fw/rtl/cpu/cpu_usb.sv delete mode 100644 fw/rtl/cpu/cpu_wrapper.sv create mode 100644 fw/rtl/fifo/fifo_bus.sv create mode 100644 fw/rtl/fifo/fifo_junction.sv delete mode 100644 fw/rtl/intel/fifo/intel_fifo_8.qip delete mode 100644 fw/rtl/intel/fifo/intel_fifo_8.v create mode 100644 fw/rtl/mcu/mcu_spi.sv create mode 100644 fw/rtl/mcu/mcu_top.sv create mode 100644 fw/rtl/memory/mem_bus.sv create mode 100644 fw/rtl/memory/memory_arbiter.sv create mode 100644 fw/rtl/memory/memory_flash.sv delete mode 100644 fw/rtl/n64/n64_bootloader.sv delete mode 100644 fw/rtl/n64/n64_bus.sv create mode 100644 fw/rtl/n64/n64_reg_bus.sv create mode 100644 fw/rtl/n64/n64_scb.sv delete mode 100644 fw/rtl/n64/n64_sdram.sv delete mode 100644 fw/rtl/n64/n64_soc.sv create mode 100644 fw/rtl/n64/n64_top.sv create mode 100644 fw/rtl/sd/sd_clk.sv create mode 100644 fw/rtl/sd/sd_cmd.sv create mode 100644 fw/rtl/sd/sd_crc_7.sv create mode 100644 fw/rtl/sd/sd_scb.sv create mode 100644 fw/rtl/sd/sd_top.sv delete mode 100644 fw/rtl/system/config.sv delete mode 100644 fw/rtl/system/sc64.sv delete mode 100644 fw/rtl/system/system.sv create mode 100644 fw/rtl/top.sv delete mode 100644 fw/rtl/vendor/intel/generated/intel_flash.qsys delete mode 100644 fw/rtl/vendor/intel/generated/intel_gpio_ddro.qip delete mode 100644 fw/rtl/vendor/intel/generated/intel_gpio_ddro.v delete mode 100644 fw/rtl/vendor/intel/generated/intel_gpio_ddro/altera_gpio_lite.sv delete mode 100644 fw/rtl/vendor/intel/generated/intel_pll.ppf delete mode 100644 fw/rtl/vendor/intel/generated/intel_pll.qip delete mode 100644 fw/rtl/vendor/intel/generated/intel_pll.v delete mode 100644 fw/rtl/vendor/intel/vendor_flash.sv delete mode 100644 fw/rtl/vendor/intel/vendor_reconfigure.sv create mode 100644 fw/rtl/vendor/lcmxo2/fifo_8kb.sv create mode 100644 fw/rtl/vendor/lcmxo2/generated/fifo_8kb_lattice_generated.v create mode 100644 fw/rtl/vendor/lcmxo2/generated/pll_lattice_generated.v create mode 100644 fw/rtl/vendor/lcmxo2/pll.sv delete mode 100644 fw/scripts/post_module.tcl delete mode 100644 hw/.gitignore delete mode 100644 hw/README.md delete mode 100644 hw/SummerCart64.brd delete mode 100644 hw/SummerCart64.cam delete mode 100644 hw/SummerCart64.lbr delete mode 100644 hw/SummerCart64.sch create mode 100644 hw/pcb/.gitignore create mode 100644 hw/pcb/sc64v2.kicad_pcb create mode 100644 hw/pcb/sc64v2.kicad_pro create mode 100644 hw/pcb/sc64v2.kicad_sch create mode 100644 hw/shell/sc64_shell.f3d create mode 100644 hw/shell/sc64_shell_back.stl create mode 100644 hw/shell/sc64_shell_front.stl rename sw/{n64 => bootloader}/.gitignore (100%) rename sw/{n64 => bootloader}/Makefile (63%) rename sw/{n64 => bootloader}/N64.ld (81%) create mode 100644 sw/bootloader/assets/background.png rename sw/{n64 => bootloader}/src/boot.c (95%) rename sw/{n64 => bootloader}/src/boot.h (100%) rename sw/{n64 => bootloader}/src/crc32.c (100%) rename sw/{n64 => bootloader}/src/crc32.h (100%) rename sw/{n64 => bootloader}/src/error.c (87%) rename sw/{n64 => bootloader}/src/error.h (100%) rename sw/{n64 => bootloader}/src/exception.S (100%) rename sw/{n64 => bootloader}/src/exception.c (75%) rename sw/{n64 => bootloader}/src/exception.h (100%) rename sw/{n64 => bootloader}/src/exception_regs.h (100%) rename sw/{n64 => bootloader}/src/fatfs/00history.txt (100%) rename sw/{n64 => bootloader}/src/fatfs/00readme.txt (100%) rename sw/{n64 => bootloader}/src/fatfs/diskio.c (100%) rename sw/{n64 => bootloader}/src/fatfs/diskio.h (100%) rename sw/{n64 => bootloader}/src/fatfs/ff.c (100%) rename sw/{n64 => bootloader}/src/fatfs/ff.h (100%) rename sw/{n64 => bootloader}/src/fatfs/ffconf.h (96%) rename sw/{n64 => bootloader}/src/fatfs/ffsystem.c (100%) rename sw/{n64 => bootloader}/src/fatfs/ffunicode.c (100%) rename sw/{n64 => bootloader}/src/font.c (100%) rename sw/{n64 => bootloader}/src/font.h (100%) rename sw/{n64 => bootloader}/src/init.c (100%) rename sw/{n64 => bootloader}/src/init.h (100%) rename sw/{n64 => bootloader}/src/interrupt.c (100%) rename sw/{n64 => bootloader}/src/io.c (100%) rename sw/{n64 => bootloader}/src/io.h (94%) rename sw/{n64 => bootloader}/src/ipl2.S (100%) rename sw/{n64 => bootloader}/src/main.c (100%) rename sw/{n64 => bootloader}/src/sc64.c (92%) rename sw/{n64 => bootloader}/src/sc64.h (75%) rename sw/{n64 => bootloader}/src/startup.S (72%) rename sw/{n64 => bootloader}/src/storage.c (100%) rename sw/{n64 => bootloader}/src/storage.h (100%) rename sw/{n64 => bootloader}/src/syscalls.c (73%) rename sw/{n64 => bootloader}/src/version.c (100%) rename sw/{n64 => bootloader}/src/version.h (100%) rename sw/{n64 => bootloader}/src/vr4300.h (95%) create mode 100644 sw/bootloader/tools/asset_converter.py create mode 100644 sw/bootloader/tools/requirements.txt delete mode 160000 sw/cic create mode 100644 sw/controller/.gitignore rename sw/{riscv => controller}/Makefile (52%) create mode 100644 sw/controller/STM32G030F6Px_FLASH.ld create mode 100644 sw/controller/lib/cmsis_compiler.h create mode 100644 sw/controller/lib/cmsis_gcc.h create mode 100644 sw/controller/lib/cmsis_version.h create mode 100644 sw/controller/lib/core_cm0plus.h create mode 100644 sw/controller/lib/mpu_armv7.h create mode 100644 sw/controller/lib/stm32g030xx.h create mode 100644 sw/controller/lib/stm32g0xx.h create mode 100644 sw/controller/lib/system_stm32g0xx.h create mode 100644 sw/controller/src/cfg.c rename sw/{riscv => controller}/src/cfg.h (79%) create mode 100644 sw/controller/src/cic.c create mode 100644 sw/controller/src/cic.h create mode 100644 sw/controller/src/flash.c create mode 100644 sw/controller/src/flash.h create mode 100644 sw/controller/src/flashram.c rename sw/{riscv => controller}/src/flashram.h (67%) create mode 100644 sw/controller/src/fpga.c create mode 100644 sw/controller/src/fpga.h create mode 100644 sw/controller/src/gvr.c create mode 100644 sw/controller/src/gvr.h create mode 100644 sw/controller/src/hw.c create mode 100644 sw/controller/src/hw.h create mode 100644 sw/controller/src/main.c create mode 100644 sw/controller/src/rtc.c rename sw/{riscv => controller}/src/rtc.h (52%) create mode 100644 sw/controller/src/startup.S create mode 100644 sw/controller/src/task.c create mode 100644 sw/controller/src/task.h create mode 100644 sw/controller/src/usb.c create mode 100644 sw/controller/src/usb.h delete mode 100644 sw/riscv/.gitignore delete mode 100644 sw/riscv/SC64.ld delete mode 100644 sw/riscv/src/cfg.c delete mode 100644 sw/riscv/src/dd.c delete mode 100644 sw/riscv/src/dd.h delete mode 100644 sw/riscv/src/flash.c delete mode 100644 sw/riscv/src/flash.h delete mode 100644 sw/riscv/src/flashram.c delete mode 100644 sw/riscv/src/i2c.c delete mode 100644 sw/riscv/src/i2c.h delete mode 100644 sw/riscv/src/isv.c delete mode 100644 sw/riscv/src/isv.h delete mode 100644 sw/riscv/src/joybus.c delete mode 100644 sw/riscv/src/joybus.h delete mode 100644 sw/riscv/src/process.c delete mode 100644 sw/riscv/src/process.h delete mode 100644 sw/riscv/src/rtc.c delete mode 100644 sw/riscv/src/startup.S delete mode 100644 sw/riscv/src/sys.h delete mode 100644 sw/riscv/src/uart.c delete mode 100644 sw/riscv/src/uart.h delete mode 100644 sw/riscv/src/usb.c delete mode 100644 sw/riscv/src/usb.h diff --git a/.github/workflows/main.yml b/.github/workflows/main.yml index 4da4db4..320601b 100644 --- a/.github/workflows/main.yml +++ b/.github/workflows/main.yml @@ -20,8 +20,18 @@ jobs: - uses: actions/checkout@v2 with: submodules: true + + - name: Prepare Lattice Diamond license + env: + ENCODED_LICENSE: ${{ secrets.LATTICE_DIAMOND_LICENSE }} + run: | + mkdir -p ./flexlm + echo "$ENCODED_LICENSE" > ./flexlm/encoded_license + base64 -d ./flexlm/encoded_license > ./flexlm/license.dat - name: Build script + env: + MAC_ADDRESS: ${{ secrets.LATTICE_DIAMOND_MAC }} run: ./docker_build.sh release --force-clean - name: Upload artifact diff --git a/.gitignore b/.gitignore index ca0d302..2aba42e 100644 --- a/.gitignore +++ b/.gitignore @@ -1,4 +1,8 @@ **/.DS_Store -**/.vscode/settings.json +**/.vscode/ **/*.bak **/*.zip +/flexlm + +!**/.vscode/launch.json +!**/.vscode/tasks.json diff --git a/.gitmodules b/.gitmodules deleted file mode 100644 index e1371e8..0000000 --- a/.gitmodules +++ /dev/null @@ -1,8 +0,0 @@ -[submodule "fw/picorv32"] - path = fw/picorv32 - url = https://github.com/cliffordwolf/picorv32.git - ignore = dirty -[submodule "sw/cic"] - path = sw/cic - url = https://github.com/ManCloud/UltraCIC-III.git - ignore = dirty diff --git a/.vscode/launch.json b/.vscode/launch.json index 8160f17..d1b2dfb 100644 --- a/.vscode/launch.json +++ b/.vscode/launch.json @@ -2,11 +2,58 @@ "version": "0.2.0", "configurations": [ { - "name": "Build SW and Update", + "cwd": "${workspaceRoot}/sw/controller", + "executable": "./build/controller.elf", + "name": "Load ARM", + "request": "launch", + "type": "cortex-debug", + "servertype": "stlink", + // "serverpath": "C:\\msys64\\mingw64\\bin\\openocd.exe", + "preLaunchTask": "build_controller", + "device": "STM32G030F6", + "svdFile": "./STM32G030.svd", + // "configFiles": ["interface/stlink.cfg", "target/stm32g0x.cfg"], + // "runToMain": true + "postRestartCommands": [ + // "b Reset_Handler" + // "monitor reset halt", + // "load", + // "b main", + // "monitor reset", + ] + }, + { + "name": "Run ROM", "type": "python", "request": "launch", "program": "sw/pc/sc64.py", - "preLaunchTask": "build", + // "preLaunchTask": "build", + "justMyCode": false, + "args": [ + // "-u", "fw/output_files/SC64_update.bin", + // "-rtc", + // "-f", "\\\\.\\D:", + // "-d", "-df", + // "-i", "S:/n64/64dd/ipl/NDXJ0.n64", + // "-k", "S:/n64/64dd/dev/EZLJ_Expansion_DEV_v1.1.ndd", + // "-t", "1", + // "-b", "2", + // "-s", "1", + // "-q", + // "-v", + // "-e", "S:/n64/saves/majora_snowhead.fla", + // "S:/n64/roms/Legend of Zelda, The - Ocarina of Time (USA) (Rev B).z64", + // "S:/n64/roms/ZELOOTD.z64", + "S:/n64/roms/switchtome.z64", + // "D:/sc64menu.n64", + ], + }, + { + "name": "Build SW and Update", + "type": "python", + "request": "launch", + "program": "sw/pc/v2.py", + // "preLaunchTask": "build", "args": [ "-u", "fw/output_files/SC64_update.bin", ] diff --git a/.vscode/tasks.json b/.vscode/tasks.json index 3306bae..6a40325 100644 --- a/.vscode/tasks.json +++ b/.vscode/tasks.json @@ -2,15 +2,54 @@ "version": "2.0.0", "tasks": [ { - "label": "build", + "label": "build_bootloader", "type": "shell", - "command": "./docker_build.sh update -s -c -d", + "command": "./docker_build.sh bootloader", "presentation": { "showReuseMessage": false, "clear": true }, - "windows":{ - "command": "wsl -- ./docker_build.sh update -s -c -d" + "windows": { + "command": "wsl -- ./docker_build.sh bootloader" + }, + "group": "build" + }, + { + "label": "build_controller", + "type": "shell", + "command": "./docker_build.sh controller", + "presentation": { + "showReuseMessage": false, + "clear": true + }, + "windows": { + "command": "wsl -- ./docker_build.sh controller" + }, + "group": "build" + }, + { + "label": "build_fpga", + "type": "shell", + "command": "./docker_build.sh fpga", + "presentation": { + "showReuseMessage": false, + "clear": true + }, + "windows": { + "command": "wsl -- ./docker_build.sh fpga" + }, + "group": "build" + }, + { + "label": "build_update", + "type": "shell", + "command": "./docker_build.sh update", + "presentation": { + "showReuseMessage": false, + "clear": true + }, + "windows": { + "command": "wsl -- ./docker_build.sh update" }, "group": { "kind": "build", @@ -18,30 +57,17 @@ } }, { - "label": "build_full", + "label": "build_release", "type": "shell", - "command": "./docker_build.sh release -c -d", + "command": "./docker_build.sh release --force-clean", "presentation": { "showReuseMessage": false, "clear": true }, - "windows": { - "command": "wsl -- ./docker_build.sh update -c -d" + "windows":{ + "command": "wsl -- ./docker_build.sh release --force-clean" }, "group": "build" }, - { - "label": "build_n64", - "type": "shell", - "command": "./docker_build.sh n64 -d", - "presentation": { - "showReuseMessage": false, - "clear": true - }, - "windows": { - "command": "wsl -- ./docker_build.sh n64 -d" - }, - "group": "build" - } ] } \ No newline at end of file diff --git a/assets/sc64_logo.blend b/assets/sc64_logo.blend new file mode 100644 index 0000000000000000000000000000000000000000..ecde59d7ae0c507f6ae0f8aa336c44c508c22ba2 GIT binary patch literal 949816 zcmeEv34B~t_5Vw{FtSKl#IVUg5hFrr>6QY`o_2sPX;Vv7G)wj$l#_SP znsv&~(z5cMXPj}SqdjC(*6yZ*`@a757;OoPjdu5*d+#;Vx$%xWOn1ZWx7)f`L?UK< zUEMNUx1+PujKA~lyG{4Do*vV6lIJ96c|)Vgck!i{neOKM?laxdjw7c}wNdDv<44nv z_02kBeY1{OKW=`PUvWi@b(ZSaK)TD8EIfzpp}nIs#`bXQhTCFn52FcBq=ogZ>L2kV z?cRI;{jt05xyQ4zc?_SH+Vry3#$G{ zs{EV!-n==s>CU@iw{P6&N*}te?ZTH_c3IZ+??#rccf0ViW%aS{uF|)z|BElVBy0M2BP*S* z-Q3shf!o31YLADr{G-p-v*`{hU+(*Cx(!Mt$q{aM5}f`ls@x^Vx;n z$jU^YL**H&J-99Z*&37CVLM!L7hbeJeff8(e>bv*s>^Kfdl_rGDgSrUcr}}2vfSwn{@s-SJzf8dvl|=To%PRi+jJ=iz}U-e?j{4?^geAWvy&#j&Z%4 z&GI``Sv<#Wr++u)e}jkjEpEzDCN!+?tFOI2cEy!f#V)?&ve;#pU+GH!Jjdz=J2z=^ zD{I~P7sl3yFNt-pJwMjg)*fSfaFd4Nq~SSEJNr{uBSN;JN>&V z|1RIPy1~$iM%DMFmt7GHUt-m_jwioYb&{pVkBI(h&OuMF9=_nh^}Ey_xIMDd|45a8 zulm;UV;fQR?BpK_{hxoqMQQb~=V!R>!AbwSs{C`G?Z%sLj$M7twJ|s4TgOKYbNheY z^*4@M{aC&#>XAF3a#Pv7a6uaz-E3;q^>td@~L-DcZQ0D&_+sm$qq#cu`vH?}! zchfq*d+#6m`eG-YtMMbDe_q3=>(^`e?#6ru=i-)%uG2V(I!b z!=Y~#Lr#yXXD9zi=zloY_j<7hr~a~vpXyIH|EJQzZ?Oj&(_K#W!&T(oLTlZ#Rle~o zJD1nfJLz1F9|`^6dH3+G*8>)84~+HI*IXyCw;3OSHkIqP{HKyJ68Csbq^f5p|48V6 zIM-_q2iBRC|KUhSDw$m0WU?P*B=pbqU#h&gxySF^c^#!2+M&>l*79%aI}7_kMneDh zP~AKfoiH!&3;DtcC&tRkD`H=x^$8cQUq5X7L`FmT&z8RRcEFAPd2UqavkSYC#qZuS z9nY#Z6S?~8*yoS`g5`I@iIZXrs~5#uXk2&0O*akM#`t+7RsM%d-@4x1=q%g%&&FQQ z%dfoBqI=yIILz;pRh!AwzpKhW){F1T{UE!m{&}yVozB&`_KuDr>wh@QKlDA4`$0xV z|Kj~rrsa|OE~0%a&~??Exv{UD{ngm1r=1p$<9luAotIYs4Z#)W`lD;EyUvj|TjhT= z=sTnO-{t-vH@3v>Keuf|(LM9>T0`g`bN4)F%6`PJ3Wd@>XKo;1(sy)q+0qWB{EtR` zXQF?%`+s+({?~MO$MxJuWf=Cve(3+4b6eu^xUaj#C8Z{Psb$9yo876&Od%G>IoASRq@BeY5 zf4ApWzvXD9dERjX3k8rRpyf8hjEPOC#-!A@1mX7Ni1)0cm9vt@}CX;huY3dtAACeYr5B(b3*E~c^(4#Z)t6Hw3Xel{OkKc z?DU;34))N!c3oEI|5P1hQui|t&+Rysd&IY$muSwoE4wPri^wPnl9_W}G~fNg;L zh_J62GmGN%un*Pc^QJ(nyQ8uEtNI@5dT~|XPHPiJoBnyPIrR6{uhqqM$8`(Or-d)R zB&N>kOq(`6P7glSZE9|g%TV?2ru^@U{eQb!-zo;|&#wN5KK~P@bE}{~b^NEN8{sJ@ zPmR-qPj$~ZH}mp89QwcYw%g+S`-WP+vEFGYYmY{o{x79HB+wt`|DbC-chX6dfp@KO-Ke_7zorSLHtoXLniq=#jMR2i5jk_e0y*2X>TKaasF?*<(9aN^HRJkO4t6o!A7UC>RasD*7fK%JRJJg z?L$w$UD$2^5Brn!XLt$Qz5jG4DeG&uW@awtK$2^6&1f z<(qH4)v`z5t$yw3GT9Gemp`|0cKX)icBTHWrMWBU&dF8Bf4okJ>ApboJy?In=VgO; z-31re>Ywq+M){9ib+x&-y|Z(5>;jwp?{+#?mtz17| zOZ)Jyp*5now{X!SQ~$hH1aa)5UEs6p)p+=`RsJuf^-9onDtA}a?fe{W|M<)S?n`Z; z^9NF`%d^wB9_Lp7_uM=5`XBmn>vFl``eC{9u9$hxICGw97d)PDl9i3}@Aho$R61}< zpPP8-TVHFYp4rOdXuEH6@SK{iYrC*}{SSTpx0^h>q2aTq_#N<7*IZ+(|7?|iUayv_ z+~Zz0)<$u@&9x{lz7N8EC_Gzt*&g_t9G7JIETL?y|97KXw=}#Tk?q-uJ{#qq_m}GU z>S11Io$0$VQ{Nfv2f5J2`LApTcI91pF9DW@on7f9Uxh?e>tJ@}K^k&1M<{s5(~t+0wVJe>Z1#W_$ggu75o|n(QH4 z<=;*H&*xF7I_Eyy9d~8-dr!LldEQIMUk|(e{)5~4UzeXQ413_V>XutKq#UQH_+`tV zjq;zao#isNcHQ|IeZx=Jsh9A&^UqJO|19tSOQ-L2_npQm+0wTvCtKxT?`N~VTdBNr znYoJ2H{$pEUi6kNS~vP*?UNy((5t{@q#s zYM#)SpDy=A*fzv-Sc)bl@q z&1iAW_2NT3icUAju)%WP%4+1^Ab+c&?!!fpS(m&^KILd!E_08pDSMLXL zt9K_l)gE@m_dU|J2TuLJY?l9QjLFc3s(tibwPx5!m)VHtJPhaeJ<{plY5d1|%e4HD zhCX^WbTbk%cJ3itktg+Jp#|^hV40Zl*sNetLIU9WM$;%kr39mgp zUbP2vzKi-mXdAt{Ucdjtbpqq7y4;`PFz+*Am&d>Ca$4+M+~+;|d>{O}++5g-vlAY? zr<-x)d1^bnbv=NNzn97MPI5V3ZU5c+s~0WWIdA@gopiqJ&Wg&ao#hpkJ1eW^?4&a% zcFw1GzVB96j&89htQgz*3l^AIR}tonJ?nsV!8*xd?+wqK{pIk=*+9+)ayF2&ft(HG zY#?U?IUC5?K+XnoHjuM{oDJk`AZG(P8_3x}&IWQekh6iD4diSfX9GDK$k{;7268r# zvw@rqvX#SIIC*&yhbvAwyE3@??3%7stAgznp_Z0lLuYfS_3Vx{XD<(hI$J{Z zjluS_JK7u0uJ7mwc6OZI(J*6LT}xq$ujlbNiw>7uvgI`9AL+Qicg zV_hZlSC%a)t0oWXCobc5a!WVlne~M4Q{zn+;?bW&cxiKRjelPK+F(0kyt%dC|In;2 zy>S@^`j^btw%@tq^2|((p}dG17I_N%{=JtukNVYp|G&-W!VOFi^;qDcf0^{rUaPC~ z2adbPQt#n@)3X0D`59+FMIwJ2CC=TC#mxKt{R6h?Vm=@7LH^_9M_$NloK<5OgXGpe zBIMlepg*Qnud`~(TANqZb06BEzl<+6EfBH>o)qrD??is#22PN8OE(2;{8p*-jgQ9& zd#GFZ2gDa=g$VTn9GWXtr-$j3?_4a-HHZphjalq=nv)CefgtGGSt;+tjt_@j2Y4a{McQq1~h-9;#gkN^~RhsrNC-PHdtOZ$lQBfUsJ9*umBhV<`8iSqqcq<`>HF)rfthbbQU z+K2qm4SC}i*YRssEo=+6*LQ~6tJ_25>ue5o#0fllqVI}yzy&*=jdbCDs&p+qz3Qx~ zm30f3)MacPczjwH=>m?a(v?lT2H%!?lP(gf;|01@>DrZep?>c9k=OQheyB0n!j0>Y zF5sgIS*HdZ{DD7+_7!eK_KV=^Y`%O^FZ&Ko)X#V}T;^f>;r11Bo$BYlX<2SxW%|?A z&qx>2%;`1jXPH0LZ)!d{U!$dd>)UBxKO?43{=<4d)RFokhHRl0U%eS`WLIHpQhHu38DgTMgo! zz1Gi*>8(z%oh#^}bfJNdKui2TUky0;Yu^*~Gu+6ra{MD*2R7U2y_8tg&yed>Ki8g| z<@#BsJ6-*ZbOAT$ukU%U`m1SZZ*DV3ubGZr88)OtfzY@>4LJCFUlsa;8%e9k z{Rt%&`h#32{S8dduKtkjboxWOfE)A|`JQ+Eb+rtS{w`GTbn-Us6#9efD-`7qt`nac zPeVQPg3AHu4|1LKS2!iR`a`4%<>uhEcxo_`qpg?;*wUZkHf=L`ADZu*66Z~rIQ zlC%AU=??Ugs$ZAQ{$}(Qfg@ZbPPJ=J7w)G@*RJeu0x#G>s&r)&ugL2HFSt&4;eM)g z?Ml4RKM369wS8FtV%x?RF^rvfIkS^c`{ng&=RexVx>aS`Pua`1>x5S_!9dQ836IKHb z{^&cRe*iZyQR+{+4s4caJX>931N}j+ll}rlS=OIScRKwcT}XRPg<%vPnpijaD&-LO zESc2;H5D}t!PcPBS-&!9Pzls-yAIkt>tf=r{iU@Xg}~^Q@0;(J5H9NbrukmHXZZo< zx=+NXcl%}-$Ig4oh(7g0vECuUG4r}_mYlz1!}s3U|8!m>L04k^1k%ec{3eO~SM-;| z%ydN8K4y7e|KbZTnCmM(fA;>f`8Rdy)M8$5v1#L>rh7oX-}v(W>PSJAn(!|O5$Hp> z<}|mgYHk>U0FyO=2PAbYo?8xoHr?$cEc}$Z4$b=P7+rh2c;L}Q!}|J%M#~F##o}GQ zYsxNRAOASMntmtKuT=gDj04E`W%?P$BKk310SC+rX@iS+xEzn)cJnzRoJY%(jl9r- zm!86uCv~oBI~y!HH-|tDfsYjeN701Cz8|X`=Q5i^AQ=JcT2{SH4Ht`k_jp6B&qKQ! zj<>7j^Qsn9RxPfps#;oAl`P(j5&bTQn@aSa+V48)BqftMZw`SR0v|5~@{Rln%1D;S zQ$}9Cs9F^yN~OQ|&Zo_BZP7;If`ms!$rtMEWS3XY)7Ym%@PteLckJ|S{QIl?MLf)- zB|mphi%GO?iSZ*awdS?*I~)#|ta#wtgl)JaF{5BlZ{-#t(*~3qUc(Bjqqk`4-!1D* zlLI^r3&B>l=OHT*mg=rhPwFS2(L95YspcI!9$0S*2W{pIx?L4QT4 zwX?mx!^8CR;`%j4V|{z05z24_iyl-%qKpL~QPV4uOv)0`a|mJXT7BfHIeD-xk=_ zqsx52IiS zXbSq9Tm79)%^m&@^JNAzr@cx`#r{TtA>Q}meMPGGs%b@gAn1*X_akt@3OtZ5+)tIR zhP4eXneTMKlZD&&qDWWafc5GT0_kpneISMl?rLQ3JKDMbRbYCFW$cn%5_=;Z-_9Ld-FX=9u?kk@pmG5bR7*F zQWJ&xsHa=>hkAZ#?H8%EZv31F_3~|+YQE3&$@OFEUQnhhy3+bQ>MMs?hAsy(eN=IA zoo5(hsfw!R7h6=bdcXD}aA=yC-c9k_ulO6V5^FfDg^eMH6Dc+8_;@~%3GCO7J}utM zD)c3y-V~H$jQsEfn`0Yz966DGFZ^gNw^x*2!#L=+Tg~=Fv$Ulseoxtul84V0_r$S^KEi&#sq^+6TSa<#@^hnoE|C zA3r}r0myrn{J2MC=EY%gpxbVz1HbL043xzL zHDrnP$4n2q*+PD{oq>hNoA%VVUbsCi!iD{zKG*GU@JW07>wnm4f6-{_dT}qZKa?Wa z7rU@O$Qw5M`(bA1LQoR-zzg=GyUAIN+n>bGt^L7n+}K})9*cg&GvsHx7_2(kwAb$M zhOz)IrEDB6l&h6?jz&laub$|IhYPV!zeAIpu9>;sn-(^$L&)- zZ@BEU=aHO!LId`7BkMEyf_(x%H}<)i#K5lDK2_U--9AmUiU3P1xPQCBlW>VLbO7@v?ao{6*MtZ=pE1 z2qpu&D|*VC-R;A6$3J<&?jUEl>@Lukt9OBUCUyt>+}Itf7xuz-r`i$h4D$s)lJ^7K zg`E{@c7`+;yy(r&_T@w<9cb7YHc`TYMrL~lTl&)aD4^*+}auJ1$G8I zf}LSL>c=FT?Jyb=^_}lnYkh_k^*!Uw&h}$F!+@K-urtURE;}2@jkBRLa#h<>{R)Zn z!p?x7TRVfjz|LSt&^P8Sp>MD2DEOTV<)cC*GkG0F(Yu&e6%-%u|Ei8ve=;hppCuFx z{8--tYx5o0Y0N?MnZ@VL-kawpjjmgIia%J?XZk59=Wh&y_IZ>be)isHm4KdYkLChR zIdNN%bJv;LLb^3IzN5q*Cr)qfQuVL;laXQl981x_k8OeH68M4+$s5RWJof-XkWSz?~oH^aJ~ifIz>8aRD?CA*w9dW&E{&OIbp$ObLFIr;meCF9$*tLvnbCQVBGRR%lG?F_Z$)+W2cE=QC@AcsH>fgA!k1ab)E5Xd2rLtxKE zz+YB~!LyP{9{==E82gjHPktVU==+pff-5=|HqYL=d7fEp8IWG259tLl^3l$Vl@XbN zTemm^CL0!v|FQ1` z!v3%?@f>;g-L3r!%t+b{OE!Hpgw!m;)7JehNA;EVpm zx>g?XYBh&-&hHf-QabRapoa^3C%15#cs}FAbCF2r^%5uFGHX6wHD0rb(%16R4pG|+ z@*xlM2P9s2?yCl$GL^Ur9n;6|2l=ogJXe<=`KCAdkO%q5H=g^d!KEv4HQni6ewf%`ulimDNaT4$E^Fpzy8NeC z`rC%~IgNr}3wwu)=O7bocVUXe{Z#47=3bWpc}5vrr*z@IQ@S`G!?||@I3az&3-!k` zhx&3}b8E0Bn0fDm>u)vBjTFW^G^B;yfo1obdqy~2r4&ZJd80$RlJ}B|9Tli&;i8;F zF5;c!Ha({AQMG>?tIFke2kEIGzm7HMPrv3ao==7NORPax zp>Ej+fa}EMryIz-6OCOwR{g_P3mmIsd(~CW1 z(q;Q;qAVf)0tb7jsbAGLlrQRt{$034=!G%#m+}9F<@C)*x`}r90g7{j_rmfS(~O}V z*T|2zeb!~>ouU16lZT-_Av5miwKFVdXR?$$dOJyKH!n^%pW|rR`6h0S=>Oi&iM3$^ zpG~Y0Ef>N&w^e|JZajM@i&ON>U zG4;{AWxcf5y>Uw(^Wkc*@mRZolDEzxmnczg1OhpLU}1dWlweY@V>pG2-mp zSWJ|wab&JKP6)&G`oNDjJ+}isf&k@=(NOC!zRxN_`BU$s4#!x{eH~t2-*E2A_E1-A zBg@P5Qqu_;m%}pz*G}#b#AS`uSG0T9;en$?-5ou~T8A6P=I!(=rQbFcq&yKR8mIaVW6GT6rTk+mzV0kLXWJcR=aekIc2g;bn{NDa z>B<|wy#0f(e?vY;%>&D_%3~gHaYN&O_N58M*MIP-r>}Z@A6}Cd-XX%XDZJH83jO=y zD^+lMrzBM?s4Z)SU(NrJ1ZA1I|CZge4)2%mkOHzF&V2&WRdWIe zb)jCLImN4T?0D4s>{n@2zkUyVI!!7%FSL*kmwB_oAC?l?rJpI2{kXzP9Qn zyWX8#9qt{oj5xTC_7f+{84Gb!hoNpqxm5X3x8q%nhq}Ff6@9duWew%BOaGNa5h!_i z{(CfDktw(CmUAr5SeBO3JnQzi*L_{~bwPO>%}mpuEWRhgaVpp7zGg2X6i7`RlgZrwPyV?2e_Qz?f;o=2!;by&|c+c+SOc zz`%9%Unrf(Z!h^xqC?1?b@SA5>+B1Qq*txE>_}>)n_NyN-;8_!a2L=SffX-4{K7! z8u=+9M48dcjZ?fT%#KIB&wiCg_3QV*r_-dO^Fj;xaG5tN{9!4PUHX|a*^eu%#F5{; z$hCX6yzAYGk2QFuR35z*7v(B9=kFcL)EpRVpqw$an>q|*4U|ij4`U6id-71XcV+yc zLnWJb>Ay<3pQ%@0H;}2EZ1Y8SPBYJ%QswRr?{n4ks{XrY|FooP1v0ZSW-VP2f+Oc9)XTyjioj zthISneP?s1)tHu^+>yerJ-XVFI&q`+2V!r8Z;NmvesR8t??mZ7Jl3rDBX5sOs{a#G zgm1ha;UbK_0n)L*#4RGzl`P_5D$nAqB|o{K17DxygFNt;?`7o!9ry;f$^0ih_i1w} z8PW;5IG@A~@)FO}_#_?p`kxZdgFNsV<2jvq=2=pHiUb|_3Z9XCQeJ|OpO@eR9r%3D z3O>k7@O|3C2RiU|KPUJg4}9f&F<(LtpaWmucEJaE;4_S4Oj8A(pab84bl^kzggo&1^R0M-4t$`4JcRxE3HvOhNR)eC3(X0M9%(&i2<2>0vpdcw z^MgLlFTZ6Dxv)Fk-sAj|9{dq`&JpC|xw;*KAN1f4d{(4CD&^w&PW+$;fAj>w54lLU zZqIl==;Qpd9)(=s=g2SVT|uE;`yKle^h>Z4syZg^nB3A`HvRQhg_6% z0YC0TF6<9_#C_I( z9|e4}pLD3KKO=v(_Lsn?mt)imke5fDsB)SN{}e?WLM`lATJ%s(-*V(S*>2xP|5fjs z-)4b3xIF)r18MTIyG^)|>(=G`a7zR^ha*2X+Q~bhLdbooOt|-I;nYtp<4nKuL84qp;(e9xg?E8mk2hl#F{X={1)UQT8UO=|I zg?{|a(DJ-*m>Ao&gH1pBk@;>PZf~P#uZq^#wOd-^ZGtG5kb`n*D=&O~g%FX2cF>qf zviRF1aJdc4ydAusaAW-RYfoeX831eZ-|-EMZT)xV)881&Z?f zHf7RHeQE>I?g0;ddAg>dtEDaTt@)OGE;IILy!JOE$IXqO7=(Hm^)Tw?o_r1<0Y1V6!ixt(!;o3;cw^p^EXipzh$u`$qYoE*<#kqa0^z6`na!oX!SDWpnTU} zoSD4j6lW*K4h#2^_jP6a?&Xa3U2GT(oOwkCUg}4i|bA533}_pc9Y+1{4tMzsrANUxxTV% zPld+DS$e$okC%ztO&sVAdV=0i@779vitJ3QFqYl@Tq0`|ML2x@j{7O{`|`$rQGL(X zeZn!IH-vFt-iBl9ZEpgV<^#X`fK=d3p_YiMVj^)}WRtJIWxlHQ;v=nY^( zp9qhXo35Tj|3FWl=qu>4qc_}p{!OmdjB|PGC3^5;FOZ1mfcxgb;^;FHhl5}MZuE0{_##R z-~a2;d0VddMc3>ZhfaHjf+ft)Jmf!_@3XAW5I^%!*kHMpB}C^ z-@3b$`Ahy~l>YI+x!dxO`P??5-B$Oiuk@M+PA&QM)kl_48cHaCQyU_mDD4WpyOq+g zb=(tAZ@Kh>gNiRIn^Hz;C=2f!D*pS%3yW_$;{5Nw^z)-1`=EI5t%q0V7e6|7o0;Ap z>~+wytC#IvKJl0zKl9(O-n0GfA517;d$RA@AN}pwvX=`tmmV;#z2q+sk0~Aa?#|QR zs@rQ@<%cg9Pkr>ot$lYdGSfe2O>@Z&XUr*{c*2t=UiU5e(v}B~4wf80`(2hFzm9$- zWa9C}PYx)Vxw2(j`-6+Nk&JEo9erQPqrZQr_^#I^8))h~DackSU?`&0d zuvgyKPf=x_^QTA4#J9W?v0P7`T|Bd-=!b7@{nO8G-8$xj_qN)#6I^}=#?t(i9Iw1d zBJAiAl7%lpqvzFM#(N?1Wt+*=-2T~L;Du{XAbXM)^9!?XNHPlyjdJ`qhI^QtTdCA7}p*QFWdV_4}(=aAHV;GkxV)ENH6MBZZ8QvYP$fl#y?l%}pJ}`G_kx@Nn zO#XTi11uS5?iZmSgnkkBL!iHe^?~Rgp+AImf9iACUU{9IVd5%&b_ZM^nZqF)3z zdX;DgBV9KA7*k5>e<<&egYw>Vu8q9#DOx{h^z@5>Us7)O@O}~W1ikfl2)#j{0uM{S zh@!Y(dp7z>NsyWSR*FTx2;mt?lpJB#FFMSaY4Fe9hE*}FH!%mH#&X@oC60Ppu4*wW z?`RI8yg^UU8_F;AInsG>YG2VWO0Bm&w_gN3L2u9#^y%C$5_9>jv_5hT{m=(u{?!!b z3vmdGSn)q~zi7>Pv`I-1=fA)`$&!^GvvAk?+tk#f9DupS7hI&^!`k&D}p!rv}gX?HEDe zeqGT{+6~G-dmCnHuzROxy7AU^Mfuuk30 zciip8XA~5c{CeFHTh{!~*B<@olXJH={eIi*El>Z(T=(;2Ti3I_FZGwbdiS_z-}>i0 z+kY{mz5IZ`{ns;7e>0~1fU%p+G>EwjN-bSO#Ur<_NZ{!Hzj!BVr5{QhBBq zO^~{-XlmEiQy>kl-MS*&OXYGuhu0NhoU*5`D-yeMQ>-iEEqr6fecH>qqExtk9PZ`T z73J0y4fnbt-cIj*U6EO*ayu`7dL5f6oj4b*sl@BKXceJVZK00l&gRykEETisySv#z z*(A?}YWk5luPGqUQwdBJ=fXt(DfcxG2se>qtB;>Ar+ck8(uBC(@DmNvf%BXOWqOj? zt>>G84tzcG+$P8azkV(n=;D0xTrJ20pJDvQoDD%bdn6tB`kofJK_2+Z_p`1pB=bG$$YzFv8r8ssJTrdaqu2fhKBKga`L`CiPII2R3c z;OqObNGIfh&oH)|Uf>Bj@D)lv$OB*bcq-V*`LuJ< zKnFgQ7s!kA87G=W5%~ih_&^7F2pi*>Pw0=&8ACb5IXw~WoHFQNw^x2H^MfAz0i4q% z%Qx&!w+HZp9{fIeJ`?2P`MOkLdiT$aAh_ zJop^(lk`ZxZ?dcpHGbp+dc^ZVALpMf`K4UcPrBX3`6WI0qtgXH>Rp7VQ z_8a%(9?7~OL$UGZ-=@$3hlg{bNA9JL^dzS2Mn8mT(*PnNoe*R~k+a>gN1eK-F%JPK0VOVdBckei-E0x}q_f@nm{j+pJApe~8rk>Mf zr#HbJPw^h(;d*kAa)WyJE%HnFQ|6JT>~@Pw&x_l0y3p>S9W4BdXa~_gqWwdA?$obD zJ9skL-kbE}eSzh9-!?I}t3&$U5a)Eo-J?W%)jQp;-Ab>5csnTNpj_I@8^z~z?U4BC zIo{LTLFfs3L;m}uKFzT0Ib8?r;Co)q>ADfZEiTVpg-i5vx^5yXzKQ7UY?#?qyMLB+ zr(c3wkKO&lT;58l(n0xso3fF_^a@Z~HyTc&mMtY0ld!^MACy;QPjgeEvDt z)APvYVfS|9Rl&{e>z;K%Z_pF;hVl!2id^%)f-#ETDC|LR*k|BgZ$+dt{yFOn+Ti-i zPH&>5?YZZdLQl{e@PIxI!(T=yA}t99vmIcF(rY zdz+z`Gbzj$_B$gi?u+>#!}!M>{(Z2hZ}#4=9aKtZ_Ruwk|4|W{H*P~d|5EFX*DejCR;^&`4`F_1=C*K43FkZKaYa#p|Djy8!=q6Z0^0~$9;H=Y`2|rgw<`K z_64EF;F9)W(3v(HcUUj{{u})!^rIjf{VU8Xp+ANG6Xuyf&n~wolgT}S6fDlM__ul6 zt{*kHMa(b3?f<-JHzRXw`aPzU)c;VgLk{YP+Ql~VM)CPx2N8CRU(&UQpJM?%L2vz) zLT}Kgz=P+PzKr&mnpX}tAe;ml&htXOj_~i2C^rDDH^~<{={WP8)LOaz zyil%tkIWG3Fe7puX7_Kzd&9zatm_+-1d>&$`xCl%{NDVYXq@i)_YVKt`gkbrVf*w` z2NsKCbCBr+ALzi>BllZC9@s+rS@}Q*zKC3>4td}+j78=s56=S~_4&LV=LNc+>0CeDsNf?{j_}7jyl+v{S6# zjhrUz7WB}+juSuEpJ@}(1$@ELP;D;UP{GbQF5Bn!%I#4d4 z2b~}E;75H8x!{K#==`7uKkh>=(hoVf&-nBBAMFCh|AqgywhwqN@P|F<`ux;Bh7sCN zoaJ{9)hN9F{VVy`#@D}h-}Ws_JJNT_|C#Mp-2I{$@AdvbYj0$N3%Ux#cjIpU+~s^O zI4-o8oS)4U=#z5LuPqALjQ@?A3c>$UndxpnM9$C1FoZj}UzX1|&;7yoso~0TB(sY1 zz4<%UU!iY_@z1$m3;eDi>=-}&Vs5VAIln@Zpcq&uaP2>MwRt`&T%F%*xUgu7iL>vX ze_8bAB&Vl*s;lqf=={(d^aQ=Z4xmrLN9*6i{W}seIDGbViEwHk-gBR4gD-K~u77W* z_3!OO?=&7^y-lGXzw5r-MlN&b(abV`r&>r7X)I_KdaFG_=nby#0qG^ zzp1zAH{9vX_xh5I^~UR{kxrhsV%yZO+J}E_mUF~cFJ4$(wRp+tRW)_hOX^zdS2wS$ z?`#gW)-A7h^|g!zaSngEI}SWK;V%47EXL~?9;F1s#a*hxJYCQm>=K3buAok?u%(NQ#Kc zjJT`}_Ed2OG_4_xJz>FqvF*fH<`9q`cU2RA$6v7)_xRj{r- zxT?Ob&HdR0O9&7DVvkH(qJRfSn|Q?Uk2Us_bx1rajVChEiU;fgc=Ws|@Pyse{=^B7 zj?Q3vFx1fyYFnG;ndrF;_X(*q87yCkU)8jv?QvRy>e@;L-bY zfd_CJT<3%bO&Yb)yphLiVMbimhurgTQC@yS(ToSXxVXHi^FWAqatCKy@j(88N73^F z58zaEl@lHvo%O5QoF3yCKR?)6-&o&S??~X{#+4EeCWUKy>O3^c+^l($Ajw+eplx9LjM5u zDe@0Iiq;D}fK$OlCp^5jwQkdxj;=37d&|6^OD90NKX}nRv)>IokbmGYaF^(B0H?u^ zUUO(~gTd9oU|k0lniluwVbpk}SCSt0E|hpIq8PRhcGZjL=iFZXms#;Z{((o+PeuI! zoNA{y*@wWRe%12kU~6YxsG*^yi+dw!5>h7U{R>^v+liYg@!)$XFKAzQx%21km>lAw zS6cBv{(;BfF9jaJsXLb1K9;wHLaQ8}WfxuUk={_oN=-DFh z08T}-Q`<*lu&uMHF0`Uc!-_oN7l9Fw^;E&{(*<@zXcw^sqY`D%S%TCEx~H7>u6&{+Jh@vf(<-P;W(zLG3os^ zOi%sxwDfk_c+Cb6wf;EKj>#deX`>YneQSfeg^Sf5=@ThW z_-;sp2e0jMdli1eiU;x!JZj$;cmSuqsj2a3s&95zP-FrF9_j7!wI&T7Q|y==;`I3- z#z|@Lm}bZ15EuQlb-a)K$MJYo-~pVv&vU|~qnSr?E4)mOQJQKp;<9Gu z(>8dh{g3HZ@{}RGhs5}{K;|EK^uH|d08X{Pcj|wvYzwwFG`FODTJp`g?ZJ9Fs-Zd) zUPz0jm=EIqy8ZX?z-@K)`48kDcm#eR@sKzLw!iH#-d{l-(~i!yE$-S`q+(7+T;LY& zA8hbY?F0LrtX%e?qTBP#_mr>?Emo+bS5)YoORincm0`tayM9cc=}wGE7}WcPnI!m3VN-&ORmxGFSftv#fX^|G*=v+{*ZQ} zi&%Pk)mih(z9g1_kbZ-nW9|_9FZ{6{#zX&T5B347JWl?m2fT1h*wf3Ds^r39UU&TUG0ucf2<|^B=t`jhbQT0wGTli_OJ_o;B}Fo z{_VoG2%`5-3^9(C#7-B%9r-9D$|uQa}899EDYF4E`K)$0%BA9w&q z;Ht|{hle>Wq%$dDa~enqXX5=x+Q%P=nekv(y(r4{)%}aQ)71Vc@((;92sj}OHys|q z6)R}A%(;54N^>92N#&xv97{xu2fON}b}Zk5-?2j52;f{^NMOCh!1Gx?JGVLTd~4dv3z> zGUQWbbN#_Me1{_8s`o#m2GaNk_FZGeL*^fN)c!=^0i1Mkz(d$YU1NwVH4j%d-e
    *z@vAk#6#kw3$@~*-%TH`$U^yg&IS+hWHG+=r%y(l zBJfXZc|rbxNB7SJ9>6KiC_Pp@XnD|zU|l`WiRy$7;TmG_mw8~Yk;CgxVHa>!`#9Q$ z$0;g$pw&K*f8Y^$LEr(LbU7Lx%j+A?UD+P$YHh4*UPTj!I(bsKJkH=hof+KXs0!ls zr@#TOipMc3aT_oN==o6K0i1MEaXgfs9P26XwqqhJIwu$nbc?Ce8W|rd7v6d$vW4KiDT>OHDriT(5j;I!YxT%P5lZU{}2kQUhuHfd{R4ApdbZ-jel) zv=dz%@SyklZNa*8gKIrji1dEHE$BbfGoPA{D3urB09U<#uo_6?@7}E8A@dJB0`Cbt zfRio`cyz6<mS8 z;efA2KCLG@MYw;l6%XVec=Z2H-~pU;QNW{>7mc-eMZ+)_ zWXPwcqbUs@1uAhG|G+{k9>_oN7{dRV;l z_06p*W=nN$!&tcd9GYMC)3)Rcn5DRM{q@f#Kh`luuRoA~;L-aRfd_EX1yu*WRC9WT zdB{M0W21g|*M#qp{q@=OIPQTRTRQ9OyrNRcT>hb<0z5cvcHh^~`uG<42OfoY2t0t3 zE-Zlu4dv2phSHfSOar+LxU7QCOM^#+l{{sr@L}ut7WoGrgS`R|;G_#n;L%JiCT)93 zyN0pU4Wk{bNL;itB@5KTS;G_#n;IX>7qj`CAOLM2EHBU=3;buoiUXXv_5x7g>0i1MU2|PT%YA{7*<9)*cY4DhV>)C)nO)OR&DhxEJY!)2MV zSpc~A|G1uK>p)+JTCE&IBD#3!l|rJ+nZN5H`Xl=HLk5&6KZ#J)P*T( zF|+y0iOqkO2R2JG{x3~?TWq0D9q8Vn;UV)6JPN)o@BmJ_v^XA_HMdz=ul?IJc<=$F zZm-@yTJb>sfk)&?h>)mQyRa!L6r*EmjBXIzyqBy2dWrdP>K6q;G)B zOfLTt;&PkhB)Y9sUU;7vk7MB`5xI=e&!j|rhl^jz~W8IOD_ z`SH>|IL>MxqP-=ETwm&W22tX|d90rzBV#-3`{(|)=c&%GstMG6abDeOu>z*f^#g4P zDLXv%NoOlNovv)df;mjA(i~J!!$RF+_-w4O| zEs+jsCu~PcsIw!}9CH51^3-Ei@tCZ+oIkF=a8CSNW}d%3@a~^Xm+|PM`#7f`=k^B%#ku`B&mZUYUsNt-~Gruw-9dskHvZYgD=^i+uwh-IIq9| zJD-`I+t1&+V*K>W>D>Ov zcOEqL*?pThcL=V|?=?I)Tb$cJ;PTw;rb{wEH=FeaJwb0sFZ3zGO@wjD4%{=$?=Aey z4F8ED|3g1s%Xyb1sF4jB_Uqw$3mafGl1?~6Z=3cKdZRB-(s}1!$-ga+e-=VZK(+fB zwtBnGECbA>N-DZf=&kn>aXtZDi|`BreZ@j=fvCIl&ijAZV%t8ado-7~Qo;r0_ibWG z0aIMun7D6rdb;P0HO~`)8<5|RG3Z>2nue~HwoE3`Y_hsc>~IlV(Z|hQJhGl#oB;8qv^42@$WN?q5ILNzZnlR zec_xLmThDXT2Ypa%-HQ?+@NZ31+OK-{Jh9FthkVuxx2tGJ(XQfq zX=rcp9U=X@I`~cwgE<;o^R#Zb6BxpPzJBKi6Kn zB5s#np2>E&nKA`EL2m`yh2Ee~A(Ov<`~7DV@;N+B2`BEb?|B(SyNd7$Rx%l~{~qp% z^gY}YiNYMX+46}6muP#6R$9x04eO|mg5IDf=xtDzU+8nBGvPGS z=CX$RYMD(Uh29e1!xh>yjDd6a481{5&>J9tK50kzGlp>qm9I8gz7XDIhWFvRo_N z+$HB5ekjJR-O`n?W$e_-ajWkq?#jDwp*Sbi>jR-T=m~o3+amM^eG0kzWlwL*ZWjGn zxJi2B?>jQm0&S=EmD9y`ePN&ge&ry`Tv4)(Vy*g*`FO; zJT$#QPtaS@Pleu~PmzLlT1G$RDR+h((y7f5@^V!u{Z*uQgL2NHk-Ujaz-Qm5mc#kUHE`vI~%P*8O zUy&l@|D4{lv(8SY0t6RN-VYv^F7$@_2X+fPjy@yo81^m1wp1A5y-m9n;c$iF7k2zh zig;5#8+Lr348x9Litg>$$Rk<&bF|}w>98-fyLNWW+<6A~@97efOgrwqL(X?TD(o2N zT=(8A?6~%BY0t}qJq~=$om~!|BFEEP1btxg7tHy)!YRU)ki?zA|FgH_e)-%uq2^(| zu^l75u;ZqCM7lNdcrdX(!s|{5AtD>^zxjL&es|eIb__S3K=RO(x9cnEyfT@8;8FM; zfd_EX1t;)O){E#wDZ<%UFY*ArZ)6;(kudRKSG`QzRU=aP_4DkIf8Y_lRN&!cCkZ@8 zZoLSv2VguJ>Bp{qsm)dN30Y31tJCV|gMuD-==6FxH6FWWy$JB&@ipV2j``@kFm;!?Rimy7-q>;w4+9_Tj#r=o+L z@EE!Mc7LY*A&diGa79Rb50$DA*Ozaz6%XVect8+vDmcmskCo<^J?cDu6{M`SHPo5G zK2D^I-B(lK!QVsWF`(P4SN5mbKB|c+D*LeqlaaBVMVqbt=%Lns@#jdncnzeXez6>XVLXO;L5$N(+2Lzw-hO{&UV+0C%<%ps zgTEKf*A2o+`p^4#_;R>!Gp|sPcOyx?k(n@AC-;8p4o?Uz!^{3bty6GldJ32RUk#&v5I1iWY7b?`n<#fNCU-?F#kq2@1o;IroYg2=1Z`V(>kPgvszwE3~#mYd@1VVH;K zk9h8cFU;4lpY6DJ49zP@`qwCq^Lbar*OIoi{L-J#b%f>m$*0CVB_qP*hOZkR-bPNz z|BRhp^61J_w|?;YB<2bKRfLNvO!v(z{QjIZC4af}GJa0D>;CYxH*P*~>qpODx1ISv zB6|MuJ^npLvL0D^YVmu-!{I-X-1kYA+w!f7NHEW=evbG$8QAuJBZAT=@HRa~iFhEoGwL6o#j7x#pwdrC*x$49hEj?`nRodQE-h zlKVNY4~xj~_t>5N#>6e`zWLgkY5ouYG5hAvtTXe&aUZAavM0W)<7K#wW4wokRk)<< z{!>c^{;~hIUtQO__3iWS*!utOzOxiEcl>F|sgG=UxVU%2!&^(2Z`d+t>o2zRI99#5 zo;vi#@@)@1onLatjlts8FMW%b*d<+Deg~*F!h6LxiNcOfXH3xOdG(jQ_`_$ErAoS(V(YZFhS)l`+5>@j1;jQjKigDbg2 zdh#W(l&9I7HXd3$p7M3T6zY`_-j%@>^<6DlT2QGaW_JkEVL|i-zDdDu_rufOAzQA~ zIJvRDVe<0H)9ZuNrZrA(ESfQ6YQyAdvl<#3gR>?tKe-{;I5W6n`t(_KQ|hKqp4?5p zN$0e!bS-{&AS|JB5&tC;Y?dFr4wPZO7iON>_=)ls=B2{P7Zu#nk_pC(FTB9ph83AY zj^HgdWim6-QGbB%nY7Y1!+bmGs-ejqKRvi_+T&(_=ab~OO^3!=vv_`pPKfe&+KncJ zf_BfkE}1MTruN4ln(rG6whA{Q*H!yY68*k{zl(h^wf_=sk^m)QyyAE3<#xkQ#7GB^ zA2}~YTSR)ut6c|~Veo+td`*%M^1%G~cqqcM#=2&+HHTDH3$xi#{v(ATPle7^m`q4t#O_%I7Bd&ZhB1 z;(4G0ALt+t;m60HO|8A?w-=HNyW#J=u>PW_3j1LizK~vBFN`bmgC6{WQ{=cq+9UJ= zIi^th2Y%3l-#1C{LoW0KJavB1$N6RYAs2dbzi1dRV`~jJMpOgze zr{{w{&VRDZzf1@8ihSt#4@i3OM~VbL` zvLDccAMztoF7UU*uaAgP&tW}Y^j&Lx2Y#d<`2j9?-nCR)JWdrMuh;$xm5{OYJ3cR9 zj@j+T`~~lcc_z36KNIU8nm)*TMcv;A`RQD?r_EFVAqVpxJueEmR{r@FA}seQ7#p;( zm0Aydo(no%hw{Jh<-|+t@!*L-Y2}k$_ z>y77MkxsYsuholr26oBmRpybpt#p{uO7m?>-ST=@qo4(G4u82jj%1)Z>HQqr!nIVG z;3iYKi>aLvj(>lP>mS%H>=;sD-y(bk)fxXv*zx)+Dq`D&9nT^e<@Dq09XThlmkeWF z*S<2G%8sq;6ZgxTG?stPcKo1Hf7{uy;1=mp{URp$f8e{qj(h$`xH?7cz7x##ggvj@ zpAVta4_6p=jP$~e3$C(HH_~CXWBE;R+;rj60oi>D}*NO-74?Oz5 zF7Ne@;8FXqzymnx0)R*2JQSTGbvRq! z`2h}a)u)b818MxdomM=Mf8bHDN#FsTbaB9Aq`&jSIPe8mghWTiraL2U+x%DGLz?x6 z%s=oL=o5GVCtU>a80qi)00+1#9_ECIo^Xe7(;};VApgLl=uTOGNSt&rz+a(nPApgLl=@EekaB{+9q`&h6 z9N?;W`1C}l2nS{*`q5l}ApgK4`VD~xaMDGgyo~gBet-j9kxo&QV!ynecDryvxfKuO zA9(aXAn*WAx(whk(%<<34scaG)bGaY>DR;EldX6l|G>ldl)wWx>HJnaM(#U5zyYp` z2W{(feHEQ%#RK^V9^E$xJb;rfIF5(>E@!&$#OwUo2y=gZ26aMt`~p{%%WGxbUIiC_ z^?3E1Y~(+V$D;xd;H1k19&PPGURbW*P8+VykWUr7S>nNQj7Q=U^@o?W)P)aec*y(% zkKXSKJb+W;S;7ZAs;ZV&Rn>X7j(N>1n}#;JrFl4s$CZ>M#)Dn;`lK32T~qc`_0jzj)-(Q(zc>qF5jGGQOWpUh_$qknf1`3D{a zTLd1!NtXdU)MJpnm`R2CePn-id#KIB?hR`yUbewQPzXH4niVULQ>gbVR{KEyfk*d6 z0uSJ%%NZ@-31hq97yWS6bYlKcjdSuBbpOrrol@ikC@9H7{z@z^%S${}7(FG^)7`c6*{9P=@gI__h`v)zNVx@qHjI0;=fvb@k z`gd$W2Rw9oJ)FQ}WWN)}IPe8mghc%z{Oy+qcBu2?GvrMLLCjc=-;t{+&|fA9xI2A@BfB z&UlRMcfx=JTosQ)^hBo!>+LP_4?KJkfd_EXMJ4QGWWN&z9N?;Wd|FR*ig43PYyE-z z1COF>1RlUi7nQ(cWWN&z9N?;W6zGXg5!U;s$UpFCx?bP`oODqMJVy3AVZZ^diif#= zL{GRwxc_3SeIWn91MgXZlP)HKhuUM;shq>v=&$p4!hi!@@kCLd@(LHXS9hZo59A+s zKn`$17%s*yt3r*uTdLEQb1SfA({n?Iy=ii1)P)(P3l)4x+Nly!>n?-Qi@PJ#P%ijxRC z#!tWY^mU5R6ZD4sL!Tl{=XR;zDKPc6Xb0arlg67%W#U@B_tuVd%IkpmQtmI^7}SrN3VnIa_D6(h#mi~ zjtk5b>&}PcI|XDeVrrSbQ-FLit~|er=O%rpK&FT3!UTA=414)b0k3vezf-_r^*aR| z_VV2TrpGz`oDVaseCL2;)bAW{SlxHY-yIn0cMj5hr(nqW(Y}k|^qm9N3%9FiN71e_ zE_|WA#l8dVLqPkAeF1`6{J)wEh3AO4UEuS0uNgOu_U72vNr8vNdAx9Y-V*I<c6@`g{AtGi_w z@2mLi48F{d#0jtZpZY_|=kXfjNGi#5>JPC1mdo|kLGrmAhf8qdJYJT>t%37Z_%AtM zDP3pEk-fuurA|MWaU{;;{VdHNv8}v8{b#sN=}i|uI5)9=hU*{LE$q1e zbz#S_Zy}Gri_-RNLiX7d7IyqgihNVvfF0i_!?5EwWZ1nOlf-fSbGGA$$BK5t$&LlL zIFDEOQ`>RmEn&xSo4zd0xq&OQFzinM*Y7j;)i^x}c8qjpV8`wCtsQg(gSX?uvT+{o zb2N{{b9Bej53W-_)5RB-B<#4Fh@mI_yfnzk)_G|tA1E*Ax1k(~=Uq$XqhSZ0O`}i> zH=1GIXN~jH-boNxd^j)7;l541Uy%1MNqv{3IMw@HZ}88lyoi<>=cTcv)a9k;B~f1B znhc}xAIb~T>9)KK^}MvQ#zwzf3zqSDX-80bVOx2DaD|&G#Oiw`uJ>WLuw%%DeIqedNAH{=y%3@HPi=<`$sAt>7G0ArR4L{xNS2pCG~8_d|n#pabDUzTdtsUORU#^ z!JCufa9-NJuT6ZKs7K9tX@9z=xOmpmrSbF9{vyJb^U^-qbY1+sw12)j@#$Ije=dGr z+IxTdLMi_^PyAc+#>8!1Z%izCVOp&j*G}i9wb6NLSIYC!R?U2H$DbD`&P$`BQ2OMB zrNR!If1aQ?oR?;NpKs#4w5KRPcb~Iwaqhgd z1Y{$5UfK(b4k<%QTEh>Yy6nMcW|uzr%+u9}{Wq1H=o1F@!PSfFsn4wWPx0wvKew%E z{2#Y=T=wWzvZb*xDFu=L6F)ABMWoi<_uN6I|CPypF!;HgW!z5AIZX&XPQ@X<(-~Hz@G3 z_52`RAV1&BzESXVyWyt*(t&fj0`eR(&?Q{!xo4mQ-=I8C4D!Gi+Rw@dI`H+$bHpGI ze1@^e?4=@|paWmzX@MW)fiJY5l@D~_8<5X~Jn$X9k2Rg317E>&;(3sl;Ilptbl`)2 zArE|pv4+~|#Cd6;10U!h58=c2N$?d?q)*xn&Z~;bFzAt99bd+k`9TkU-z;H=kPDpj z^n)Mt;Ezm`b}Z9@=R5I(9{d43U%E&)(t&*8`Je~CPd*=VVINM<2R-;Bviw0V(y!Y! zo)3EP2jn?nkPALtkKhMA_J9#w~BHcJI?NgQ3Fmh$@VttGGz7*%A z!L@zwwj}>BLgO$J=QRHT{G7gDD!9dLp77hJZFh_1a>(;m&=d3qJAgh#z6Q#S@OO70 zk9h`#mwo*?gwwf4NP=UO`4@$U$M;F+rA-`nq%o1`Q|YaUY@hAk?wo(Yjq}o2QtB)E zkti2%Q&W%JUDg}FcSAbe&c9mEnQD!nezq&mOS_-;!5m3x|0>xX+)R-ySe;n6&2|jC zg&jjK?Ar`)r|ef@FM_etbPdV`aYd^U|=^Je3_=&r6$`KXDxYob7m) zQh(dovEUZzQT-w&`G4=r!j1=jB-~_*(GdeXMtWh#z5km!-Bvpux%1Ly(!ABN1SQ-| zQ7wGmS8@9zX2z)2UN<59nAd2_I}vo6%o(9+dGuhMh|EnFt({R>^vYwTulro@8-j0a!p zc>}V#6*toS@4MBC2l5X*`u|Vh0i1MkIv&egLLo12?#n&W>(A%#QEBiPYscger=QP( z`~#1^XJmPicA|^X@n{UTbvD(7R@BjErj|yXIyqd)^#0Ha{~UMp$r7lYwZTKs2_({X z-V~vOk6X_xLH>cq;Gn<*I4P{ASI48HYk5akyLozAM?-yQre@;4$zwfd_C>)4_fn54mf2 zc}th8V~36TA%@Fe?v`GEK8LrZ!NX_Adg)uEQIRl&~o z<_4Y8KD;ze?`T5yj7sb`ZSWAcMS1aZUYhTFRy>e@;8742cmO9Q8KzgqgHEO4wPE$G z4MCmMHeBtI-cHC3Y4GrJUfRG_Ry>e@;L-G3fd_EX)1%|jRNw5bph!UhkM#QUIlLwf z9$wB%8@$4b2l5X*2L4;%0i5*o=y-?|pxoQOIRD8#z5aX-*QLS3%Xw)7eO5e>f8f#g zSAhp`($k~k(cIeD+)izZI0GvEXMIkW^kQ*XdV2l&9G;s74=?AX>F38G|8YECk@bhP z6FogT9xIw#ymU{EIUect=X3a^GTVk z4b7Q;SUE=P=g}{PE2N9|*3)@u8?1OB|G)$DSis2{j}_E0?dV+F;;x;AoH-eBfm^tL zu)#yM53Jj_a@mKP?zQ59`~weg0VjmvChUXGI|{YeQO~1(WpEV@`9YD|tza(ye2-jK znZK~XLr@6&IM7O-GF1C@D;{`n^%iltnO71|O!nx%sx09&59ECEWxhvzYa7X;?=HbjdXLEa zE)U=5F!Sd;kI(X0?pMiW{Pc?@u*I@Ug2e8Mo#wSYcF?s(r*&$Ee~ za(J2&PTXPN^D>C>8^R}8$z({JXTW1${$3XSr|&LBjT6mZYQ3=levf!Id$dbvj3m0M zZ8YXl9E9GWC+H337y2COEZEgOoF*=7sIQ#$W_MD|Natv1&xhWiC+H1Qp-;n@@Qh(x zLgfql3=zKBEN=%AeuvYK$9e(DjCzsd_(B->Iqt34`qN{v_3OpAn*-%%a3mGB2~^(3 zlEl+R*zvtR-%h2sgal3>q)DN>vBP?+rph1f=Dqs{Md4OcqQ zhqho=J$_97dhtZW|9|$r1+b2y>VK2;ae2v88{Vnq5%~~W+R{L1ZeA@H1PX0|AZSRF z+a{1UHAzbVm8+me6h!2a@`)|rr$LlYC}8BnwzT*sC=cI65iQ~)^7z09pHb2N&zYIu z-PyUj_wL^0LYvICH#4()&e@sYIWu#1c4n4wX1@r25d0$K3;q)3WnrEf=2^i%0zHRp zuRRp<{HiH5_SbBo=hk93!&M$%-)c3v{@{^~RYb@h_SU^7G z$Jp`DJY@gM`%!$%ytGTF(N058&|B}xQg6_wC}eeF?EKj+PfLFm;VAvtpm}kA{w(U? zuB)pif!GQ>lO5@+iu?W5Oc!?hmpF8c$no}3>2M6Uw6ph^-9qmE1e&=iG_2=4T+0uw?9#O;e z{u|FW2t5Q55`BH{cnPoLhn|Ag@sBFwBp>w!^#k<<{T=F&EW#yJU%swA?@_w?5>a1X zAcB`9k<(94Z+^!gvtKvs3#|`%$+^3Pf5G(y_u>BCufE9siT7`DTQ@fb?p-g0-k>Mw z4JCm-W%~7c8GfpM-+iy#{OR6*#XoR)+2-9|{V8Lf^Wf(UKBU(q<6-~i@+;t%neyPH z@p(ON((TM?Zp-KN6Z;Xl>RAWe6B@`R)77Z?JxQXCTJ2= zuh(#J+q!jCi5ISjGe5^Uy!xQ4tNO1yG5)cXcyvA^vP@HADk*Z zY2CEqlRo;dgJ>yUD*oZK-ZO8H`i=9N=G0bv^zfg}D?k46dFyZ8P;t};52|RIvvuCW zgPxoBqpE>n{O8BZyoW&d9T0c$$3BBJ2~&D58fu?%*(VKGHvtZ zj(K~%bGt}0ecfsI3fh{UkEKJ7GtY<5x_jQM4eJFh%d6=rs^DvUKfcEN55Ms*asAfT zeJa+S^qqPC;rw;p7k7VY9;fS0JC5>RTd};T_oUO?mY)3LJzGyc;7@y3{xxx0WWZRNi|Syy@6^myf_cb`)Em;Rc{SBokuFSun<<>J)x$~*5{ zT{-KkS5&6{`pL>y@4BXP*0XCX_dBGq^0J{*D+$xeC7;;8a_=AQSNV-S-&OhS0|!=q zBm9I7&URnG3^2%TBe^lj5cfYstcXu9F`K~`#R4)DfoXTYv9#i?sh65{~ zxqWKoH;?-L$=~|I#*>#+w4dBO`L&7(X2!O-c_LuzzpejynvP`~2ME~uZ|na!Fk@SU zJQ1+<-`4*;O~tPNNjur^?Az}kSd0c!)+2CNN?ZyVrwGxldOur@G}Z9tA4MjTHx=B}#AiJryj za-7m{+9J*^Im>a%C^0-Y>OTCmGgjN`Zr-tER=A;0Un=B7zxX*l5fD#2D))6CdP;_a zv(*0Qr>cF-|1I~Uu6WA3FSRL-?~l2q=Cy2Tp2u271jq;We^306%ugmSuh5nA&C4hR z9r(((NIuA8T0Uy0dig*Hz8-Zy$dg?B_jxhIjC_I)d=2V;kO#insa`(Nfv-p54td~n zrW`=?c-?*RK?lBs+J_(VT)yW^bUx65uV0l9@?5^dJba)7U%A@<9P+?dJM}ORE}#Qn zh5D`ory9{3#RQcpfX2fkLt2YKKtEB5k%4t%JekOw};c~C@w3+TWHI>c}spHO^|3*1l+;EMZ$9{j!FS8`FmAjjkf zJ@{iMNcz6YeBTbQzX$s_>mlkj?pH)kVC_`Lc_*DXlX^n_4oPj%Nz~{2ue~e( zg<4mt!r=zFzP6z$zjf`b&kgK{D>+!tmblSR-n;5#zVRKBJB&0+!%z*zRZh$d%mkJ0wg$UJmFDdV=0i zKIl`VQ#Vrm`d?RXoK||%zqt?W30tF&-)RW1HmcN&D!9?+3RLZj7IKjQ4&JdV=0ie&|!AQ;!nX zj*KeCH+AyNveQe7?hT4xXDJk3;qc*iUmyNDnh8)yye|crKoL|R5yL@Q9H!V{^f4iuhe2C|hEr$>OR1=nwu$M`XJ{4)>vWWs;LSii}=^QO_CLQl{eatwWn^p>egPd}=Y*JCPO zRyU2XD^q$|4`w4|eEIOdF56`$DI%|eLed*sF#mGv4R%kYoun~L1oimW z6c1yW)GUZ&k_yodq1{0{)cT}shtNJ@d<1?X#*NK!OZ1bANP&k^Cm{X9XT;t7+M(F< z(mzDlf3s|V22LAYI|MnfXMcI0Rd$Sai2V#ckd~>SzwkTcILXz-OYKlfg}&`jc>iz` zVaNEH$9VS-p(p4K<%d2+TKI>zZo@U{AI=r&lc*BZ(vN*XwByi|=^x^{D~DsU9lDle z$bFWjfA}CteUPsD*)VhIcF#6%9+KNUyX&X9{ir6aP=8;bB2hBu;R8`lp7BUT#J>Eh4lX5@F&z-wbW%zZ;piqOKP4^bv zC!X68j(kD3$F1)hUAqi9sNd!DeB`B`tCRUw?eLb%l%Els)6 zpKo`mgY?Grjep!;`Hi>8nl^s-zehU@y+KdVr$~!EkSSJuW&Q4JepC0gBfnL@;pls6 zw*7vMYA?a%AEKSa_rP^3x&QqYLJmET@g;g5`*q2^CHxEagV0U}=}mI$cN!mYu5W#0 zujnsdp<;#cgW!|;qp+tVpVNxMi1HU<&yE`ec)#PZI`G^Ne-i#B=EK78#Jmv9lYyU! zc^`Uyczmawe(WEy#g-L+NZ74k8$a^fM}&Wgu=g|4pNKX4_=EaQ0^;cJAP4Pc!>#$r z3%JdwPy1mJUMPP@V0<0W8}tOd4bGN&gFZ#6Q*Fj`0LCA~Jr`j7Q9Ku1d?U%C@fw5~ z<2C#~uZ+fw`4`;ZalTk?&~t(0_C6Pk2OUCh&=d65@L{Pp=u_y;xwzJG)@_q<{!8i9 zJtBQQr7#}6op9viOEPfqO_X^meV#vXak^Zs&5xk@`W4RkMf}UIHx|q7Rj_|Ke)mO( zo}f3hyU?eEd`_Kn@s}QxasE3)rc>8%yNgm7Kl>@Q_k7$bgS6g|#&vZWfzaFTq$8S- zB;MyvA&EaLxr=_mdgHo=ekNFN-sb{;vq3g?jS%$>dV=1Nd*~DCw=2xnzd>()<0tSH z-mZK{y>EH)^TL10#m9Q%?>&J2Sz=&&Jmm5F*#14ohxaI{_MD)^byHHCX-t}a^4Q}? zXKdnF{cAt0ntk6>n+Cpgj*zqPvbOl=PG49xvt}_;E}IPPsWd#|Jy3Txn++N zFa7RcHed1E&ppax+zW|6K|J>p9bHe&C0RERe?ReX`eBlL1j#y9@~7@t(O9$fqQ|!U z_;Ux>F#khO>>GdP=Ea*k?>=WU^79^_73F-*QmVwY9xB|M}6=zFA#+;G_-JoCo<6rHhV-WOJtf zs6*hv<(apl-BX_Xe)poMJcIX?RHHnK-8uZ8_8O8wm`tqWnuqQccBhv*>^WU;I)C_h zDt=Mbym-aK+c&-X{hKzGyz#M| zVzC>9kVGx(Mj!jpWS5kbOrExn!yoN%^HZ!wPN_`uZ(Da@RSA`A-&y4EQa?S>kL4Ym z^u_Mul0BUh6N#BEP0cfx&79kmoHM6oW=qA1C(dr3Ip?J2mX_p6GnbvvoNSqwTt0X1 zNsY4_=gyqjL%-uLS-oOhVHKx(k^Z?Q6ZOYv7aW!5YboZLkDsh>a;>&2L&f%?hoAU9 z&Qni5IiJpGGNl~JTPGV9cRZ4$K zZ>gs|^VDwhc7zZm?2{Az*I8$&I76?`Q~S@Nvf}?Fd44tw!La+Yef7rNsND#AP;=8Jb`3FDf!9S?(54pI%Sq|`n9{j!aGXIbZzM%Vq9{dS~2jn9E zz!$jS{-6hcZ;iY^cTz zBOky&5I;qq=2!9(D#ZN*`HlOde8>mx@0Wk}@nfo;gOA_(b8mYGeiKJiAD9cvb3ooj zHA}9QFQ)x?{QaY$ZE~$V!qPLPZ(ni#=zM(0fv;G;*iT-2t;7jF{vFC^L|R@A`ir^6 zat`<>h?m|erc{{W<40Q~_%HGk89(zF?{mPRC+H1!0DVe4hHEm`$~z}(@2X7HylJeJ z|AUV&PL%vyT%Dp}Ieo4CFs+pzCi);BpY0xN<=^y?E4jz-T6yRRdIRFnr%3bK`0DAd zp2XUDsW*MCJoFN@Rvy=J4;2c%Y43t*ZRe3i!?adDo8I)b@_u@g+{T^8L6Z9|UMr7w zcRR)Xd}y<%{5-aEAMbGZpIMf$Yvs}Jp&x|bkNy$;ANt`SzXttaDYfB`(2vK)Ym5F% z3>kJg+e&kCi|yw(Yvn&fR{R;F^Rr=Q*X{mJ(w%uoZu9J}pXT~jP4x}+ z_XR4F*#`NQ(gz|xvYqeTTf9~t?J(M90D|@!&o$fukkxq6?#hR?QE$x*oD9+HMd^k zgy+aDMmjr+Y@d9DpR4Ljp?RwO3v8EJ9?K2tPbK&GovYfT^aQ>2*Gs)YpNx-~o9fJW zpHIYG)n<{7GFSCF|3=+>J`r=^t|$6z^^NDi@_340e=50ouBv;4>33Y}oihgi z6?%f+hL$M3DSgV^3twfkTM{>Y7oE&CSJmb7jS0P7E5`%WTve_ovaST_jq4iv89%*A z?(sWU6?%f+&|gBI66uOM#~FCkMZJvDTSWSAJ6vQby2GV$W9wCPntMu*3B7%sDu$Y4 zOydC?oKo=%u5T42fqy~uP3kR1v$pn1-)lV8H|Pm^Lm{D0q~EU4-x6eQP~X_E%Q%#e zmvMe4JyCvY#5u-_KHD7Q&R^|YZ5B6Zj`7gO55$MBp0w$ug?|%sa;XYd{of~&Rj(a+ z-e#8Z*ZBDxUtV}w{N<~!5!b&sbGH-Tcya&P?O*@H7M>%){LDk~jYOXM1Ic23=HWEU zeVt^zBKcFFe!Q{zvL_$ia`Vt@bUhV+{Ec<-fs$J{-MHj$oQF*ZKRzpd&R}u;cQen6 zQy$`XUUBor-G0Ak^~P(j*+hBRwEo(v6XKulpZ%?KUZ|!#R99~}JpSf6162p#Shn%S z3;*N2SKiS2op-lBF@NcsLskDc`t*&ne*T$9KYY@kYi@n8-i{rk5X` z@%X+q$N0=K9xWbRo{jf^+Ebq0Q~z6~m*?Wk9Zz}g|N6Rgc?h!!b%dQy>_^A;Gdi$R z@tfXTAHTTwU7L?NfBL3#>K1Qen}W<6wyrsu?!k6FhkhwOKiWCQc)lE;bBy<+z+L{|@IhU;x^QkD|I`X`+)}|JoH8ykRY`5T% zV}51+dtI7v?F5lsvZ%ly>d;^LP z^1%1t6y81C#RYWWODKDSJn%WrVlk@#`2-#KN*`DA;?#Y?H#qrm4H-9vXFy`mt{-6hcOwCJ#T<{0!5A(ueAJ>r&@cHFm%)?ZE1Kx8Esd<@cIjY}8{Xu;~y#(E7 zsrP-591EUJ&0jU-d6>r(?GZQ+v**_1UgU2zAnaQs$JzVdFGI);8?rrYAm;{hFrL_d zg`d1L>!e(~FT2@DXTR%vloJ1v=ar0kn17;qn13SrpmAW< zTR;8S?s*?&^N`$f9;S?&6y9{{Kjr*ngx;$=Pm1-%^ZAg^{i#lsZyetf@0Aqq=xjnxm{X97m?qMgQKh<4`(%A7vO}CRMmI zgO^N=znkm>b_~0P9YYH2TclH;q;EF4^M|KUdN*aq$C0dg%0Ayvp*b&gPX%-~JNC{? z-K*$N#rzAlmqYx=H!?3!ecM8g(K(^LY>N|InpnC;;{v(6JW<& zuTg&BG4P_q12}n@DY1CLS@jDRbDfTkg()lF^gs?grbiH5TIHB@yZU#4b{JoI@AcJ~-5mck789@x(vZdh7$`2Ofi4B_6=Z z6ldbmv~pQna#eR@M{{%gnl9=9Oa>!eBk9W)g`_vwMRK0PgAA^wK@ zGql}{2g(mTVvkEafRicJ#A8`|N5@K&C`-CFBE6re!*k%l?Mm3Ayy!BIeW3inWAL{U z58!0VHSuUkuI_Ga>{#Boye-+@Vp3zTf+V|m%aaP*lH=sQL}ct|>FA4mEz1)S?I z_2Pl@1CODfNIZa(DaOR3Yt6E*HJ!_wnyD#iZtCt%c4lu(mZa(F6H_7R&HHouHS&{C zPY_BviN{fXOabS5uV|^#`xlfyjmPhleJDFI#bn^o+?hX`S z()58QoHR*& z(`QGd_Y?BD9C#e##}sgGq{)5GV*eE72Oh(ZNj!j)DaOR3wW%#!MNxth5$VnQbNaFz zc>ISSQ^2|M3%q!s{J^8)dlC=eWQsBI=%Tlq9hZf-{Us6U&HHn@F$W&U`Y{EZ>s{-` z1LX%EJ-?QC04Gz7iAUS2mbOmnQySabRC`ZPsfc1AdQFSktn`Aym1o=d)| zUw`{vjVbYcSssMiB@rEN@!|nG;9=66>6~~pwzPGvZg0BWWK`+;tXBh=jMIz;ZVhh9sV_8&j}}$MUxJDDJwmFe1Hqe@_4MU(!C7P~6Wx zj`L#*I5%>G*FI2w;8F34!~-~)Vq84B+W5(Kd5|HPj4Hh(FD|dJX+G_T?vEYsCC{3w z_=Fb^lplBu|5V}uoJ?UZ9xGNSS2ee_n*=%1r* zt?=T3@&k{CCsln>c4CTj@mNlddRO=5?cw|m$XS>d7r15k2Om6i`gADmybGmsi$16&?@MX||6$y_Y@v zVfFq=mIt9h>NH&C#RGJ}!=yLU!FV(_ujyQy%;kxkOO>TA454{rEe$;Q6zYp^9~x=S zXkV8X57-0nXnjiJ3A-@`p1)}E8TH!mY2#%bongj3OgW=T^Y|(Evqz8+gu1*l^hAz$ z`P9ZWdVh=Z1CQQkBp$%Y6t`f(c?%XarcqI2A0}0BdWjq?2_^_}5aLpa!h=Z}5BHGIw`aL0a>f!f zy?CJfz@zmw)&3|uF$JbAV{KdVveg}(-8uYGlm9%$RyGPp^=0xufCEB#ABo2z^QKwS zy>EQbTVGW9fk)5l5)a^Hib~_ryr!$WV`by&&W_c&+E86aonR|Fo7j?_-EBGBU!526 zALqd1Y!HSB2M+ey2g(mTdN-;1qHr=rE~#5^)&g!%yPA`$qPZSI)RO$zJlR;K>dSd_ zmhFQ>{g76op9zkS?Cr$^Qu#JR*4#hyIODsf(p_G7Xi zQ^2|5{k(Xf{J>-A68YX4IGJM7c&u){oM!b$F50P`G!daY;zN&-i7FpFbpIpDJnhom zy?CJfX*@nF@c>RnR-7~*mnJW#Hw%qj$!O|+pI|Fn5|Pc5jiY?Y;AJVA+}cY5(a`GH5@a)pP& z$&{1EqqA*ATTA1zj+V-m{dPdlz|1d8eC_nHR{Gw_i?B8)Gmo=!+X8<0eaxk+vm>* zIXUoXY-#Fl0@^fo5gOdUK;ADz(@#yNNsifxRoar-@-A%dr3=#^ue$AqtNrbei$tP(OIz zwXjFe(O!QH!vE{XWVMJQ7V358z~q z1|Dl^^fTGhxT3RTO{DSD^8{bnsZsg#d{nFFmy78n+XsjGv8SHM5%0OeJKq822OhDj zRDDr)Vu}MEG%sj%vXKUbB6%NV+ss!+qe2n+^n47e{^epi>4(Q&I&qHp@JAQEQ-2?Y z@&k|Fq{IU_nc{%QnzfC*(qm0$#4+V_&!d;Oku1v0f#ZDBr@xg{(*JlzCQIO%;YD6N zP=4Ss(k}4;PNpE>q3VlxsU1bts=kQV+EMuQeDvkOL;4~*M{qoLxfc(VA9$4CCGh}G zrX=7YW@wX_8MRCcd0z4;EhLo#kA2KcXGtekdhtN{fk(qN5)a^HiUJ<1c+zQmR5Tpt ztUUSje6;4kV_%&(M?7}A7Y~#lcnp77;sKmYalk`09&+NkNt7kMR5m(t0(%y^Y?sc? zfyaK?n2l={tG#%j{AoO{lXw6pgI&zSWB-Gm z$`3qZt0W%4$rK0ski*H*J?~SK9X#Fr^0HA3l$GVc;{Y?$S<)kCc=15_fk)qE3J-;o zDawn7e4X7GLFHrdK0B5J58ksi>@l?1iwDXNJo-N^@c>Sy+%z6?-DqPo4T5xL9WgZ} zj6R*qU*~zcVUNBKdhtN{fyc=85)a^H$^{* zrTU31@!lpc9w1e*+^5E&o3xH@F@Mf!~-~`8CArK2QAND zo@{L5IoKv)Kza!=l+{FF@R2j3#)Gb)Yku{mM&n6bEz5&YyCkALE#CS9I^bc_o9RG2 z8fhXFjTO_Z+^l1&b%N@>b!)oI@`eU@aNhjzF!P)(ZH|Ay9)L${x5N{6W6Cn{Sk}~h zDUS)QYH4g+NfWnC@{DwCnxX78W(aFnx}XzPeZl)EzNWXQwK{Q*c`aO ziZWUa`g96=JP)60eB=jC7!SwEgK@5 z#zUKa8pTN+3xE9_WgooG)(?+*Gt*hp=JPGe4?IRbqUwvPH>RjXwWlwrTdI$+cPzVv z-e8+_g-qx2*WVT4<{9H-s{Cm@)=NBqlPTK8qr0)vRMA-xIX#Ir56ZOWF<>JwqY!^F%Me8va=f&mC#ix~h@L4}RO1DA9$3nmUsXs zQ<#fK+bVjaO)D(J@1?}DPRonSn~Mu_;BkRyP=4Uiw@%^#oJ?UZ9&6jW+LpDoN1U90 zUS3?@T)Za-9@u}<%jKVHSm(t9VlPSf;BeJV5M2&^NzHbgZ4mC5KC7t-9 z7Y~#lcnr2lJb;ra%EcqU3EN}gpZ;C>r<^yx{^c+;(^=9L>%DlO{J^7OnZyG)nWE%+ z!ZT}@E?9h8%^A9%y0VEkmuhM^ImSL+spdP}L82KC4)tS!p2!h5$AeIQ;8F1*i3e~p z#py__?o6(w>F6d&*7VtV@R$N;q&}7JxZn(tzypQq&kMbKO9>B>Im1!T*mf()X z`rE_R{vWT9ZLocCs2`{5i5zkBeH6+MJoG3i3{x;Y84wHW@ z(z(WiDk9vZ=Sv?rMt{CV`GH5p7bG6Q$rKGd^66_?)>!nn8*=owCz+Yfl5V}jiwDXN zJO-|ncmO9;6!4JkYIN%ui}gT73Xe}w=D7XfP(RG|bow}%b#1b- zyQ8DMv1JWyMMm4*=6*j{Be=?z5|=4Dbb6DjFSW$M^@YQHkDfEV@ApxD;DLSgfs=V3 z7Y_!at5LpfXzW;>byr+h%A&A@E=xwNR^ib?SG@j*BrVc7de%t&BGfL4XzO$@9-spr zCcT-?jz{j{_R)>3%iI8uGbqV;aG38gGS`a-?7_qXc4OX$_J?`jlCx{-#GWWCo7!^i zx1Pb@(Rr!ZY}vdJA$?4a{+1vLeKZ{5;xR~E_@2Z&$;jBw28#C)-+K-u%&^dQW!^8} zv{{xND~p^BS%fhj19TnV8N+wS`k#^CEyZ_C)8892zQ2j@kKq>hZdO@|V~~UI{`ksEU9YC7jKO!u zoOvW`u!edT6}sQ#EhZEuIeSjpU3_ya+;_*0BkUMI^BC{n9fO{rH4drKPIl`jH}7qSDxp2H%U@OPzP+a7^m$wyBQ8dUHr`Q%G;`DCVEP z4KtT+_wV)98*|G;NN}`u%4^{Zd`)sYuG5Xc3slDa{X_*T8%jM)l%xBqBTrc`ngsOe! z>#|>Us9&8z-}2;NaQn>kEVqIXWBklRa_>oKGOkf(j+l~mt~A@>1}YTv1ii&RD)k0^ z%3R-X=xG#sOZ|*wkw1iRlzKaibmljPA$29c!wS7A^Vzu+5ivK=8}tOdRh%OA27N{p zJLcp;-(lsthW6@EpZX>>Hp!VJYMjj0c+wm61ihi%g+9kz5fKFj=?!8Iph&RZCOakL zRBzA|^aebjPnk}sc9O&DN1W8h;?!$wcARG@%zaEzhJJ)ky~xF#O>d}g{_UiDw<$$# zJlFkprt4fjK6ck|<94!#78tyZwv>$Uc)T6ty?FSm@+@d zy>dK4ZNeg85wHkY1S|p;0gHe|z#?D~un1TLECLn*i-1MIB481iKnUg@wykRbHTco*5Au@FIW$Q^(}*H{Y%deUK8tpVo%EuH=Rm?ucZZ2JRh0Y zZR|sfqOO_5>t6iVdW?K%qga20uywhdFW<1xZ=DM65*%V4KIC9t@X#tBd0Q6MOG#>- zOX>!1I`}r?%GHx3fmrvly^nZl{RBea^%K`jI*8E7w(bS^1+9CL+;TR)jOWPYE^--q zgPx$bfvcq6pifzxuhBg2uWfUSHiy!0XleI~Uu>f~`A1X&FA>+P>W`Ojy_xFpH@&7f z4>&Is@z39enVoGOcJDWz+i(2@^X=*f^aedaZ>Yb}rz~e{Ev+!u^hD{@HZ7eU4eTfN z$!vO~b-=FPPIf+3#J^y@aed<-w^zY>8^7x(peN`J8h}0>r>w?tep%-@w~(H0sk^sf z)3yu0zNr484OeZu@a*+IQXS4cdf_?k()>LF9I#?c;ap zHwlQNUnx~`&_1<(%un9C2tz)wUU?MjV7I@f{5Tc*`f zSTfta-@YJ{+v^YH%*if50KGv^&>QM6^eKzN_3PTLZm~H1S&=Rw-1ej&9}8rV@fYg? z<@M``x4zbK5IRzC(vK@4|C{tSrD&n}1^WYh6P7zn-h-dsSOU8iMf8*LjBI&M#O{~- z>ml@9+=&vbw-L$@@@ej87nIe7r=78&cJZR~mn>M!o7cCrb<)R`Iyx_J>vOCx@>=t$mV%WD#UqQCFcpI)c4%u<_ zk&kiuU-ZMe-^F{aj|{eJ>~!c6@X3l%ks{k*)nfzxxNWW%H2S z@~j>=>2|d=>#c_}4gX=7;$hlB8To_owk(2$|qL?RM-a7Ist?qx>j&e;|I& z&yuFK^xev?vRe9ZE;*QG3)|Y0Aw`EGt2_CkbGwqAT^FtHXkXoVQGG}Anw9iv$gYbV zzTNT8aTPO*!P7y#kf$=eYn2y_!;sUcKl5HK1N<&)*g%RV|I``FsPil&iAjL8prtA*+dLI9YC`E>gQ?Q$!H%SH!^^B4)p`|1%66Q)g#GPM)hUj zQC9?~w}|wubaI2bFwG_K#MWoO?o(ej(4Nfv3$8EW+PN6bAlXYv^2ZSwE&ZviF9>md zXA4nZkk7F7WzpFS7S}9YBnF#1lPw)l%XMbQ+N9Iov?}Q&ySnona~>vVeuKI(LYd@N zE!v@fA9Mp%5ZEp37}8+hNWWcS(0H@{eBtkBJ(uofu9@V<->!1xy6^DmpBK+RI$eK> zOvzqL#@UYfJm{aL)7;KoP;rvj6H-#izdO2a%sBVNJ4^nDuhyOX!Xp%{@qWqzPX~Z>Ludg^s6NIWs;@%DbLl_^xN{AE51zEQ}IhjimMK4Kkqx=+h^m$ zMDMiA^n6O6%xOM8vi7>Fn;)Dit{+SJKktE~zq4rYBb2{Xynoge)%+u}6#uq-Z^q`$ z-t3Q0nDb?|= z?#&}V>DgSi@x1scANlW1dptBGFzjBrN4)3IsqrPhIEwB^bB0cR_k+$~7RF~DJe|wu zeDTb6Tec=XyZM-p{c8)$`&sGD@vDY6Z$A24Lo8#{{IAr+A9!^C>f@d}ie*iTHOrhx$2AY#D{$1y9QGXJt@A~Xr{Zhw zs*Df+WADvBxo*{_7e4f#n=btGxiyfp>Y+nUx$EfqEsb3t-|~ffpRN3CvgT2GIp#UI zo%*lW=EYC?$X_-cvGQ}9*4#I=iR-0#aQ)?Xy%^_UpV^PjimC?l9ys;F1Q@v+Q&f7}VvTk;Nb_RVS@QQll9wj9ulWCeY&!BVj zQ>=icGR?nj-GNp7Wt4qq(bE^J^5tiI800(Me9kamk)eXF`^L zW=m7^%w;p@HYMlGX_?tlapH-yn`h2Bskx;kdD6^fCp0Hp<|UWUoqJN_tj4)BXZFx< z+!;!o>P7k|ZJz6ns6S>KsM7K}*C+fWye>_Wbx8uPxqS6B>B*7tGfM( zLY(!x*Nq|g5^BC-pIS#UShH2+KX#f76EDm8io>tSFq_D1dx8h?alcVU7m<&>6>j}1 zUzvP7!&uHMDWec{;2VBM&Yy%lrsbn{s+SLR;44+*{E#QP`0sZ_4}^Sz4t)KJ5AwiQ zJJrhvI`9pt@oGAy8-4x0B4s;T9;EN5)@S@w0Uh{YPml+`SBkxQ03G;12YE=pQtaYcPA6ff`zU)EJYCu==%Ggw zC)T6jSM+KAddUyDz{%84nqSd_Ke0gZE4jcw=>DKj^VceVB^URH9Fz<9Pbhlu#}-O{ z$VI+Q{J{@;@F(UgekB+91@VI({JrY_kc)f=@q-@xgUCPfq3VZOuaJMxgTMDwnSaOy zenI$y9{jO{!XNoi_c!ej_XmBN|9$HIDj&FSAitsq|Dd`*UiJ15D8Fe3uq)iJh&qj0np?`#@83l&$|QP*s4Xg{ zHpzdiuXLjtms4S1T#o0D)XETY!-lNS4RkZe!FW-k#!p^5`TcxgUi%$&-n2{w{jK3b z(IM6+ranQu^j;33@HM1=;ePM3mgcqd&mRkx1^oU+&k>BDc}Q;V8OGNEy+KdV8|(o3 zl%*c7ah#uQa}nV5$&b0|?6E`Ca$d%l? z(VT{zeWj2OB3VvBZ_pF;1{Ua3q*FIi9l6@on@CH&?I41fGU~r7{CMn?54@Kb=~NMZ?AX3)b6VT6^WEH_0vYF`oXi-uS&7+TZOISMX*nCN<|f`SA{i|Cwb8 z``u^sd*}yC)pHX1NA!Q_kAwU&wBx1JhCf0-9v`YL`Y(|$zjp<_-;v*aMp)4=`>Vct z{Q9ljDnPx29Mnr+c^Th*9z{Qx{@!!8?>>j`2k%n&nI#_Y{UG!Ny`lWjr%0zBt)mr@ zTXD^CSZ{l5j~Xt-NgfW#1(gzm4#Bzx!NU^ck|^&k&`b z4YL5so?fo8j0e^4aQBe&J;TxN8dT^{p(p5V=*v=X(5Ea-iF${G=gLYWoxRL#pM1#g zJs(26^jx_`g&EJ44$b#`rT8H63vQQL9?K2tPgw%DSbCeK$C-auJfJt|33_Y&qSPDo zDa%Il1fA7df6DU)S3Jho^m&6{3~015Z!qAxc&^l*PiWtpUplv!@Gn?ztTVJ%e*LM` z*!cZ!F!Thyq1}Z(rQR;Cb)03}WSsv}I@K)n#!L7r)P?H;^foX1bsxP|IMKR^yTR~tM*H31Nd!uZ2N*x=w%-l*Kx7jZ0gHe|z#?D~ zun1TLECLn*i-1MIB481)2v`Ix0u}*_Kvo2Jl+ioxn3ddKvX$pziK|Ej_}_N#yS_Q zdw~S3hr#-m^m-Sy4hHM>LH{bvmEA%61!LU{?{mI?iJZ&oHy5_`TXLUsgynb1`SQbW z%Juq?8#cr|e8|DP;NiRNx|gsRz8!SX8}tOdCB7l`27QXWr4Fx`c~t9Ow$yvmISR0! znprK@yiP-j33^K$eEf^Nzc=(LRUp>r(s~ITZu%}d^?*A4 zQ&q3-RB7l9Tmd1i#c-;xb@x-C^-8?jNUk{Y>oZsm_c7>a{MNmU_w`E96ZD4u68e-_ zSJVaVxBWNTLs`;ro94!GjziSPY5GYwF7)V|DNNJ$M4HHcb(i%dNT<3_|X}gI9~tS536S1_td6=FP$TJ7GBmC z|J>;dt7aVgZE^k1r58N%mFda&G4p?01zrvx|M{tpvhTl;_!Go)Khe?Y)LfEv1M&A0 z52qg{xkr$!VUD%f#&zdQ_sF*bLZXXY^FSH-uuT};*W3q zbk*&1zs-55y8Nn*k6dxs`uI@dx0@&rB2OgO`Sv&KYbXyj&mZ^Es+H&M6~FTHC6BDX zY>!#zA9&NIS>Js})%X8?gecGRy=QDa^xg+*zOnIFj~?*m`YpdWY+APoE z_q5lLj2fAXiSsw?UebPay590bEET_~YF@nJ;q9AV{r*jxN?v(s6WbJI&Hc&~CnJuK zpCk1nsGZVjIL`H#_m{@=Wuf+$Ht#Fbuy2I^R8h}*q2T?cV`2A~o)%(%=@N5)>8SY| zFZ9~}(&ME_k;-BBmv+*7OY=U{E_k9oYiV&srTJQl`9ke4&D-?775hskDx&T$eeQW> z3tHN`+g7b`%N;%DZL1{z7ea_>cv9`h{5=(_eWeE%$^BA$ejxV^EpM12o>zlJ^8IP+ zoyZ6G8)d|Wd|;p9A(fv@f!_UzK?lBuCuBJw5B%o-(x3xhh1y>m^1$af&y!c`?q?0U zG~d(ee(Jv9tDS1_DLU{Cs{NWF4}9kS(x3z12>6sdm+yJcKGC29U%AR3{o#nv=bl^)U{Xri1VkKVvfew72gFK{TB`%)4KXgLbDfY+hRcX*ej}-<^ zY;VjDdhidbeR&}lIGOqZKj^{VtM)~PT;LUWe?<@e#2IRTW0eowA97GG+#mGdAH@At z2;2knZ`?mtCGQWp;0KQ8{XviWClo*OfqX-b$q#z)$1alhhg{T8vmT@Tpa*~Ng)0BZ zM?gIRKj^_9Q|%q(!u|s9ujs+w`+j+U$OV6({uMp=2bZYwE4e5?>W7IR=+pd)5BY%o z1o9jChkVFI{{8rQ|2Fgsc#k#wBk%swD7R?`rafVQK^~`?NiB-pU;2>1{o95%%lB0X zE8Zo?QTyh|5akFPvOR2|&I@udUete>pS-3zDHr>I@&1f_AT3itf3ZJfA@-N%-ToOr z^BC{@YC=!Y8|(o3lz8~;FP*A>%)}}vjs2yIeDEb6_D3`Jmo6?j)F~$Vpyy|{d+aam zw=cNl9>4obLr>5fu!lZHTI?tNt8KXEa9Zi@|LEinb%FO*=Obu;>1=w__HU!_z8*l8zuKaEM85pmkp5rG{iPB1{af~n!!L|(J_qEW zUi!-0iT9V@rtmY3ZoKz{&=d5A@Mb|fN)PJ$79U>r9V$r{CT4D zvtee}?S3oi&O9Wyd3M)Nb9-7%4JhjG3sj^q?Wqq$y9O9<@&3|ihtV!${0i+gp6kr# zEIjwK*Sd{k5%b zX(*k#@-a7^J%;_IUsd`usKs-o_Ix7tm;PVcU;2NEKB&I2&d^@@^{3-~z83TZy`kNO zJ|)IHKl0jbF5bMq^rb>?`u@`2@Yjpj2by^UuKVb%!nv3Bm%cZP-dG;?m-f?}S&9p~#59ztR6Bc}8@sKVSFE=5p%V+zza_7BX1eFgK} zct~?QDfX9E{?2Ikm*ydy77s9fy@}ml+5?eISOhEr76FTZMZh9p5wHkY1S|p;0gHe| zz#?D~un1TLECN{(;88~JxMNmwd(k3b5wHkY1S|p;0gHe|z#?D~un1TLECLn*i-1MI zB481i;0Qz;Pn2`>88SIhRLy(LbAM^9bHTb7r~>O@u)YQB`qS$YjeRn9qs(9*LY~*k z`-1(gSk7hjn+uz`Sk8|}I9MmseJ_q~-3#PkUhvT0@{^^ASi{u`^>t3KI=xwA( z>J9poDxvifTlD=C-1QSt_Lm;Z^%JbOYWndyy$QK~Lg@*5L%R!oO1;ggb1weUV{WyY zA=9brx7|f2bL}sEr((qZ)2;_oH;EsTPjXGadz{}IVUx@v?NcOx$K1I zWXrtd^0{+QYMj+Lcjn9<`W<)4>QU`#yVDulF27Il`HN_uK=RT1mhCI{^ZjpvSdBPk z1^fI(=XZ3rM;qDl6X?{dzOlqdE{)U7JFleb7eIyolYck*njBX zf5YGBY5m}Ov7d`h!Es*yJVd0p{$YwsPLtA`K5OZc)~1$ZXW3aDEy?cAWYT*%OlndP z(ak{@e9pH%d-xBzd@?tjnWcGU#z(X`HP9t->uZo<>okR@3YjPjUP1ET>hrAc?UZ4Z z>vE-Uln?odC8{4h|0<*$=k*KzeZ=1=?c%&>P50_G-BD|kpf8iWuJrJ+Izsy+g8bim zgX_irIyjDBY^ogR=`WEXXz~wlsdF6u?Mc7ETE{7;->!FHKN#TCqx5d#6q|kbtOiZ* zOV)HQZ)(n?b}NWR%MYYWoO;rHk<-)t6;a>0rZ4GezBJh_EfRG3hlQ=hXg8wf4|;>1 zpf|{YK4WpO-p=c2U$ZiQwK=2ZNB&Bj>RnoIC`Z%~?JU|=w6l{zoZlGBnO7jj46U?r`6TqJk+wzG-f$@f>o)6}^7d%dA6DQt}WnDNuj0w2^@ z-~=>!tG)HLuA{x96BqLcN6U{}7Nfp?!faw2Fg#eoXa` z@WnY~KO5~a^a=gqe$adGLa%<8kdM4-MRzOcqK2d8ckd_L7%kkl9l22Ey~#)Z{)GIg5K#yJ<}y>Je)kyE5)SV;Ub?N-0{ z&V(QGWnzZ&2>q@DR~uRcECLpR>pU?@XQ) z&j4=U!|hR&BNdlljmzZOI&gp7@BSL4elO08>c2D!iu%dm;`yETaz;x{CvyBKD)Iu~ z>GM~<;=zfr)1}Ka?}3}`Cpp*;>!r-Y&)7fA%i2 z4?b|6z7eDR;#hNJzqqY)S?8Lryq~P)L;2wl5T!)V;$DF9F_dZ_5KgJGm#?Id_h7=k0AN)fxp9g+1{0u+8*ex^n3w&gTreC~$ z$5oq;ZQSuNhtryNJe*M9*OmMe{V(I^_shfuelhUdLdx*-i=Vw~wbD&>{Bv8^WcbA_ zXFG|9-k%^ju3m+Whkg!3F881aKiBHV?AaUBa;BEadhM2#DN}LSF{kuAaJu0K(%&6= zM1~``tMF?wEbWwG#T7Cfx>=>~RpA{n?73sR$G#yK_RZt)nMbBwOB!#UGx=y9)RXeN z_kPzV-Z?+p2DV-_*e*-y6!2sF*6l-5L!O*x$j|Yxk3#7)@s!o4t0~}bhAstS&?oQ< zt54MPLg{n(39C=&$Ze0q>l65e)~9N(3#HG}?^%70MxVehtUl527D}HzR-djqeSJf& ztC7~OtWeK0h0$N9J=NU^3b2(^*FAJ(60>P-YY%JF8c)LssIOi|g8(E-%bHiZ7y&(L!N^4Qs4loM}I% zJ>^bYzc@EW*>R3$yq4M`U=f(e2)KUno>}%U;`gh;`+7RG7tc}37Yl=BuwTscDA>pK z9;x(RD|DXjQ_c4hzlk=F0)B>{U+lgE=RSmw%rKW{W7<>hwDpT~W0W1| zD5h&^EdmySiH(5f7at*!@Eb3lOqYWEV)~A@^20KbeC3=9-KSLq+5O`EGR5d;$Or#_ zd_VDnUre9Q2^ud>=PlfLv3{%E@##c@--gT168C~{QHc4)@H71UV(Ig8p1BY44|Dm& zgLWQ;gf5MrUw^ETs3`nm;5S;oxM92eZesZFK=F8S+0xcEE0<;FF4P%ewtjX0OQF~^ zh=dJ}JZAmk?EadcePy1resLxy(QwVMPkX{5U=gqg1R$XK#mcer>l>#KcaUF9m$(m9 zzF5F*Jg0;GVkSG#Lz|JH`*0iSy(i~+=bT3&@-Ol%=TVqxeGY}Y&Q4Hif70_Pl%L_} z7sDSPjb9x5fE_P(VP`w@`?(Z|!7m1WqxFkRmqZ^g?pT!^%|r@eKCTU+WRA1mjYf%a z9d#PENx#^x8xw67A)<$79BD?5dpzsD1zv3)!7NR?hby2pI_`Eh5j`&G{=k0b$1CnUhKlC`6b@IS0hnT_{G34$S)4N z?yhf2UVbr8r0BRbzfFvVOYHYdydkr=Va|wpI`S<$UhK6U-M5EH-$xyp>is?{ z{0u+87`VxPfnj0Hy`kwBo9pg+&$Z*l5;NfDwObccmx^QXi-BK|Uz}^*9n0BH@}PI~ z`=~+pcXiLX@g4_zlNl*~7nFIp-x_7vKQIV-zAydVksr!%=t>#(d_;w}%CK~!N?$9( zfd^zba)S&Zmdnlib3-od+kel-OuLqH+&n|B8TQ%l(`b^pNK_R11b$)liF#frefB+Vzt`t#%hV&%E(K!HC-4ia zPqf#C(r2&z9yL@%A@pa48i|TRpTIA)K2^V4D1DCD_EuAtsYj$W5<{QBFRVV{KNU)! z!?u2E%7XsPP$Mz)3H-w9vq$;Ch0yD1BBuYyDeSTc#e7b}0~pKLq@8=@a`G_53CJdX$dtR@^q9F!252 z9r;L8^KeT)E5}Rh`!U!^exb!LKiu4Bm;8*_a#;i{0uvDd?hfU5py&mo0D#{on8#bW zujfJL&An;;-J*JbCch23bFN3>_T1t5f%CDir;(1duP6Kr_`lE~FV`RU$GuhNNnguDsd|VrH z%XX(5jS>@ev-B$K7fbyI*;2Uk)-Mi+Q!v(1OxMy{1S|p*8v*VK)%Q`SF{l%5);fkD_9)CioVG*uMyVhM!-| zdrZkA?T4wdyXjnhal-C*4-hE~>WeiJ6@_06{6^~+_g@rsJxYC3=cUP2&dd`tGZ*fR zFk8R+aaTBglSvETj(Uq&ILh0-tnT;cuVUB|Cu z0QEX)#*qdc>@5|yezA*%G($aY#xwDgJoc|ez#?D~2!nv@7dw+2u{1ifpK+Y*^C-Ao zigIKhFZR2?CSAYRF5wqPTc_>6&nNp`ypFX&`5AtGvFp=7ADN-)7xOyp;~IB7%wcEK zj)!CCTff+~HM74(+ND4&?H4Qjg8bsJ^C&8o=i?WbEo^E|mMuwkcOhR9!ocz3|B4_) zBNg?u;-_{Vh4h7CJNbon9z}k*x!7x#X`>bai-1KSD+1gds`6FF~ zmxO~s2|16Vn(``ta(=Oy_VHxaoVd{X z#lT$R$Jm)amjW^P#lUa0esSzyQRf%e(|B>&lGdiq)yPxCP?(Qj{rE~mL5f`1-ayLw z#hLbF+Eebd^^0?3lpW{%jM#En1S|p*5drQFbI&i%_I*?mp!~0}_+|Htv+en*?#1`R zPu!IECfqswVv#+{Yl^<>x*RxZ>1cj2{EUM6#b;W-SZGZA3F?bo3dGWWvBGb(e(_*O zUgO2*C)-xEb|X{KLg5#??;Kp_ue#AFF=6X{-?n~nc7H9%#=PgPU+l#rI;K%f*V0-9 zECLf80UAQwIrqB{&eU7t=GqDE7N=E%NSn4?n}tFLuZJxDVkYGt4z! z+-v<}30)dLzy4SwQBnBCz%O6FnB{CInb5nr-#xv9P`dTz!PUL@Jf{xHJmonv)ssTP z-8-ITA8Xv8XOr}IOSj0d;o~wK`k4$zu9jinjWQg#PKG_JWms{O3~@Iux7hDq$%TE7 z^?vsQ&)NM3fqAfR=~5uZ`r-ZVfgjtq`+f>ks4MP%_ppyb>9hA&R-ZsWAAJJ9u=+$j zFO)t9pSSuPjXr^2Sbd_sE|fm|tUg_J3V#f3q#kM{DvI_N_=VLc`rSh5v*(w#e!AMy zb*RT(3dEpK;1^b(@Sh5$&zRkR87iVs*P$NQNK_R11b$)l2|u_{`t19Ot)H&8bRFt( zmjW^96ZnPIC!S{trOyhhPpF7OU59#HBT-T46ZnPIC!R|SrO#HYPfc064)wT3V(1h2 z<ifk7?M+?XZOvu%$>klLD>HMF^Gx8pyZ)T4z64^tr*xehFR|~(bUTS2 zxA;ZJ%-inz+NeEg5wHkY1bh&1=iT9=@hU-_ek<3byb~Gm5A}D8>31!hXY>6cmyFJd zy*63Y+p``e6`wu3i`KZ@Rf+xXjdUHg-`bCJnt8Cl%3lkF_UC4rWrXvKjl1PY zPN(bmffPBH>$FEV@2-4?civs8@-zJWVzu>*cyyLB;jsW=9| z82IJu7qgu0BoBHw=iLR}-_JTdUzXv(S5eqr?q|EW;=9JKR5pdt#PKQq)wR22FIeqr_5qx|4P>2t*DQ&X0yN2E0pL!ZDe ztUd?S^Gu=i*=pylYs!ND%upjS^a=dJ>J!hU1=FXU=d3Bq)FaXwiK$P8UoL%O-rexW z^L)Q}c1PQ){5JLw!+#h9)JyU;JrO=G#_~pfCC5wb`!U!^exb!LKipj3D7@iXN{fI+ zV8SCn1M)lN`^Dm7zfM|vr%0L`_wN_`4)MNUgr5Qb7jIJ-D#T@9z;?}ji8svkeld2I zeZMF%18#o(u}gs%k2mnVJK)Fuuf!U1M3{5l9n0BHq|p0VzhCs)3BO+~t2vUh<{4X& zX}$W1uP6yR`KRJH(%cMGM@a@*dz>ddGARQQF}C;X>E z=`-GUtH3$JiphAa`~$}7UvfC9yb~#CdTmkUX|k|_Wf8; zA06(z#V;IAQO1U%7_+6d2v`Ir6av&0+V_k3YJ55|;s+UcFEbYF7kl5~eZL4l1O6}k z81H){?nC&<40F9-9JJq=mC&W}^Xrc_5*6k5Dg1sB__6;xn)i#)d*=H^C;d)c&jsv2 zKZke>5ei|O@|1kPc(ll*^Bo|kP}jL0*GN#Z}q7uOV^7~OM0=gTJ~Q*8pBb>@qZ%B# z4)wT3qM~STfnR8Ss(!an`b^mO#hS8o9qMt7#Ly@33#(7~PleKF%&vFSl%?xXk831` zK7n6oeJVe=Q2HFO^XD{W={nTo8i}D#;1^b(c%CVgK1b~Hil!`Ghk9HiG4u)iLhDmK zmljH&rPjaIl%?xXk831`K7n5@ed7IM&u8;}zu4W`acMF$Be~CrAr`;!n6KyN^`j8u zJ>`Fs<*@I^bUTS2xA;ZJ%sWoxXQK9;MZh9p5%5KTx8O`x0-M^Znxc?fOND8F2IKk6jAHcsx+NUsU+9|2vxZ zi_m-K`$gy!cb1{6d+&Kp>F);FPGFz(Hni3WxqfjkLu~(x(%&ur5Ba{Zb-D}_2dejn zj!OSd(zPBS(}Ty#F!nAPLM+}#K`!ijtluvt>~~cGIfZ_A_YN2O2T7gnFB=Y`VeuzepjDt!XKu=+%MoxeV{?D|9-?0C0^O7!*?_=VNyu8zx>Uc!QFN)z>T8n^1V4@?i4*`&h&!E4# zzQ6cBqQUnUGu!njIMO!>1IV`y+#lz6CGNYK3_^YVmLB&I`n_*qnSSRzj1d#li5@?& z!ngSRm9NZaoDR76@hbi?c8K$N^__CxbAMF$#j#uR@r%o9I+IOqrlQ3H{o;G0 z$pN*fo2{=}zgX5o-F~9StzR4+GcWEiOB-4QECLpRoCr`4F8$P8e(~tOORnc5*e_-( z>E9L6q4T8Ux-XoLo1|u%x!-EPcr51K4V~zncLzVCP=0Y@shxM1wlwiv;nyF#6o|nu z27dYa#Vlt#35DJ<@9wE5pQL9jJ~%&_N0F*EOjm*$q{yaf_X^*|xuZ zv3~fwb6-9ds{KD!J{cgeZY3G4x$};tcv_@j;Q{fj@p9$qZ z6-u8mI}b!t7W8L^8i}D#;1^b(@PiAb&sM8XO+^ZPczk^_xjMPZnK^S- zW>#{Y34Fi!-?=#buZ!`X{@vwxiG4o?8_6%U_~nP2J67axr1q>uz#?D~$V7m zitkw>N$D^5A$(+pQp1?vmx^!SanhIBe=Y@LJRZpN z?tmZrzY=T65n{1{GzZm$9)-P^-CaPb2YG>23$i6VL&tafne4kDgJ}%mX z-b1WkoZVjwvN7*@>lb_Rh>mG^!?lza0gJ#yM}S8eQ*rirabOG*X%2ZW*&x3dzNt>1 zJ)Ye!o}8UI?GqDO9QWQ?$BP-;*|WRiyFYtZrCOJ5rX!6P!_O#`U)+1P^^0Be3+jtq z3dGQZqm?H4Qj^7V^Z&UTUqy+0S;huAbk z0>UZ2=TmXmG0XnGK@)pT`n%*XQ zhCYE`Sbd`3EtEd{ZF{RJOV^7~O99I5Qq4YUq^{FXK*P$NQNDO@fzp(m* zA6zJX#_actG-c^J)Z-e7p-1s=$OedPw@F0sXc2Eun1TLG7;dOaJ1h?adN(g z^WHc6ygPS&tCvfq_+IJX&Oh%?IEcXR` zWQMukFAkn>-!Dq+fFEOM{#**gSU=+XC<;IJe_cCH>ml?y?V;~R_lqwrJDc_{%KH#5 zG3-f~@_?QQ9~U-QKFRvUnf7DaQ|`3&i*sX?9p~_dYbh-P7J&(m0Ck0_I88Jw@Vq-6 z=U~5>sr>q8ja&JA;!HYj@|bC+WF*BiC&Tgzm7Xla*qhR?@2`^S#GdN< zh&-RDmT8v72cG*N7xo=>-ktn5jJrSAUH@3Q`GSp)Y&!O14{uu1wrX?YEc@Ii5$C_I z&b@J$0x{Ol5tIOaY~Sv4xTH#~d^fc>Ta;ZvwyRs%O1`=+&e3}J{`R%s>}MJ(3NYb9 z;BSVRK(KDboJxfs>oyZc$Z_?FI$tP#_WZ``)72L6H$#^KG3XQch1KVvYP<8-CvxB) z4!mUb=^|t55ownKG3XQch1Dne;6mwh3#(7~#D&slgKcl2A_{dK>T!)kMWIjN7gnEx>e;4H`b_-J)=yVk zx(@ZYOMw{l3H-w96VIrH(r3A?pHLBnx(@ZYMxvt7C-BRqPt3uKT_VREqRhb)?;6V% zcdS{_nwgQ@XT)QaJ1*xkJm^NF#DqUFc(^QweOIR2N%XkIFFI!4dK$%aEv-esA~3-b zpsvuqYs}XMrV}H6kb!a9v3S=wa-{cNBm4~bzwl%Do`}o-fOErri8svkt}(X6zKfBV z0XM(?*rh;>{a^8|6onuAzY=T65n;|bcr0f-kwWiy*T~%RfKFxVwsi*z9mD28rH7o4 ztnV84VQRgcGOf!r-zyFOMZPoatyAw17s@a;Lx!!}<@MNa6x|y#9NtS^|A$QTo%rB# z^By0N3;Q1JyT(WRIyT?B9J|okw?v%(>N?lsE(Kz&AAZ*e{Mf!t zn|SKUJ>$!l|6aUnylveDWS7!bpa$fnqZUe^<<`H2iYU}| zsK+%D6@@;5UoL&(UE|O?Irb3#UE>)|mnS=;@ABbhSq!1*<0!cF5F*h+G1ikfQHdfeg{9W!q|jb^--+9F^Pn8*llPl)|KBo6L7D;Jk+-`Qe*eVvrc6%-3z*SK}y z{<#1C=4n~n-==@`K2NOo;yk-+zx4NRf>to|I~TvQiHmvunNEE8QB;hx!?%#{8iimn z?k>NxWv0#jJ7m}WB=TT@R1qH_h0V1ukAao+I(!|j)ys%*0kf{ zSc82RBe4U1jG_5+DG+1-SG;Rf__6;hv4$KG=6u%(zqt3I$bNC#iq>x0?_(~q6g3oP z3wzR;zojR_$At|JUnt8_H0Cu=W~8XtwR$&ey1pFexxJsL#x+T;*9*`x1>!0Ce%~3! z`aJiVP8ThXdms$Thkjk&w~+W{>Rd1D&V(QGm5Gm64}RDAoINZ876FSuZUiPddoodc z2K||&I`YhRGW+*uWt)`yUM@v;zu52o|DU~gfv=;w?uCzy0r7r=cqBfO42Xn)K|quM zmiz!jVji#)uiW#nLN*OnXQE%H>`v`N!kD(EAmB&}|5Zh!sSe)*KPiK$6jwHMO# ziPEI^`i4BzG)+jGwjxc#xBh$W|Ji%y%$zgl%o*cj@6m5*t=Y5o-fOS_o|!d!&+Oy! zmTb&tQYybc>m4^@QW*z3F8=wqe@9|=Udtov;29h3@6oOmof@PqL)>NFQL=GHQe5nO zfI6{N^LSi*^3(6ycg^SaUk`qn@Q(2Nv+BBv{~Ue}OHaj$mAKgYFD))!{{H(dHiP(0 z;;J<~7#DXw-e_EW%gFBgM|YRk?A*Sk+Po|&sd4damfY1k8W-Oh#*1CQN$N}4(!zLg zHb15Ny1Ijgl;DBjf#87@4@d|(Iq;AiEcW+j{o2#xVrV7Bv|jE=T+H-%p7=iW4nkaP z%!!T{OIv^IPgj8u2UCX6ljCBxNcqGm(Qi{0Y@Cr47rQp&9)hi!$K&Goc=1$NCrn*e z@n6#Y*i*40<6`j3A=YW(+-1%(^=R%tK{aMVv)cQ7MMTT|o zwBOjq3Y&U^#=BFuEAQQ)d~T!iu{)G^yjJA$Ra@;cr;p0psDkA*eVJjcXwCDXt)DkZ!#rDeyh_^<=FQ@LrLq0szsCGkJ$97TMMGNfK=45D zK%xg^WHHP;CVD1AVwdQUsLpZPR;-EFzbrcM$T)-XuYhMZ)JZvMzEQO%2kOo{ZVKP2 zsITxp?OyCOC~;n9%K8uSubZnwIoKxaey4(R4u~dk=e#3w>!xM>=Ewyo)0XRFPd&%+ z#%+Aw(VxG(IK#Z-(XKcn&AeLU-I=i~pTTb)&HX>h$3A5FsPc}Vv;0BjGoMl3yHh!f zMZ597Da&R5Zgk!;{`*_;dB>sfzA0TUys`ehLUPc5=->Lyk5`=ZZ~jiWE8ERYv9C0X zZM`|=j=RIP%c0R2w&oi<>%Zu=OH14^`>T~X<$v$JU_j=Vc})|p*Cd|9ycRTzbGwJxB}U4+X?(vzf#u$HCg7WzNVJryhBd^2;()_FDssmv5LZTIE;yVU=hDK_f%qd(tnxpw6Stwc>dq; z4v#}CjwAP-1|?o^hpqpz;>l;8qVVhwbIOEBIPr^fPsNJFlm5$!C!bx5!gD6XLmpUh z9J%kQ#PFp5>fy;b$mu?6(b z;J@bl=B~Y7&kSPlK=45DKur&vE=Rdmp3a)c&{Wk{d#$`uWiF&DV`4)3w~tsW?+nQk zpY!mFL0vwXL$I0OhatghL&Y|<&P#_{?yXBoAh9rx>**ZipwzFV-#W(kYpn;uZ@Aoe zAma?izibccWW5{{PU4C%%bgsk`u6cPVH}@0x_j!RVCa+6poHfcjN?yP{~`WWUqb_V z4X--~$+&pzv4-Q~Z4;%Yey3JVv)zaNiHYEU;O}IYO`B)lqj7POYrR#Q6vZm6l~?T{ zreLkXYRnH+|Isd3PdSMv9cPOJ4;}~}2p*{Cfv{FyJrC77-X#Y~B1YDbE@G`b#u-U* zv9lBR3T)Lp9v8>g%9{#nNUQ5A{!6>>dTJt8;^I1MNM~IuZ{nVYR;7qVZ6B7Cyn_vv5$tt z;DO+Q;DH1W;CAT7i!qOybZ^A??W=__=BeYd?mE@i*JtA}QOLBVj~65RIkn4>-*GYZ zq0UOZusLPCxKdiS@#0BY+qdVsQmH(s|nh3^!MH~uxISF zRloBq-@6{Zi|>o?W9vt=lqg5sg?IWHXC%eNtXJ_6;R za3*CQi>FNv6gl2rjd|3bLub65c2)bQ+K1F7N1m_+4+IYc57hU-QQ@~$>N}~12I66o zh=q?AGtNkgi=COc7htRA@whlXUOX4Rbye3@{KxyM&pj0@R^s9o{kF>RH=Bx!caQEV zuiLgqMvXU*J}|mtynMs%kv;Mg-C4HOxcKu~a#!n^KDcmy7%z^-Y<@q=E{E~r?7m9& zcXbC1DZvB51Hl8y9>DF;jTb|wdi>zv{pKL*Uygt28}7G08O`J5|G^tCcE&L#2It0c z+veUcW+_q5@nXgqNpUgjO^S!LwEAltb%gheJ;$fri#-)9GA^e7@{SkJ{8^)M@vVEe z+&5ZUx$=r?b93iWo0uoRJh4v)-h5SZ%96UOaba zh>MA1`u)~vP-0w6|K*K~=f2u-Ts*p?RK8vQHM^z8#edXXiRN0+F&^UL^nS!^V|^at z;`%C2YsXd+_F_=dQ+SBT%;edW0y%h>4T0C`-$ z_I~ZSIH`WGJTLY(@v%7+&lBH=Qnt9*t0{xnB|0RkGd|^b@tME%r>j8l9cB2=jvvRy zi&={Dp`&8I6=ma$q`26%8TSxu)x6$#@zjP87pw2+zoh%I)1bt-nEq=vE=D;Aq)_6X z_FGY`m%P?@S?G`P--_z%`&~1)f&42n{8rR4tjO}24{N+T^WT+s%vgS(^0CL256vo{ z+ids$hw`aUC}*)~xB0CoTQ2)|qrVkZ?{{W8!tcz`<-(KtHm@0;nux#$ze_^@p?~u_ z=QLTKTUV0(qbNKl!tate_cwtj{g)L_p65m3IrmKX9Sa92&!;$d8kBf`(tnxpwAbtA z@uUUGd?uWqo@3%Ta^F+2BJrgEvf|16Zc%v7hToa-z#@Ke?y1D^r2n$w$@r-#Jm+6Z{Z|i9u6Muiy(Z=tH*ecs+JS#fZRWAqy}Rkk*zd$fBr}#b^+6pk z3G-vTCpX7~|C;lgyO%c7b%Sp3K=8m3?g1Go*7?3Lzu0(B;M^iOH;%ivVt%pr=;-_+ z;|#{X0-o7WCuO}CAQ|_PD6coaIJ7a$FRIVzx1{^A)1ZX$2K){b{fGEhea&(VulqYt zDCdBv68HH0BHnRDN%75LA3vh~qSpN4#Tn)ojfz+F%w9WB_%Y=RpH|-cklp{R@}c)x z{uX=ubIPZFOF4@~yUqNfEtmbf)$@xB-wxw1^toOiviEPyFVcVYp6`g~lFdyXs)i|E?UsgOBKNW>%Z%CKr(PT8 z7b_j6!T1;Ri}WAj-#qh+#63R0D1E&0-J!G7pIi1*RYo7FHNSYQe3&eA;c`AP_CUVL z0nU?S?^yivw%&U+-d&hb-uqL^hkn)apI1Kj)5;elO;U%lr$;>rF|6rQtT{=x$*jwAOyl^CA%UsgPM zo)?AZLcr4li}=O4rxL@H{>zFduh&K4IUUB+Jh0+8a^F*l;Yt5x#?#(+3&Zmt!+5tt zi}=O4)1bur=d|@-Ry-L$6@}+Qh=&M~@Hmd#_f)J%Jn6rzcrp$y3eV2){h|jJ@r!d$ zC59*cmlaPw&lH8{e8AHKD~==gJ(U=q^j~H??Q>~Scy@;Ob3Cw!Uz~d?F+AzNdU$ev zapJj#=NGr_*gRI=IKF%5{iD@(WJpP!U;ILb%n2%HesSt;I$jdy$KrjZvHjq`#{5+s z6D9P}kQ6)+JP;btSP7Yit$BLa_j9%ByOt!_Oa?UKiCZo;5c}4O{p2Kl|ap?5u z{37EF#=rCxf*Q(tPk>wC^C;IjFZ^bgrdR!*R`{J+b&d5;_5GDT_0&Yfc!T+V(fSYZ zZ=U%@;vS!0l&UPI(fS?s(RA#cSDh3|iO(JCK{vmMvcDL~Q7Vl+tnqH|JCt|)lJcQH zw)_9D@~Jvm{z_o;=SB!!xXpnh#IwzpQxjdR-Kr{Q=Khc+!7a@$9ho-JO$;8;^(RzpQxjd8Q~lhrS>1 z%!4QWmlaPwmllO*$G-(U^WaJU)x(qXi$fo2YJTzZTSo5Nv2E*HODk7i;mpk@nfXQY zFQ)&;vHgs@X1r(NPjtK_%#WpA)3c=q|7G)&8w-l-XY92>EqEY!Ab7z1iWYyDTxUd* z<|^?0)2oH}`-{=*PbqQEBi*^_zrVPD_IC!7)-LzTLR}T&_gt_!Wqz?@8(NptHm~f4 z#V*M~6488H#@K@EqxSXf894sw$F8-%w>S{nm$^R7_ob1V#PNE@8H|6~9@OdlhI<0G zYF=-CvE#1r{i6Dg{(}$WE*+|I#R;7qAug`=Nn?J^(q)5A@IdfD@PP4vgj16P=Zbi!eUIYC+agH$ zF|m#NG?qV9b>?dG532vVXVwdz7hX!}~z=d3s#wG$;Wd^dtHY{o6fB=d{g)L_p65m3+5f$OXC6H1zpQxjdR-KrL*e~s2d9b0c#ZVAr(#83 zZ|T3Rc=Enm6rPL!63$PDmXDz?I}J+2lm5$!=a`M3io&xu#6yHgxR0SPdn#5Wp7dW< zJQ)WUh3CRQhx5~+*7(@SOjrfG06(=KQ4pvf?>rpG%9vvopj) z4$da7xAb284I?|Y?0jI`+eVvR^UgdLyRkUe+&L#UBAKzgslU~B zg!wW5I^MV({MWe8qSxIqv)wq{LdA<3?xlQ4F6!jVXmUKUM8kF#SitkbAKg7Roe!POis;3{@Wic5X`0)O{ z*K2+r*Lj%(l1SX+^NVuG=GUD3@33cz<8|I+t@^Dj%^6+q?k`+%Mw8Md3LX?w`5vr2n$w*=yscqVVkf_b`9q0B!vKN&jWVlW}lScus}ut%I|P_?G_5 ziYK3Eio$a)yw8yfPx>z_o_sDX3eVvX-{!)T{;P*4=NHGW({Y9d<`;L4kBpC&8eiv* z?K1O=<{wim|FhVB#$7YsGxS&5jxax#c8|`M9{iWhPmPQXW$ed6EqEY!;E3}8?u2>Q zyTdiBk*)T6cPG~_3UyWJNL%lYaR%dG&MN`MR;+h7ba$9vblorQUhFg|aek5hL;Ty! z{37Gx+2xJK#Tz&89@#Z|`R$`4_wQ+D8EE|+Eh#Skb2hRuZmtQ7%ln==T?!3xU0Pqt zmLB|<%}*gNZtNrt+Q9?C14$lmaq%%L^iIxL%h$#`aB1s6_x1I)G%m*4dNsC6Oe{JB zhk9Im`g`vg*fVz8s^9sQ?_G~|pyT^;9j~zlG=C4)eJ9U2qp-O6ju02SzL$0{b{do_ zak2GZ-ne-2hQ{OKz1w$jvH6f#887+XF5xuD9M@T3jstYiV4Z6qkD#9kOxpqi?y@_`w7iVzB-` zim_bMCkOQRQR4fJ#l?&>lH%f{D^9{a1Y0$)_dUwgmJk=K@94jz`?1rY#JHIL%NrL@ z-PUkiyzPNq+wqfu&2FaKCY^inweB)jVESPHk3wABSp1gM-zEn_T%7Ee?7q#|YlB+w zK=45Dz#;a#fdJ%`+Nj`u>yy#O{ru2e2YOI!RnoXPz8{Z^lN-5ErNWCYyiREdvi82p$L?sOJIP4iBC8i(ze@-*fO|Vsr0B zop51U<{J`kQ z?xtQWwrwzRv3s^+%Sxp$I)OIW}XJ{kYv*|8bdQ?KmK5nJbS5En}L6)ZP ziQqW4sGh&?=6ZacyfW(~PqV{6u5||g`M$6AkB=RWM)&DwJre#04+IYc57hR+sW?2) zBmb7!4~3HEQgJ^?e~+Tk>rU^*> zlo%6(%NB`?G3FHi&Mv+$zK@L;$M>~h#>I>?lHy_=3xhuHA;~-*7aw^3-TN+m)APR% ze$L4Azu)oZ5ErZO=)a`wN08ATchc|C)`9QO*G=kGRKw2kMcBA2!cksMFsZ_I|3$XH+LeQj&jf zO@9Z9=S=)JQYX&UxV`fS8uw0j>Hh3B$`@aueE0^Neu47NH8%aF%4taDxzCo%{@v=| zftm@w^GlZtPwLyeW_W5M0w28JM*pFI^E&4=S)N-zhy9}{JUhM@e#fHP|HOgZX;31b z^j}syd7c-A=T!Jz5<(=L_{F)WVnyOf|7FFK*XyG2oDRPmA$Ra@_D8xJQu?G>46o;k^7!X3{UzmE1tvlxwI%ehkg+5pAIeJ z7w1lc60f)PUp+iIzc~MAI?m9*dv`lV?jJ1=?c8~PlkeV{$KvW|<5KA_*_g(-8Oxi0 zCd@CU-=E|CCw)K6FQ)q@n|~YYyg@s7Ab8+N^#HClxD)cdJDq(>nybJX^l9(i>2;|Q zAJ+aJ<)qq0abD5zgZSQEqE%gzN)oZ^y*v1(uWt{&J-F7+C&u?1dhd>L2IF611r*&o zQ@|BlHLp9rcz>8*RNv8mN%v!?K?(8iO4*sR{zLq$zGgXwugdz~UGM*FI4<6~b$oP3 z5x7DxcYj^o+$<@k4|blf?Fi$Y z>^sfz;J@bl=3?h8T{h?h4+IYc4;T-~{qWHI9>v_Kt3ks3)+eKZ`}yJcJxc!>(eF_h zXC%eNE~ddf1Y0$a$HnpQwCCO!#*5W=^k35b*lAE=TulF^#l`i;i&4%2DU`Uk;(L^{ zXk-e{@;%C*#q#!FukmhYukzVTl+T}|eEM4D6W`GHC>Bq*$FH#bzcii2qTN%{fmts5 zcdNfg=@0MI(&fUF`Zli_o|=fj2j8R6f9T)U*JqaJeUD=MM^Si=h3`>3py>ZN_f)J% zJn6rzc=9|i3eWz33GeGUwBk5&-)T@Hp7dWe6mlaRmcZA$RaGJYxw&(80M^V0#!>ua1l4NAP;(tla;WE@-+o*m)-Nr;5UapbVwjpCm>ipv0WGo=2X2$a7S7|$nd~YmPuj~>J@bLR6 z)&6lQ?e+UI#1-~aPU1<&U7LdxJPaNXZWIoR*r)v(#lof(ZI?!7z4 z8H|59rx+dU*LXnh9q^OekIyewy>}Pp7rm~RbkC(PJv9;We2VW;hOGY(|0aEplJ&j2 zjz=1ei#Lr}mFc zl+^L!zhfgC<0dX1ezCSAd{5!`pT_0jzs7wQ9aCVwJT$*YNs7+} zDco;;GT=e?aQq%+?%e42D2y|b;$r77+(WQc^SW{IJs~bu-_d{YVVs->rAl0E{nu<< zjB*Z0p~OA@J&GK%*s^Bj4*O_2_GHfsOB!7>>a@oII{XNQjSfAEIG2XAa@2OZ3{Sx1!(0}OP(K#w8 zy8O_`_eJamG^i}=O4rxL@H{>zFd zuh&K4*%_|49$0Z4x$mjO@TC8;;>r7NQFxAp?>RlNh+mw0Dlt6izpQvNekuyj-hU3? zqd2tUIC9@zFdZ^-^V%BHcs58T`2Poo%P z&0}%(vvH~Pbak_`q?qxZ`4!rZFh3UWD~;_3|25{X>U(IGE*o@$2Z9HJ2jBtR2`2~e z+b7(T<|=BxcUMZB^I&<^y!P*-m@j0iGcg5qRp^NOeH0sKF#aW0K(Q6?-3@IH^NZ|g z)%RB3o1F$FJfE8HQLO(E|0aEplJ&j2{x>%q7jN6q{5RTYh6#z;pV%(&d4DInY_18j zr-ZmTy&thZ)#o8DuJ5DTe$L);gJ$qR@W2u80l5_(jQ8$*Kc~mV(9(Oei}L)OB3EK! zE1Sc}b2z?tH+5bq0R?X|acNcyiMO|0%U()^9Q?VlB;<-A%k5Vr# zMmYzhOyVAY@9wE5*IR6(HaP5~>Da6DJDhdjyF(c#=)ZdN z9>lZX_K%|QocL~dZ@?kdIG*%hRy=v07lr3cm}hoyHgSH^e_8S5^|~-T!+Rk4@U;HR ziYM>8Md3Lg@XUoL{g)L_#!p4z*%98O%!McYml;nR2N#9sbXaFL7oPNARy^nJ^Gs2A zE{5+)a^Xq;WyO=vrA6V{`A^|_#R1y*^9ub}4^O^#H~pB7Gc@qt-CMTp8ET=x ze(}q$;f+XUyl48Kb=)M(kEQjQZ0W&&+5FVV*ia*#Ip_ut1P>fh9+3OtBeF|CQ%{ENi<>4v^RPSoFG9Ypns+e{^!8N7Ve{?0M1oMaCJ7 zf9Wd(HI((<0O!HyQC@F;v15C9?@oP2zeW44^QqHMN+b?>+w`1N2xS@na1t?E0uR%t$g@D?7ZTl@`)2Q zeRiqx#iNx^AFG_jaz2XXvVXUFesLl^-_hm5llnHV8J?Pmzz6e-^k2Q_JL1XyQ52pt z0Z$L8#_^>8vf|0}yeK^9!gzNsJn6rzc=CE(6rPI#&s=!Ye_8QdwD;Yj@Ei{7K;*)c z{>zFd>B{>zFdpJxig^Z76zJJ z&R-mzUu2xY_?Poat(spP8VU1@l{2Hk_}8rKVf}~rH_!YcagWb0HuL?W`Ncffs4A)6 z{30@JjpZ6X&CU~^seEyx^7&WW^gEPy-llxw7R&EeK6|@z7R&i4mdpO#>iNZ~ABE?2 z`n-`ClJkr7U%lr$;yG>mM^ShV{V?E}2T%GhE1o>hi^6j_jK4TI8@&Hu{-6HKiYKqv zMd3NUi}*1{z?DU!;|xiQ{U8ahKA=C*KFUr+Zo!3lsdool}1W&x@LZ{|FznVFh7>oXR@US z|7G)2BV$9Zs|G1}Ab22nAmV{Tb$*dkXAxs%=ZKnLoO)4oevxqo<6kQ68BcjFP=gpQ+Ss7#rTVS!yD{8;Tx29zFv9%tCY_V zE1w=xKKH$=p20YC@82jNyYOnWPm?$w#d6udTRp!x_T%t;N1rzmLvp;E{;T(VM?7b3 z|0oL2{xJUH;A~<%jsDAuC(rYu@Ei+x=E9Ty%Zewj*G1tuyEM!%IzStXCoo@3|7FFK z_uZoK>JA|5zY=NBVJ zdOJtd{9@;d==>t%4935$o?o2X7UmaSUrW0eI}J*lU!?yK|K^!rB<`)4UyT2DB5fwm zGQYTm%9byDTjSlizg0fHJ~ucXfZ}?=3%H)5lIoo?m3S?BA`PU+h>6 z<1Y@%#`-tLyXn7r&v%?(WdA4%pz>fmf*{DuC@iYL$WqVVhw-$&)blm5$!=a{`- z7lr3S_&zEZp7dW>A$RaGJYxw&x!CoO)fm?zpQvN4lWAMg|I$H zE!R?S z3GeIY!jt~XiYM>8Md8^WuD7}Hr2n$wIb-9eqVSvv-$&)blm5$!=a7wqi^8)r%opdv zlm5$$r+uC&3eVo~ypjt~`Y$t{_PMkuJcq;kR=Mz`|LWn%`Nf&%nw($U_`v8$a|@)V z&M*FNujLJhW`1$%7#&Xu^J8ga7TMB+|FZe1k+Go$`f^YW9ta*dvOI98&M&&@nJ6Ad z)coS?CDHjs#u9FRof-irCfzFd&-0@2>by0XOhU;xEJn6rzc=Enm7@pz#;(T~o z|7FEzFdpJ$4~vm;z@bKyz*6!TCeRT8qww*gl zS5zI&oRC!4|H+WM*UmrBH}T%j^Ur6fAf|%nbUfFy-qqGm^RegZxzGKE@gwzlocfD) z-F%kQ`9GujJWl;7w$s+rKO5EOaq3Ul@3>jc;wPf|JWhT3kL67LMpU22sZY1CoUvb4 z{k5x0rIpfurmpyyY5#IjOv0A=$=9x4JMzHj?vcw@uFTPklIuFaN;2>zItn@P)RRxj zSmWE)l}bZvuIk$}sPl)Xt|^rsmln2SE3fYB>yvV^aePkqlK#)W`2E*E{P1&j+^$sm z=f*+E9IH~j`4SqSABT@zg-6o(mGIAbmMp>?aFumpIob@PY(R+va9#~ z%d)Eng!YL%&>wzZ#B)6RMVxyoR^)w${(D?Hib_qd^3OXe;Cf= zX?*5g>W}&I`H|nJOVwYk_2BsPhrxz+pdWzF#7=DM9n|*vO@)fT-%c`YXM0b?&Jn)# zuBpbiC_LfnqRM8usG}CXi(fy*oafYUmS=4XY-zTREcsvuuKS>*^LJR%KP|-VP7#J- zl-*FrM_%{->-&FY%jZg^nXCHxepl`tlLKFr*g$^M;+~Hle~i7CERom&F|%$zeCC=h zUNKB=8;<*@yy#Q%?;~hW=hFwweFMkw4e^Y<-hW9B9*p+#euVlD$o-o8gJKJ?eA_?# z=Du&Q_~5>01fOr-{LP!s`^=Kkcc%VefNAG_M$@48vd{d)fa;z18R{_&DM*9fpWb$Y z(R=XjQt92F(zMA>ov{je{j`&R_@2+l(;j%{Vq**SU<=dU{V6REY0T@Vu?|0twlMAI zHtnpmw^VxYZrIoR-HxiXWy_EMeB1~0%V(cl|9SZ3n>Q~hefEwi<13^g#ZU8n3O)J? z9)+)tzu_g7H2UwR&wX%Jm7dtbH0r@-rok&{2ig`-L%sALeM-!In~?@Rq(Se&yTv}K zmwD+w)XTJAylC5(U-==emucI+tZAs182#c!zjzV!&|ap|_h>KE*#A&3)BJjw#`4f! zrfvJO)`5E24`?6iWg6{6y-cHDP%qPbKQqnuGt*ce>SbT6lqdBvjqO0aOsnWgy-efz z20t^6zCwGM#`dC5A`Q5q4&a6~^aG@!9q5zn8%RStpvQ9>Y3P&C_fgS)brC=9@AhS^TMZpvPW%ZUbL5K%LmK_U^9^a}&+q}yElpFu@Z3Tg z+sktcX}mV^+(MdP2mOq5i*?Y?te58z^3wM_kC5iKgL!=)Fpd3>=S(E8J!gFTc+N!h z>^Xxp;>L3ZY4ic_yL|58eK+a%wd8i#vW?GwsQ*+647k5qYC!zt-U;j}ohJJl3-pvu z5Ls>ODV-xS;;>Sw$8de$v8M#Civ#r-F1K}~1Fq%vnDP*hATRiFRt7)b%HXIEIxmv{ znzu{#N+m6`t8|ITT31&IV`^$cmq?|OKJWAxev(P)DS_+nIrNypBn|d@O6N-YDyxH_ z?G02sjofAUawGSYz{^&Cxp0&PeR!hV$X$lRcSwhC zR~Wg=@C%LHQv$!prk^4lWkLU9`QK}0aQGeRz~?1K?lL~mcJ-8ADruM6bofmD(^Gnx z$d{S)9>akd%0YRQ;d}LSPpMC2#iGa9rDdv}r~`S?4z;02_Gp{7S8eVxen1@rc?1LsS)Peq<5^z?GwC^c)U8e65Q}jV#jJ&7H zfA|_Q{D-~>8Rwn$nI7XC?Mq$8Z`#MYj6c!mpby`$Pr^6oqezEu*oV>I2ZfLhdska| zjqtTrUMKtpD}$q*&_`dm(a2q;n}iQp8615YI_P&d8@bEyR~fmd1paC(uNQuck-H4X z`GdUZ`?ngo%kbNb+*1O--KM`r_y(H}j&l-u;s1?B?ka5(eutIs6#iNx_ZW_I8~Vsc z8D*=!)`k4gfh}65p5t1V+N?HomCzR01bwtm?bPf5dou%pihMFs}YKUMxKEp+*ep0m%OO&1s)z0S~| z@Q0pjUFO<3udGal)5G=6Dj~l%=w-{x(X9|L(Z+mXTeFN3tbyTpQTKwzTcmn|AIf z-zWQ>R!c_}a_`T;U^F1-1pNH=xOKJXPg*CyYw7+Gyl9hFf&{b0*9c~$mxRNnEGYcQu*X^St@ z2Ix?haw4*f?-~DSIZpQVzi7+%>q;wA?RR#1<#;}UuT;lx7i6dZ(TMEy;ZNpuI`Aj+ zruo)S_x0g_=7oRQUf)-Kd*gnf&wU-g??wBq!`Js!+z;$C(e&iDL&w?g`kUXc;%#Ri zt7QYrgbwA}KGFUI9rmkOUe8YS0o7LLv{U`CzH%<`IMRLD`7P=Pr}I%y-|47- zw0y|a_i>C~2i*0+zivdahK}}CKd)b=&1>t6UIUpoTBhkg_L>GO^;)TZa58;s{bux| zI`9MajUTK|RHlylMD?w&j6Q6muc)j0t}T8W#fD1XfXqBNcYK-GRh!q=;e4*TYLD8W zva_XB()MZ!WcCfD`2C7`aK895_5HlQj^9tLPfUJ2@3b%3x{Up{oQll$YMsQw*lBf= zvCwu=-|6_csBKP%bxGce|MfcNuKB)>lbuiE?e%@-%igsja=yECcfF05NnC7Oj6Z!H z-=C0KW?W|fsZQtlh(6$Cmg8d=#ohHEUq|iKav-yuxa`*zl_UM6(*LLfyjZ4Ur**|; z>iFlHo)_={V>2hy&%{}exN{ciNjm<$PwP^9eA(C0^0gnTJ=LXQLUy7afe_!@>;`P$cNcUyhDRot@Gb&f`^5Pb!13OU`{0}>mB%~-uIN3K>Ar8ZA68#ueN25F^aFR^VJ~^34*bu0(e`B7 z>BP@L;;!ef+8Ng&*03{4hCb6ZFKyO-t$R-Pb>cX(9Hjd)u|{5Er1E-$UA3&(!5?g+ z`i6FZJDEE1Hu~wlOxxH}aP_~Y_;R%l>&203S@UYTZI?MOAhX`MOxyf=wQtZK^a0A~ zC%U1pvP|eF$-a)}ayGMGr28`4g>i$&kC*W|`#v7VdN6K)F&B(yVNB_4A&ezq+yvu0 z7&F3{6~?kKR)sOJ4oi~N%#k1+V>~Yw!Wa<7pg_=rKGNYdjNf1^PE0Ta9q1z+<592! z^`Tx6^q_yVrDeiT5yDs$#_TQ;I$3B)Xjlkid*ebF4|#(S#x3^~7)Klx z!WiQ=A?QIL`No9M*0Y4rM{tZA+5vlE_l;IQ)pG139k#*Vn}pC-^nGybBfTsHyV2)> zCC(Z2mp2Ka55s3Gg|-M`9P@smn}zNcLZ5-Jp$C2BLw`fvuN6Wc0|wB8KJx7qLca$s z6*@`?ZCx*PxzH(=V;||T4d*bhxJ(FRqu|&_`gua|4eEZG(3L{)0qR0o=-aU4wL-A# ztwNUy!S`nhbqbv!1WcfdwxXSAFXVMXzzk`th2AX$Y>^jz>?eiL*Wqi}f^!DxD04vQ zEkf|^T|&@!%(I(*jHX*cWtx%uPH9|S^7oc{X!QCp&ry%7Fr>MdQcw9eZ3HD zMtd$3x>5)jP7B>Bv{eZG=3ya}eY~Ydgug-vWuYF_2fq&rp$)$zbgj^CA>aT!(D&h^ zGlhOxXsysDp*2FkA_QNfFT)PhhkEZ5g3o_d2zK2nbe7P^h1LnZLkM=lUf6bmrALM1 zJcTb|C+zJOg3m4xLVrNN*dug{&?kg05xPnUcA!4gJ0^6U(0hbn_iaMBPW+nC9YXIE zLOakNv>Sa3^`JbI`$i%70sc5&XpK;p(9Z~=-;E31B=kNZv7(XU-gAnRKJJDYB z74$Xu5&lA1?-GI!KPhyq5Vuc>aIO$AMw#f(C>J)IBlPP+z#6_oJ*W@;>NP?y5&8|G zjY97hg3tb&&XfN7*zYzQcf1zCX;3T03 zg$9Jq5JEku?_weNpjYUmaHouOlycdVS9mh~ zNFDfeOC24$Snlm|U|ohJ`@@_J9c-IF(Y@~|hr-jna~$?x#wNl$*CCr^3uhdlWsp8PRSp7!Kl_T)!B`IDYJ-}B^IPyRzs ze%zD4;K_5I{G=y;$&>%aljlA8tDbzolfUlC3!eNPPkz>uf8fcBp8O+E#zk+L?3@1Y z$sL}2q9>o~$!B_Urzc&if40CgrgOdia_ok|uii0)}a&K^gxy<;BuWd468Z6X1&&F~!<4*(Y-}s}j=W zdzGjj>hRP4!py}@N*ccY(DHP?Cz|%i!w*ZJjiyN-O-mE|@WqOjXY)qVbiQITlBVx| zMbls(+WX26;VUI)pZdVrj5^|JurHp5^3+$bPRp}t;;U$y$|`-`7O%zayIz57rv`Vcl-uizVPn_{kM z9N%C($m^cmBMRLZm!tkukCt&k8>g?9T5(^KB>NoGZN@+`PJ>ZCjPvN$ZN`1n&Tf;W z&x74&Jn0yd(p?!}MqZ57b=Y+9b(l?}&O71F`av@$sIARRWJZRE1C9ph!li!nvE7wzaovZRCS z*i^US=b7|wGaiXNC=>OoZDmuh+FUmEYg@{uer;p9GX9Ocs9){rHg%~z-Nt{k0e({( z%EoVML)l=bHk8eH@QXwbWnv6+xs}VpyQ~aUd#sGK6;?*tg;oYftb=mEG2RCm9OXhr z*}YZ4t|A|!7sBi zY{pn8YKP5o@ip>Q@}KQRJFZ5u=zw2iesfEP5s)& zvZ-Hf?l$$SJ>8}*wWr(ok2b(>YD3xhPi-h0|EUd%by@UaGkmM(k@in5N9|`nL0_Xi z@c$Aav;uzRxr2Jxm(Wky7M#EAKkyaK2hqoWOe5OLg-?c+Z*d zo$y|)mf-nDpK-vcgJZr-9Z6e78P7*i9WBx36+Lqgt$fR&d8>6)U*AK5j@+NC^7=ZE z5f6aF2FiY3Uk5Vn^lgskg&sDtW7xd#Ybr54v7H-<46{Fs&%)j^u`PZU?C`#RFDN`FK7&R0(Mbs$UrDqLxc+DLq0o9l0W zKcp>5b+Rl!uWy%Mzu!-w!@Ryu)W-&2<6l3oFGHW@sI2WlnY7!NeI3ZO6@4a2_H{I` z`V;!jZdZ=46PH=OZ;#&=U-ot2ca){v^;PUU8?dK3l2)VNR_pj}_v`g#UngEK+l6#r zrfuXnP8;L0ujBjHuQx9Hd7|~YKFvOW`kYSmy5VesZ|G-VCw^|izq*MHp6u7_(y1Hg z%q#7xoTr+Wh*3pHZLH{2Y*v3b`(0aH&8!nCzU(y8uTjvaKc%n29@BPmEz|dbFFSwu z*9yO!sO+vqe!cJ&ar9+hhc?0<>c-``4%-m5&Dnr*sN>h`V;7fMH@W(!`g$C<&A$%# z_4=}}6U8}xJ*3~+FHl=thd3eKmo=}nyVA#`jg{-3FZ()LzAMMIKYpE59mI`~OK?79 zu~F|9CD%3=hJFxcMX@#NT-CfGpv(+3>7^X9C3jWg$EhfRKjg62$l*JO@x0 zF*xYOLU@h`K?nLs$FmA(xe%TKK+u6c(qRLrEQESN(1AYcVVzwf<5>c9tPqZYGY!u% zc#Z&Jd;`b8nTBT@_yW&dct*l8aO@);&p3GgKpK1mpCBEvKXmZibAk|bK+s1zd<>n7 zgz$_29T4=9j%PUNz~AsSbU@I*O6WGBvd~L}(8f!JQ1+k@o(YG9@I3YkA(YiC1m9jQ zgf`*14t2d!=yIVOgy0M01;;+puN7J;bfXaR^jnU7q+ch5=d+uHV8hET$3D`p7lIwI z2W4L)gnE&Nw%|f`rO?ens1Noa9WvT3CxCg@dzEnLK_BU;8+~E55Zd%=Av||OAL*9} zp)bG&w0*r0^r(-0q@zse-Xa9s)>w{xq@zsu_*NmbZLQ_lM>?=53*Bbrb;3`ybdvDf zg~~$EgZ^uTfIl!sKiMDzyIAi=k(US|@5w@&gy1*m0KYqgjuyfOJ?tYLZ2``A3ZZQD z9n^#J&@S5jT9HvM=oF!0A>alb)Pwqt6GGWbh3*o9AK?r5^==`Qjk-_|%7b6fZnW`r zLMRt>s?h6&UM2(`)PwraHk6I+4MJT)@J(3={z5*`X+m!l>K0&Jqd&uT z=t2K1A?UzQ@CR(gd2pG~Jwn))SsD?J^9HuT4%maTk@py(dxg+n(FW9m`c4-*!_sEq zD}`{LTrRXl2>C$A3XKY(KcjAxgYwQ6LR}~a`p9>)5c=X)A(VZlrTc_mA#|M(>Op-d z7t|p%CUmh7%0NA+?;Iic0`;Igl=~{7KA~+wC>uTk-6I6st`|Z*s1N0W&<}t&a7W#! z2lb)f!WXCq<)K`he^(1_5LzmPyvGZ@S*TYCWuqUVKOr4-g3$d!mk7Z|=s_RYpbqpi z^e6PIK_TD-ypRus^XxT3FA;(bC#PKF8;AKGfPO<1w|AY8>NxH>iI~oWT7x zk_YD(Hna^JIA!>nGTKBL=Ky73Mj5`LjJ{2|?8z%U8GfY>`Z;A_N*O+;jB|}L`W@v# zPhRKAL!P|elW+IrO`bgL$*=e1dpvoICy!ZqiSX@KK1%p5FMZs~=z9}ZhR!>zjJ%Up zhR*w}44o+}L+3-D{1H$7m?uwL8F_!%$|&s@4t2QH~RkD?c3%3Hz_IK20P>1*W(Ed>@W02*SYWL z(fu*|J6Llg*?ymT=&m3ACDz5CyDyu5@46%G{kKcQ`)>_&%eGVPeML3CMZLEO=M+^o z%S9cy)__jLPHgKx>C_`?o%?0deh@eK#oveDcuYCQE|laR;=TVCUFZJAS6=d5(ltF= z)}gzHc&$Us^Y0^QPyfTwb?$k+XFOSSi+DeReYZO z4Oq33rx!`9#Lf0P&vqhyD01Wd7WJ=`inza8WV92pm2TaJX6YYYu|A8GuG|BvC&v}Bfj;J67y}e$1mj@ z{!WAP@Q=P1`OT)W!QV08YD}zKYVKvjJM=fw>J>Zlg(XJ6^Ktz~q@I576-QRA+k16& zECe4hwrDpF+j%b6x;8=YNZ5K`QjKp>n8Ke$mCbTdM=g9O{_Dv4)?-Ng@gX?HZZ`_Y zcZ=L!X@tprGMDywO`9CRJR*>#;IPX)q*FSo+ zjHTK8)}T}fjAeUVzV{Fe@m{@t!y)qTGL7GLczWJ@^%cF!w;t5P+^P4zyr~280@diz68K~R;9_D_~+w!**4U{??jj;_Eo+o@zPfD zI~Jy)ZS;#*hs1^Y#=K`sCC#(Bk|ws%=BhN<$MUEL`{)BNZ54h2#(u+L%7cAK!}lbt z*DsH0etBM+oWt4S-TRJiIjNiZ`!mZUZmbuWvplBx z<#}n+hqPX{1NN~zFRfA@)BN(hv`TsOm0zBhCVgDn!8DYIH1=_{P0}jmRh|)TJk9$C zo+FFg_*{efKXQ!R`|WeiYN-@)0p?G2>o#+V8XD;LC~BL2U&1j3aD683He7B+vUOMH ziFL+R=S?AFJPC84kijwE37PYrkWr_`;?ZwqIQI`7*m5eeiVS|5mBCN9GWZ!*#+$R={%gC`PP7f_uvgpIZRWg@2kF4A3{n0AFKuJl zyjP)Z)V>72K!1h&ztGAT3CCPI(tG7U=B*)P9{Z(M2EWwG;CTN4I^dYEhm7(t?++Oq zV`q@Tudp&W>O(r}#5_A>aLk!Q2EWS6um$t@NC($>>+0`jU@LU`<-f{hW5X*C%76M0wyAH+#zysd*}M;-ZRs|)ArJDxMs1h2h3$fk+LmtfE)UuR zeb}q*>Mjk6jP@fPc51uSPP7f_uvgnC+gLWZX&bdKArJJs<`Ok9@{rU_fOL|%UtN#_RE+mUjmdStinWIH!Uqau}>s8sj z3&j40`+}acdcDr@k*snsjw7Q{TH)S>iQM-^sp?#zm?RndQf2SAOz4ym3FP zO&U8H8s`7kbzbzp-wK^D44mHjZ=OF9$w!_8_mm zYfP@?yE3ic%%z9TA7zUcWBi2I$Z>KQDBgP5ya-zRAAr`W|eeKJcO}ymBr;hk1P+ z|Gdz4RLZa9^>wWO&3US}Qy=YC+1K&gqINkQ>Z@(~Uu{;|@2g1hkJ0Byql|Vrf4cJs zd719(_N_mxQ zs^7P@9P|&KQ(7kUX`k3!>7USNxqg{Z4Bh$S*X7I5XB$JuIh8&im0f>8Ua8Aqt+M*O zT2>vDL)-jwKPo$&csb5@4liE^$7zq>&wbg~fnTU^os`I&4*aP;)pk_NdYtL*oYi{O zKfWBpI)axoM$5y#)~iQ+8&D=~^X0gX9y8-=9=;A!9H)-@mghNapdC?}Hbv`2TUB4r zdzF11A9tkt5Ano}2JIj^|{Iz2O-j&%k(wJw*u5+ju?& zAq~gyJbStjp1F}19Q#P;m?ECx&lkGba_mC~bwCGW1~1{wOuhcN)y44$T_XgW*9c+UZeMLa!G>8p=byHweL}w+W$bZxli~C=dCdgTD6~A^7edA(VsikZ(i?zT7AT zo9-1tIVcbL;IoT`P$n?mB7|~S9`=zwDAXr3DunVjSdM+9qfYpAs}SlzedsU9+buLE zgmfH--Zr7*Eul}oSqS!^J!secLT3qGD0HsSb|D;tKjGI0gnEQf_bEaZM9H7JB~P~JI0DC>No zy+Y^u&6ncvga0mX-nGiZwh}&C5fG zjuJxIrwYAY2w1KW!g=@(A@~jQi-g`Ogk$JW@FV;Q-@r#8_ycW08Sn}4K)LYkX+rN3 zx<&|n2Rf+l#X>I;f*;{8`0b5C(0R8I@-7vEov;VCpbWGbc%fX>ak|i?5YB602OZQ0 zzrIvxxzK|`gFP%msi zInYNw=%9>Ap|a4WLKg@DkJUo=2tfzsq26VdJ|G;rz#ewM9{BxbLa+n%q23XpHA27x zd5^aALE+GSg%HX?d1nfhh0qUx_X?p+LeQBK>K3|82yKMF*9zS$bd%7S5XwHr(oYG8 z?kj~*59-4)*mjoC141}` z@XN3eblxIVnIE?A<8glYTsc;2tBgn2QmS!`@6DqAp`!!~`yMTDM<2ij?3Y?j8NQ%= zq9@}VVLIAM8SSTxK1#Xl$tyg$*OSr5s1JWqzRHt<7t?_Y%_i-?p-_((hS$iSSt~L+1~@^v6B<3s#2CoRx)T`c`w^ z)BmcKQSSjOqs*^cSy*Yo%1Hl?m4%g_wKCFw;K_?t7FPO^myR0_eX`8TsMq~ggxq(% z^iw^VzZHSHZdomHlpN!?G*?QR?AG0GS-oat^Zobj-nnvSV-u4Dj#sit8lJoLS#gQ2cLV@zOg= zBWtBcuO7Zf{XhSte&?{*t^OxRzAXNVm!Eb2Xxv;|xB>42VldnFR*N^=msZuYcm8P#E;%bGn?9$#sVcpKOGQt8L1F#VZNoj*Vt zDqU{AOPRV{Idz)n4@o}&ohURXxA$J$;t%46y1y!PwGd=%&w4ielB7Lt)AeZ5f8hS8 zU`_u_SlkycsO_hXdv|Xg+1$k0ZH>sApKUoxBGV=EH!=R}=6pvF+_-b|{iEaBBdM32 z!q@F^!$u_dd`(Y`OE(o})^GEyt~|@9u+! z8{5$+CvL=vxUn4K$$j#5+xG0*E+^arqdO4hHoWD{&kC269#`CcCVsx%Il6g#Cm!t@ z+#Xun*SBu%{12nwA2wJ>;p)JxQ);1|llD59T=C=p^bd#*@1MM%QlIx(?vp=zN&J4g zX3v(bx9{GzWAnCM+ef!huO4?f%4;^RJlwMTGw?lW?X+F!Jc;jYkK#+* z;5%EZE_!`o%OEM#FO|={NOS(&O_XtKULbe zYjpG8?ITS*r?e)&v!@7rA6hfkCg(5htcNf0B)(%8>iJ9Doj;@als9c_>OqskfJpA11j;{8=mv%<+#rzdE@BT|XZ9F!+O7SJ`s_*`<-mz`Z&hgzlcQttn&YRy^ z(t3RR`p#J0+a~zZ&M3b0j>DID^8B42Qha$HGY7ecPkF=6@saUuJMlVD<}Gi2S5fQn zed@_|e>B%7*Js*U4`1R*d^=CH_g{M+JAbOQacp$7AwXjV*le~G*Er%DxkXcK&CC-FryA9?t*_W9^->o`71Jc)1T8pW5m$KA7O_sEVt4{Y1B zr@^?H<>bxJa*BBVeQN#jPfWGR_$2KlzG?GF#FO|AU8DFCcjr%)e0-X@MCZ-#>}mb= z`Kk5GpIB&<=Ofx#4`1R*e20&=@s&M~oj+B&A;-Ck67uG!Jw?nPJ+=O-CuZ6tex{wA zKcZihyYUU;NqlGjS>r3>9?j?QDQ}dQpLg6hJ{DJ~vrl}R&F_kD{r&f;^*2A!+a}j% z+R5`*{bI)_SB&4x`!De%zQf;Ee2KgBr%J20?;0D4CpNI3H@~Z@i0ktkpO|YCd}$}~ z)%$Pt_%rb&zGL50e2KgBr%Jc(-2FiIx7Opi@jgwy{4BBc?^%{tKec|(6VpF#kM}HT zXY@S|dI#M6Mond&pSTidzL!Y+{hW~9b8K^n<;_ofiokj6MeloJu1#>Jomp`vuEd#s zB>vnd-!!u0z9wIpHbvykPdf_1`PYB$iNz()X8G=mW*l^m`z+p@rkz=F=KI8PoYNZ6 z)Jx7@VR`ejD149d{3%OY_&tielRWu8?>%JJbZBwl+%G2adzQCH-oJCU@_l%||4y9w zy^6e&YBrQNC=$PG#JBoVWT`BKt!)0|-;&aMmb_=lLf;>o_gO2+DFVvH!UMiO$_-mv zd0{8`S#=t< z0s2|X&Z?Ici@^fwrj1!_pl%U-pR}#kHYf*+sMjwOb);>u&(|$No=mG;(ho-cs3UDF zqP_7lU+DT_Pudo@wW$3Uvi@5B$FZW?%yMhhKY0-9M;&R~pd6r`Me(${nLb&d4YhpF z`UeZFpLNvZlLgo?Sg%s=ICLk4TGyrJB@jQjRoh1M;?F8bDC~0A9BM)#rDgIt%1M5j`-#c28m2NIuxe|-iHMw!z z!_SU2w;I{v-@r*19dJ}BNz$sq-4%#U=HHqSuoe`+N2O z)ZZzevHmBAg+-226}6re+r{-S*uFH6A1do#EERA6kuj3%Uz8&2U(EH|_wepg-oHM| z)7qDwet4~aLH}_4zW+aU-ZicLdDpMVo1btpzvx}r9`BXY&U){~5KrPeaff6 zEG%u0c~;svDZzq^7t+_iAg;u@^ZR<8CjRUhrC(=X_K; zKm||RA0}?l^O(33SMt2;SLDr4JBoO}9P3|ZhdIYOq-m)w6h+*#FO~W{foUmTil&LRU$skeqxz7zq6XxG4bU2 zJ9VagPO|5*^QTJQ`UdesnfCMMca^jrU#x#I)h5?x+8M={-f_=K#FO}TUaa^Mcjh4X z@Hs5kznE&1^Ottk!oajDuH<>wugII9b`%jmWBrS%m$t|Kmv+{}mv|E2 zsaM(f*`CMFpDN{DzanpbXHOB==ezdLv`KtLJL};~JS+G3V zyYr_?{`v;-V_Ej|=67|p{`|%I7c*^wFYP40Y3pAQPvX09i+w(_=dtsrN*ucm7m~>l?(=v+n23&w7fO=g0aNLzlHjd__As zfAmwU?^y*B|Co2iIDbSuiErl{6kp;_f07fQX4kLCo1b=6o_||-|Hb+jb8T||(oUYg z>K8jc>8*c3Jc;l0ZHg~(cm7l<@A?&a^E-QrxIVw}iJ>-`AEcecH*Nh3;z@jmUZeOD zcjr%)np+LQm6A6iay~p7@ zHEx*tudB`P>(Kt@Ieupsba?!JGX2Bzb?kNOuQdPU{r%&-`Ds_X|9-OneGJ=_h4cBA zI1xAYZ{is*EBtaghFgaz~rQyR$)Ge2fD4cAznwl{Z`qK1@l_AzjPei7gHcQVNFZ_3?TQvA-%6e8~n zx%H%E8X?bS%Boey^dq19JN%v;#&f2nChlYDt@>3*?3NtZkC7`xZK>HB<~3`;S>% zie6u=_iJ`tvA*+x6au1r5V#M>x)e+>OAYr_1BpB z)`f5AK6zUE($f#G^~LBP&i_m=RX?S*KkxcrdGph*BHla3`eJi!^4%WogyChr~7&ggro^p3-~f~VYcaNp_w zx?bOiJ9Cgbd=Ag`#fDF4kN4PU=cL6=@kw7_jJWbV9{#T4O#F#2xrg&%xxQFOn|vQa zJ9(Xs$Nqc|gLo3(nPc@jP27nqdEWKG^5&-}3viA7?XUy7J?>bF9iEqbh#h19p9mDmb;^|rU^X7N;wEjMf z^~L7eiNX9eGj_53C7%t7wqb6Bn~*4rlMFYT<0uf>!2_CK%Z@2th0xRU2x zA1rTv+EGOOjP=D9+GHGycGkm}coN^Sb@u$VxI2HUly`lwy!o9yMO>fn+P}~y@iXnL zhcEFYzMZEkzQo=6Q>Dsxv+)BN_w(j=)wCX8tS>gyChJep&U*L~PvSdqhT==yoj+Bo z;M2_FHBv<0{LY>t@O^y$RGX}iKs)Q{KfiWb8WIdFYP40 zY3qws@U-(0i{G~M3-&yA{#41wrD0~cR0C2Nei@eSfhe8(

    pU50*DS?I>b==k@)*LA-m_;<`B!9pY{}iGu9WI?Pw32X=hfPi7RoYABjKr$@8uc zmN!4`C~W*43b$S#joj#BrSI&p6M!K3LxTwC6})UySd!^L=;vh3~<0pS)ex z7wbJs)!pi^V;1aQBHDaUyQCf_QSDJn#BodGphb*2h7*zSz{s?Gf+N&h$74p3?CrtS`p% zJ8gY2-dB0wW!%K`m*3f<-waB5{I0Rq`eNTGeWSFv_KzD{U(DCX_Yz@i9}k?`d)naT zZ|&PR@QHQL#|i1nU(-DaomRI9Wyb5PwNG1GVMkhfYt_$V@JAjSSWX@e`fumi+i7#H>q8N^vtIIA7#xiHYhgeei{vkK z{b*a-^{B{p`(?k7_1E(M3*G;4ZuovGLaufG9gOQw8um;t(g(G$XZ=O`fOXX4MfjlB zbw6$obX#phypDSNt+F8t=Cy3e;|JueRd&{6jrt;Qo73!~9Vj2f>l62JJS}fXKQukS z^`ttVZgf4V4WnC1ySL?CPwJ=yxBPQLTCJq`H|5c_xGFi#I$TdZ`6Pauu*CJG7U!bt zNzGnR%z9F+=TKNr>bn(})EA0gPipw9>i^j)Cn}#mJId4Am!5u@ttVyu!}0#POKZ=sd(_JneS?y|32uH|;$3 z)*0F`vSr)eJuEUK&zqmsEGZ2xTT)t5n)sPt|4XcE>eivTbF|?lXiTBy)qQ;@t(|R? z`8wJeov%w@Pl~wmJf1(G=P~i8U&%e34$JkVrrP9tY1+x_bUgOwygTtEzSI4B9us%s zN}hK;sJ!`UM-lIfV?C*kHu>I?cGkO26Hnqhw9Lk#_B?j}REg^i#nZFy=gsfxY5n(F zSWjxEP4K0i_3$O0#CPF*#h17{f2u@$4%hXhX4>R?TH09;U*bu8hhC}p68AX1dDnx= zo8Q^f`tuj-NzJs$a}w>0;#<9*6!9d!Q(x2ginu#}s^qOV6hD+{KW~0lN$c^&dQx+3 zf-mii;!E$i=Op4ud^=9C=dV4FnSD z^`P?RryWJa&sa}ttWEB}w6h+*#FO~WbnE#`+?_vF%DWy^-u%vaS?#`boTw#ka-lNiDR= z^_g}OU-%_yJt^Wzd>4;ae2KgBr%L{MLGfc*_Veaq!w$ z;=52%e2KgBr%FCP&0M1M=6CiKf$#Ds7TV&$y$&wT3q$a+$}7EhkPV<#)V#GU>mCq9SkdQuB* zGJZundHyOs3F}E&Jc;l8f7ZIMe><$5#5Zj{DdI_d zr@y86@;r9_RH?bugq*TmYY&`h zXI7l~K5-mp`ZLb+t_PJjKkYfv*OTJ=?R?*ze&KuY+$V3B^`wSZ*yp-ymDB#_`Qf#m z6#c{Vwe#OJ&Pwx7-u0mJ=BHilzMho-eGJ=_h4cML;zZmQZT}{o@v_2tQgM$Q+L7{C)L{~@2Atw^f(Bfa_dR){7zd>iuYCCcNsVF{Ee?C z#qSzxttWNalFOD%{q+|bT2IQ?59>*>x3>qsqICS=M@ui-_eAO9=i`L*drN0bLZ{WO zL7DOTYVFgOR@jl&-dgqZ82pjP29}eD!~SM$$Wv!LZ=*Kk@p-&%?q|iK(Z0s=v+yi7 zWGSavoq9MF*>>7o>-tax?yQ%*76u2S{#qE&#v=I(T|e5Ec0DSx-G13GWc{`L|3dda zoEyHMijZrae+T3GlZHLhi}XP)>{)-2K42a7co9CRb={BK1Kn2J5U-=&eyeQAf_W`l z^7sLHYn7e#Sfjqk+vYU8Xa~v%@%qGl98b#|(hp4!a6PI1-*0q1sk=tEZ{PWr()P<% zuDqi8wsG9UFX4OsP6j#tO?e~hNe%t)=z3E9mld;~6ze$@){}ZRgHNea^m?m@as;Kp(*e=emv3>MwoVUw*Qo{o}uQvNZ<0Rx5AEc8ru2()_Z=d*~llV@*Nbx1^%t7w0Gjv$4CpFb3zjsJGqw{s?>q!w;;=EW^oQXg2 zCHHVXEZ38oYm@KcX(zAK@z}pI?{4uVz8%l%eVDitSMt2;LFLU)JBoN;9P3Ffv-b_26h{J$#8L@tym3#h17{ zf2u@$4%hXhdfNnF+F1`@;z@ivF4FriagXDhcRi@Q`JFwjKYy{F)L5I~OFN_ZR<9>T zJc;l8dc~KxJAbO=tv3`ulxaV2epgBB@x^*lV^@9r@37A6p}HY%I#rCLol$&gwfCH4 z@g%;BcPhTbojJ%od=AU?q{gmj6MU_m_3$O0#CPZp`+Q_^C$8jq*MrKNpLP@xKVvtUfv$~($0GL5>Mhgb*th_+?_vFs^HViVkJ^U-u%v< zBJh2DfA5w5KYMQ;ZP}IA_njDH%Q2ap{LxuiNmyQ9ws_8Q8)GJ8fw4`njb+0k zfF}hHy?^^R@4eq1^IQG=MDttoS@Zkx8!^8% z@7;Jt=uXdry3_Jqe{z1`)y`XC=ht~scfC#I z-|A=CkG|k1K635Te#LM0nNqc%@2hY)s`;$>z3-`*-aq8{J@~8Y zXRY6upIgt9s`;$>efcEjx8}X(wVro+9@L$duYSB0`VE~Y_0rp9{Z>C~eqZxEshrQ6 z-f(u`n0#l`7>wP&uu@B8XY~i&y%Wot$8lL z#9Gh&k_vZn>?b#4cUr#s^H$8W&XcWZ=Sh`+d-=Q9xRf7!#q0TY zIZx`buL!>3V_zE2>i;MI{N;6?RE&x5+t@-=U7_w%Is`(x^x-pI53 zBWs>&-U_~JJ}=hw&UsQ7N8}ebUh`J-RP$E7sQIjTJ@529s5>oR{dn{Fpg2$J<8PC5 zHLIVm%?D|uy7Q!JeZSUuQbkvb?iSxv>$hBu1UH{e&9$%b_0E&}j^;a>yPo;8zo7G^ z`uX3=eR>+5cmBP(Bk)Vu$>#Y)^YB)Fd-eJC@WWd%@6~QSUvCb6G1`BR0tc_3N+jQ^ocEwaUJe z{;%c;Jbt}tUjEdkdF)+3^JCiY^qc7B8_^3qe*Njm@p!WQ^rt7Q&Efi!{$|II zKDGIkZPmQ~g#TW?66c})JCA)z+M&O+hcxMFO8G(03 z;GGe8X9V6Efp-n;G^a8(ZruUr>d1nOvl^6lx z8Pv5+={diRa39a;{era8kN(CB?}@(dKk0t|J}TWi{il9BMZtu#Q*Iw0M?Y;05 z(O&h#?cMw4wO935doSkvRX^O`yWhO_s{U&4U1_JNez?8&ym{?a{ncLCMXDce@4atc zdsTn6S2b5Z+}?ea`pG|^dV;eeD1O&_ewFP;f0@PC#}ltr^HWbeH9VZG*OS-E@QKrH zKDr$W`Polgw)yzK9{Ysv{^5VNW&hTC`*h0J`|rx{dG(fk7G-aWvlXgb<*RZp{F-Q| z>ib7OyS!F^>SmRzd{yq_xsSQ(d*#Qc*XplduJTp6mw#2XSM~k%ANtU>`skb9oPX)%UI6a`{^Q^~+Vh zD)+7&&#Le1{+Hi)t^WGuDqod*HNUUw`-(6B_pjAozg*?3av%G(@qJa_@A;xnyHr_f>tb{P>Swt-pS`%2(xHEqKm$zx%ts>uUY= z%T>NAcVCW6)%UI6@-0{EuV1e6Rk^c-v#RgQKmPHn_17;~`KsJY313y;Z~f>;uhw6` zT;;2BuOz%weZVH)7k~Y7m9NS@m*Y|Oefh`VML*-OU#{}C5)e_Q1; zUzK}Tu7|2mdHerry;r^}_e$3DTt0;({^dXaZ?FBnFaEc$s(h8Jd{yqng3oMMHt?VP z2OrM!e7bU#ugcw(@KW_PKmXrfd*SPT)3q=B*vH=2Z?E!Ix&16x^{Lz!{g1!)8e_B7 z&&pTjUda9WRo}n(XaCK$pZdu|=Dzu?etVU#%Dt5BRefsj2Y=w1t6%Ute&tqsm9NU( zm-xEs`@ZjasvVPldzG)sJ(lBG^?m&dcVGLtS6_YKKlw-Nt@bKkl{?FFRo~D4^iK<} zKkExWcGp(9%2(y~v!7MpAO7F|6X#bS^{?i=@>RLJbKa}IfA}*Wx%PK{Y;^6<|JjHA zomH;#wdE4Nsy>b9kN=(VwLki;SGLPtfa_PXy{b>;e&mNg@7f>#KmUuZa+R;zdo1Vu z?yOJc{>-0x;M(u~pZ?9Qa+R;jy`1H$z8`-1xocnid;hI#-}H^&xHX=YugdKwKCAk^ z^E=*m?WI5W=Zs^v#xwI(xsMkf%y$3a*Zg|$^+&JPeAIYWzAE=}&U>}1wgjKw_DApC z?q}w!a(CstSA8%4;16E?>aY5A+vX8_5F$O{LZUC_v+czfAGpHTjNsss@yA2MY*a^I2Zoqmi8)Nm3!Cc zM!Bj_XMc&l9H zt8yRvOHr=s``usoYkP z=c`};!VB-aNdGEdm3!>3M7gSu^>bCU9bCWleU-1uy_Ef|`ow>H^;dnBzHh5s<*RZp zXM0s&_~L!^+CTrfpW7-|`KsJ=-y8j``l_79ME`0XRlX|sVwS7=TrP1-zg*?3a(Dkg zv{&`1ocegNpOvr5y_|4X^;Nm(Z`aStSLN#y&&pTj_Oo2o*OlAGpOvr5 zeLTxmeQh~4#=jcR%2(xH$#JRr3QtF=u3Y7-av%SZ7|*H?8umW@T`X7ms@zL|E6P=U zul|#hYd`-l{yWBGTb{0bRqo?Iligcm@}%YI zi}O(Vs@%(eGuz8{|JQHWx%L-`g&i`KsJ2 zsi&#>e)^}5ul>`1yt($XKfT!czRFkSUe0;HJL`M-2cNz6_x|qW+K>Lt|7xpT<*Rac z|Ly2!)dwGVO+4uT@$H|vRj%??xfipaRo@T4{PNXr{KNk#Jm@1^idG< z@jI@5!`FYtwQv5W|9q=l<*Rbf<#$zmng_4l3w&1Pt8(`xyi|Q(`Xyg-^?SbiyRUxx zi!W}?L*=V-&*i*Vec%4#eOLeIul!2?sp*2V%2(xH%J!;0@hyMxrN^#bq(_yn$~~9k zS@kjBz{`)`LjNjXm3uM!S@mh|gjRLB|2xW6 zec$wr|C#k?f9%`dy;ZLARk@Gl_f>sbcaq^GKla|lQbAR=3{>X3H8kfpfx&)o3xm;S=z zTk}`>s@#izE#|%IlaBTu|HIX_-}wcfx>c_7Rk>Gk-Bx|zUE?#sc7NV0UzK}T(xa-6 zdB4V(!1Mmet#XyG$~~6ls=nX;+kVBhzxw?*(D!Wfb(OEmy(`DF>N9@04WCu{s@%(2 zuIiIc@yovS-@SHGp00dV?yj7Ns_zed?Qgi+=S2#?RK6ka zDqod*F7bTTr}39w@#45tzAE=>!b#Pq_RPCnz`K>N%6%;1rRrm9NU3<@{BB z!LQ!RUst{=_e!=`^;Nlxd{*VFa_>q!SoL-N+~&_JUzIz{a#df)TW^=Ed{yp+ELZh) zbG}`!^0no1T&lhtMjjW+WxgtRcjDcu4_&L_q}nYwt9(_i>VF~Yt9V=P{r6vb;>-WF z=BvKzt3Ump{txk1_OKfdz5o9A-+%q#dwyNh>>N+d*3HghIXP)|#>4fn*_qCkE0PX} z{GK)E&CTZQXm-rckeEW}3VmcVTt9#B=Qr!+@OY)bY8N9Kfi^rioy_+3Rr!2&yq+wZ zz2$tfXikQQ`n%k$&li(swHf(2Jsj>e$HSw^^Y2kz!;{Ilad!?U$IW`a9v&(%Urd(6 z^=wWO*$0c^_@3u4e}1z=EwlCHXt112C(Ft4?qr~(hF5M?jr!ekz8JXwJ8jd=g2c&kJ)5lPz!dysO{@Vldb3O{_uEjG9GAT=*iJS ziHzj#+JU{rW({<1mJ>(kqh`eH9C*Bk%i*FS#-tw&R|n1NP@^R1&JZBJyIBfYcgD*ZB^{mUw^{AaPY)*N z%@O@mb&Gj`#U=G~IXMJGPZ*W?dU7~9YBVV)hW&tTwX|$oT52)v49AQpAf#GVXtiEG ze}&2%p52@T)G;F-fo4ao?o5~SBekMJS__w(UBeg+?y*>av10&kI;V+sE*5IoiKVeS zKU!$UJsGP;d4X5Hjt^c<4iELAyA7e;=E$|K)Q#0-a?niYyPMTuJWJr20^|!Nvcy=V zdn}jL_DA|!(~@1>w!V%J6OzZ zQ~ksvP5)wcGG8RF4*)2_9+_KG*NoZhXxPj)E2eX2_i(o0D;1tkr>lv9&RDD& z9xnEW&1%jNcm(ym^U;R^%x0*y4M+~+v)gFfcR`mjeeXrA9`dko=-ImtcLlNv1nMFN^A5t0;3#( z=2lgHItze%{=MLGaMfhqv=E(gWL$H-_Fgre|XC}eu?d>a#Rg-n^Er%x{dnF#urmjg zi1}JhEM_4qUezVf^3Nv9)R9}b%`-je(&Jr2J&U}w{oUkIC#rE z3RvWc6!C;kA;3(=cQ(VRSh%z0WHEuyI?pF8CXfn?JAqAJnsgoy;Q>rZE(xdZ9D`Ln z<=|!Lx%eXZTVW%xkRBa%hh2<>Gd(y@rA3tCN8-qczr{#ywLd-;+d4ko^Y6&N zqJGfg<=(l+9pnOWGM7id^)L; z(k+*)2qiRoO#4bHEHDU^hy7?G-NFn~1uO_{@HEs(BGU5G_&U5;&JdpI=LxBUV6hh< zEygT(9CKljvU>=q1X~7?aG=WK z43L|0qws*>iU|?~(%asGaneka2f2JPMJyg6^1{LKgM4|mxGD0czsn6QB1J72twY>2 zW76zQ#^^&NiZ(mWq4rVQ%-4Lqv7eUaF^d{j?@&AznhL=5bjIgtVJ5??V0?0@lq?eO zjD~BtrQn)RCc=5bVMEw}CbdTUmzw>{&Gb?;<@X8#BWrB}g%eAM=Ai*+AUtj)1M=Mr z+4S8gA9gic8l+3lGe~^c02yO(yk2emdqS^P^TSO%P7sD*yEpMTu_V0lFS@XUW02t{ zpC{t`*YK~5F=_*|1{FhzfrjO*0m~mZr_DZM?s62v7opMQ1g@3YkYpGk0DpcQbaqG5 zJ9-OvpaJ|Vt21!XJ=m^rK5pEYpBK_$SjP>Ml+Z6 zb2&d-az=i-g8<+0rs3C$5$TGihx1d`3f#TvhF2JJLUb=ki54r9pe13dw%SKjY66p= zGN8fwY!0Oq*&ObN4)6>q@ti-EioT&)KsH4KLqLR*pTVidQZfNQ0>3E;w3!Z%W{2m& zCY?BC#}CHX&sjc41lA(~=xC0tvg?QHf=`-Do8c#40`|D1FXDMQpO+*cgt!ptZCtQ) zSySN;WYmU;qtfG9Jj}=76itFYKpfOA3yK9km{@nD-+}Z*D0%cr1M_uKSdM2WgI!S7 zj=@#>$4p@%tx==^5Y6rwN`U%m;0bDD6ZAXXyTdu^m-Zc6k8{|F`GOB;>5finneD9h z8G?gT6kpK^gcC$GIK%ldMP_hYaCoz0P>AvXtAP*uk-=2&wWMiJdb0u0g~+~hq*;Wz z?i{)Oog;TD9vfDZ<3b!eM+`f|cO?E^C@Q1=Kn6}ITj%gau9TOV#r9P^da27TF# zR`5TjAO>Aw$K}M3a56t*aVJvjr3}Z2x#%_^DVZ}?*!dAu09~z`mXN&)E{~wpbr%wu z#i0&q+&N;=tQS%?Z%)91qR5P&@S)|rMYB29RFWuEd+gs)BHI&qYgjy>do?le#L_%z zz}?H4@_W>#&8$Id_3n2gFH6;D`4$AbGd|V2%gO>hst_HCUW5{XEMN?+6v6i0JBqA6 zNKn1>8Rpx`ylK8-i z2i+k?Oim9^4yko-q9?0dkDyKpiTO65DTn7aD<8yofW>Bj`2wXt%=`{2bvTO9q%&hP z}yvYk|nnP6dvt%P(gN_D8<2)@9$Y;$7uO(z3Z!Ww3n(uI%$&Ylrx5`BImK6P_4 zNMcZG)DfROM#o?2JCmaaLoJn0aABxt1v6)0hnQt1QaanvdT4DM&UO%9hfDO!ExQ6P z1z$t7VM4*!5ik*xK5!@aIt7!%0|0{gBM{12(+hNifmpJDmrP6<-_&u3?%^8U1s!7v zw(pp%#koNuSUa`25-*U9CkPk8pS3E!Ip;G8YqWbfJIdsmZZSZ;*^ec*PQ3w|7N&Q0 zjGzQKDvVT0_{1wY`L!X!3&*AP;ZDv7GBkMYL}46G-LFE^z!+O^sj+@WO;B#7VO8z(11BPda)339aOh@&Z(XeY zB**@6w)FZ}V6X=}C`*zW>m>{=x_1dc=yr}>tS%-JJFuvHAIyf2-`#OF| zm{Nh?c)me~A9R=@`rC|RUC9P0e#hfmDk=?1eB6jZZ&guAV46Qh|{#_h_!uiiVBv&mg+%4WS7*YM6{ze zerqa$HWPn`gwN@GcmxJ!^@-;+ZCQe2rV~l_A4X4j3ZsAqW4S;uf+`%eiKF3x%>A;y z6S9_6e2RqFG}x(bvq!Cg2Ld`g-%j#K$ex9C0J|JB83YI-`-Cd zRZ8!h2g3`4Fc`s@&;zZ01&~})6$2pz37iQV#F%ThUUs%|MY=jo0z>3YVc*e%M4JSmo2!xChCy*pMV9hD&^T9}B zbgP||=5{Mh)?!hqlAjB~iGNA#=Oj;jn*hIUc}P=Gi7P3Hv5N1{gRvg<+O-q=SG2YA)nR*;~N(pyiX1V4NDtgtp-d@`Aa*yuV}&Sc2d+U>pDrNkPH?uLd~HK>=|d zve2^=Or`oB^6zkYNs-I?xuPE_$8czqREA_C?Y;3m(=4=q4uX(lXh!V2wF3gtTErO6 zm*XqxfV>I4&iQ75}%@+*-=K`HN3f&ogT=5z0?=d+Z|u!`k(*aPkm4ivW89EPoX zyg_S%#|JC$1k5*@$r&&3lg6wU3J9V^yWv!$)I}6s3t<*aVN`WJyt7O)r8|CJ-*u}sF>`6^N9?i$B&(s!lDP61b zDG(cPRaLkg#>bM5qVeL8_umCq-cRu->eKFf9)W<>2}VYa&?+$(KehJViVS!<6pXBVvLXTpKbdVm#aG0aRckkx8@+Oi z)6LDB=eE1!dmeSda2O2Q{7xQxj`Pbx9?XL%n(+{rK-w{_2-c#^r&bkt9Aryfp*(_J ziOPiv_AEO%-oh%(TOk!8M-IfVE#L6WoIs}#Sbg;;Z zBVy`;+uoTT0kephL(q|&(FeoviJ03lWYl1eQ1M>wRnB_wmXryu)}%$WovKfJe) zti5JdXqw5+9Qv8WVTEH;GlacDq!`ZA%PeTKEER`~MsjLY7(xSfAeimXnVdf&&+&)B0C=sP*2s)cA2?r6R!>cqH@y6Ll@M_HxNH!(bDv}( zu&eBgyOsvLbHveRxEaspu(`a#Ie9#pi_H~4oCp?aHGxv_FU@s4j}gPLCFoJy|lOHCck0&_K8Wg(+U)aFfewH)o6}?u95C1aC*g zov%7)TauADjEIO3-~@_5E`rAekg(rqf`mDY3fY(y;+h!VLG2xq>^r!blFK=!P{=Fj zf>d)Qaz}3igEQ(3(M#(AZ!7yr^)z7O@`Li)N$ElD6+$h8tKaO*C|_)*>!WL(x?*@d z+&es9;ANzhYjF~vQj8Fe9{E9TNDBjeq;W(BI9uj?;;}M8yW?szjK4Nhn-TmnA(AHI6tCdlF#@P33|U4D~d0p&ZfvC1K47`8ZP zBZdw&g3Mqq|nGCIl1NZYfR zENM5zsDvAp(5PAW_v-uDBI4SoR>R;CDeUPKRez6MyHX7Y5DHfV%MygnFmX2L`pJ^0 z8xa2Xh8v&(3XG(4v=vYd$fmU?$QR>&%P&xk|X3Kk!l->E$*%!(IK zXtz9k(xbVQge*XUHe|dh>M4eLF)6`&xWRh@m72aX1_II3hA)Q4UB$Z>J&(y_s{Z*# z@NBtKq_kD!uqDoT=2H;;k;@B0(95BJ3gN8U7ZQVuh8ilgI!-4-9D8#ca!6+$X}`7z zBNknq>-G#+eMX4Z#Ix^1{v@p#Ny~g71R>R2Nth8q7GWr*2Z*keQ;GJ7ZjK?h(k7#9 z)X=b_1)p&>9%7Q*g)+fP$|dVj5YR@_sm5{C1#&t^5(A6DPs#Ad3^{SQySwp-Ur4uW z2uLUPT_M`Uj*;ysx^|GfjRo4MB3?$!$03^fVp9YR0c%K8ZJVL}(7P%Pe`CbMR#N7Y zN>Zh7g*eKt9D08b96;A9LWWJI>^)g|2mczI+6}HkMmx)@{v|(~eI!5J8YKBPL zISK5wbDGc1Nh+A-*`8^H=qN+m#UoP}56w!%(~Th=6z#ua*97Aa8S2YgW1Zb z*fq>$fw7Q-)}V^iCRfDxomA7%0DQZ1LGi`3$o<)?!LE2o48Sl7v8Guo>A{Lr#(qVa zC%yd}#iUeVU8<)^$T(M&LQ;bDqD-b6aIP+Y8lIin)#na4)7EEuG?fxnZM_R791*BL zP8OlIQs7<~!tGpB;{_3l1DKH|+X^IBvbiF-ej&$L8Noic#|?NWW10faK{P`5@Vt<;VWdzdxTKuOTQ#i;e=!*)c8j>D7?ek797tSTcU|j z0Ej5ElLp~Ysd&8UCE&iZFUw(aHm333J`4XVO*t5rjk8E0d?`J|w~pn$g0U4smI`1i z5v>wCZi86&GZ#h|(`~P#;V>14143#;im|;JwN9r%pp8HBq9AE^GqSC|$KjL8bd>FB z`vn`f#LP)K(fMysz`MBMo$b*@JjCSoG>KkA!9r@qkl3rPOJ5J!QJ5^X!l46glUB@Q zy;k_cW?6egc(q!#4J&LxzTI1O< zJfa-~%fTf$I-C6wXh!tnYpgz$bWW*wQZRQs8L_O!bAN;bFIt_D@p2OTY2t_aaS0QE zey>#PjM-02ad9hSFlZs&=kOFZjAbR*PC}RoxsB9=!BH$)oMFc4M?yC1y@l>h!}m<= z77gN$;gF}xM9#Dk|> zB=22F_o7Ui;t41dd8jhM2|{b40XV)CeLZTni`GF+xFf#K(@bciO8rfT2Wx zD-$akNZu|tqb^OZfe7c32aC>>U2PhKp1%n%*?A*s@?Nzf)CV^JCjqX}m$o7HY6$DL z_NyXc{<`}Z1PziDFTg2|7#HHA<5-zsy}Yen5=1fU9i8i0e`Bnz`b#~O-1MwzXq_dr z=@Atbl%zWpN+0r>;)69n%>)l;5R}~#P(@hKZl?u9EZCQi)_1F=?O5j_0Br#-DyL%I zJC#FW0k^z6XXW;)nvb&TEZ)X-bPQVxlvP2o8nWPp)3j39QM%*A6Cf$EW@vakZ|i+# zawYHi@`*!Hh|7Ji|7(Oc`MAOT3n8@8Fq(Ez5TZQil}BDY`WTNyIau&z?7q}5n;3HE zFtMAU8~MuU%P>6q*Hh(2mrRgr5t~(K(?E*YE2M-92)B=MA!fG|$tJ_6A|7T2ZGyzB znnKl=!)V88@g}~}&1u^26ORSYkkXbz=^av>gxUm#jzMi2{x*5CL2a*5XjAkh+X?n4 zY2TZ}mF+LMu{MNx9~+K=JKh+>fG>6r#4VPJF?CetX>_6KQr>U79I~SW6J1#=v!a!2olg+lZ8|Z(GT|>iU^c`jtS3ueSA&Z9AB4 z&ci6dkqs5h5ecOn`VCaorFqCv0P+w;lJ0fA>H05rGq}60tX=YUwYg+`mXER1<<7e} z6?EQWCyrCic>-#qd@!{J!W0D@W`Uc%jMtW0szk8)Kp-P#ai!gKAF{&j-XzQIdW0_9 zBK;SP2y{<-6sxJ;sLBa7w0FU&>myS5Yspv1Bn$11ZY!x&`|VZDm1upS(P}HvY<*wl z50WD8$B5RJCzJ*lTVb%`T)yRGk9B5BX}V@$2Vf3D)*rDLiqp!lmcMhgfspmQF3LD& zOd|HUz)&UHmmz+66M*=6)?z|K8a2=)M>_0c?iMtvb**v8U&I0G)+f(r|8*sk-kTB| zr6JNANW6>Y*ju(Sy6??y9?kQGgZ3s!6H>ek{{k6nW%=!;**~eD;HBD601Zn^t|r^I zwHoDxhbL9&=yU37(nLSwKqx6)+E`X49153Gc|yg9r5+&6wb{N4hBlXao7yiCKq)>; zbXfh6nOo8u?lVv&q%2rSKW4|KCq(^(g%JUX$6zyq17RZtXAtS>913U;M`&yy<7e#2 zKR$2RdE!Vsb!LgJvhIpiT6vh3I)dj@@F}Q=a5?cx%i}U-*~Q&nzd9$2hoya?c+p1` z?cfdlc-+PD=zvMmQDlnso18g6um@YFUo8kk65vAa^3dGlJzHZlh5ge_qEd;^&h4u6 zy}~53?+Rofm}J#yvtODpQ$&;WO(SwxLDZ9^Q`;#r1CG32TkevXzP)`;Y6&|D%!K@1 zqzjRPCRtkfOk@y0j#^3Px@DDDW5=8x#YTX}6O+>&?N|_u?_gIca4Gn@Y*U4y!0+9o zDrTChTvz0X7jpV%LjL$%On#rqu1h`z(Oo2wRm}pp7?0JKA1t4*$eal=p5ZHHyQ3_I z$?&QspPU#p(NRWQLL^2=g{0O-8O!l>$DP?jXejI$I;lhRgo*%hK(bS8BgGhpl|{#f zEb&+_uui)cu!K=qj5*Eg0qDX_*)rM1;uu*h`^oU-(vbdxt()g)iZTVIn3C&ik zHRJVwLka9=h!cq-e7W{5iGh@*vDF=-`zpj_ncMUTUS?tKjH#k!l|1KSz!@vM_HI`w z#~^4-2|U}0NeaxOVC3Ue!6H{Y_vB`6R@68%OD=HwAe)L*3t~_gMpT?tI)vBvVT57_ zu*Ye?J?fu2iDNw+MMI4a>+p#HxP%;TFwTZAnJPX;=ctv#f?gv;yL~uy zcyYZB*T}KFMFrxCmhtc8&H`*V6OGHk8ZYb9yTE)7V3h*MaQNh zg~MPlQMhl;NCLN;ocL=6&~sdykQZ^3jt{}DWp4xI+jg#H4jyGqDQRO-Lrnl2wEudh;G8r(GI(){d zWCB@7m@aiDGC3GqM3Z7_XncM^8xWCngogCgQmtpbGx)@aOtgw4E^20$A)RRPSwxnc zxfZwKSk)0J0D9Llk?prW-PodLU1b`M# zSr&&f3GDTR9mHKH!lz6IYjsaY0ymCGS=EI`n0G-zN0}l-7e!DQ&m$O~uvKJFTP>Ug zOuovz1f&Q&I1;|--0FeW+up`XcLCJ$7lHag3 z0%xH16BaY9Q*Fb+Ck1d0CPyPqEz=0O2eAaKFz&}qbSy&R+KTZQcYR=atV#!a$3bSt1=Q)(qMFXEt{`w;ftwWwp<;igY!U8tF(nSW zb!M+4Nt7`xqFp(kh8M4;+KOIU2x?=1RtimRQph_OqE^keX>b!h=cP^1JcvoIMuIJB zqp9fc6chq&dD(bjm<4WAl8vM$4_S;uED#tbS%P>~@x&CpC`^ztfW7k!ql$4>lQpFk zuhpfTJrD^*rc4p)+N^lC*^6eIf?^dkG`C%iSQcs{S>zZc%U-CBS;ZV52ap5uhn#($vKo= z$_aalhPwj2nPPhmLYv;$b_==OPC$>+Ptz76J2J;ONQhC{F4-Sm$exxe05W#&RLt*yg$ucr(v~n!O@bLSRhXJHeMK)S z$-5Vo@w^wcrlTL1&w_1>(dDdD4PU5NNf+vN_qOYIE@Th`TCXzuM)cZYFSj@j_%U^c z7>vbs0*-MtI!vY5<*g~LG=Vn9NfTjm(RckhM zn>THHw|SSgXoe-nsj92ix61@U${rdFQSk8uGl5$?A*So$DyWv>+bpKd2$sqAI2 zgIYf!#ZuEAX7*3%>{%5CBEk>qy^!b2k_uuWK2kJ+dqlL4A`$qkmpt-!G62|?8} z9x`iONn`QVj6kG%-XiGZfv^`H)9tC)!R7&5F_hgPCh~zy>{~qTJM5t5Otb znImsqQA+GgQ^?GpPcKpogSRoYnUofPnoL_`XmlDBu8YTv%iE_AZnqHRD{n?tGPO@r z>*!-H@Q$QzCG(?&16YtcdK+*+Bc;;6lJ zLXYT@I~6Kp=EupR=C?^!%eP66LL6&;yD}U0IjPRqc-_sle9kQ7s|6Vi{k<*Z=&l&+ zp7gp+?RfSCxh_HA1jKa-Xp|5Xv{;boT?-!LxNZd-Bk{S%FF4A9P#2SG{Jif?m7q%) zaOUMu-sf9!Z>(=qblyQPBA=Et?YaUnkWLXySH4Q*YD%@&4DQ3iCrx)VsP_7ek5C{^PrgUHB}RN;qFE^t}FqZX~Ve@CaeU&P7SJ~ z1>z57F^Oze4zdmM`O6p(IsrAU%c$fN#@VvO(S7pIk$M}404w;6A-t2w8mKUB(4N0Z zicIMle2i(UthD9kZ5AJ&Hc4C^d%I~h&%Ptr{PP|j-2cck4?jJ4 z?BS;$b|DUB9JI%eX~G#~zEa8Fg=9@^rI@*fA)as2$mIdCzjYz@6nXvP)aW>H7&ZvG zj$#t*m9hI4vN~t~f^cla5~PKBNf+pOpuF<{9TJ)}9LBGtqFpWkR%fK{7N-=AiHumW zBMsnbBVn8l1D7s^OJlXI3~eUC8ZIGOesRu`TAUA&QDdn#HoJ6R_sV>TC3Kc|+%RVZ zEQ>w*!CO*f(UHrSJmyj0qEX3nCH1x1;|L+s+4m4EZ%b^(BhIctzsyDq0nX|Egd+vv z>QXgF9kcM!iOHIIAW>OkD7y^J-Nbl`@4HWDtg^!=QUs?~DwlR1ee#($jfn#>FNHS@ z=Z8hu+j5E|vBXO=dm3IJMqQs|A}z)6w%}geU3I1ctI9m{{8rt;LXU2=?FbiC#B(&W4mdushZ;$S%bu zt=2cpJ-50GamSO*GcVx@!>P|1L-z4`1RgSspL^W!gkGB+Vd>$;W>l#a4BnQNTW4w^ zD&3moCvy`ta^9D#1&*gLdxJE%4DnXcg+qSERwWnuPbex}T49vA!G$swxk z+5iC$BP!qc+Bw7``j`@3>{G|yZS8nS)utE%!)p48iqaTS`UOBPt77LH44P-5UQCPu zzmO_%v45nmO?DPoHnYUS{UmDU1o^4l!)JJ#}kCBZy_W$`=8Ec6+J zNvMt5G=X%(7GF3)xsglr)2dPN2nt{D@Ub8Y@Ca-$_X8}#!{O%+)Zv=Kayj8UIPIDX z4|cfmAYC&s!n5x%yup*t)fFV{HIV;-p~EJLmVMUuv=Nu)2U9f@h}oK7wm++IB(y;; z=8*ZBysgWz=hW^@;#T8q(flY+$u72zF`&s6y={XwsU+(i`ksJRrzc!Y*yNR)k)j|9jsDtktH zEBxJyyAUQ-nVAe^9@u_Fs#+(8bt{*pJT#NP7Z1-SY#)>rfa^gD!WHL0kXgtcM^PtZva4x?*-4m=i^?kwGj|8?3*l-`L+SW3tZOOhQNZ-O}vwI#U zDdspVSEA%{2VlQP^q`~@b9cC}kY6m5#_X27e4ZH*oq302y*@qxS{;Oc*EMMzIdA(p zd{kxfKrnuCWfFg*VDP|lbm#?pFSM6DzaWC%wn1Wenwqj0DQM<#+Vr?{-RlOJ6j}vS z8J)Avb+nd~<+bKd_kjP1C!;j_FEmx4`z9=Nx*ycT(ID*>23{LkgzXS6QD-4kh@|XV?I*1i@<9k(o;Y{H1LDIV+(ms=O}|k7UBLi zy$&^OrVo0H+Vp|BY8*c#k!Ae3wN>u@^9Nx{nj6x9pFK`?ht3sp)FF6DCCG(f>5bT8 z9N?n8^Et%DWCytk9I&_!#)u_)!{_!1=i?GP8$Y7ACQG{ES!*Wl+I#-pmoyQgl0KZq z`YX26*=x(GhS|`~io*;IoO}jzImhe=%-FO5E22|Mj5X~YsUgeL%bD1?t~3rahhx}Y zTM>(G%v?wn$~@4ZRQbAIR~z1S4xMlTk_X57=$xj`!@|dY$BtEwG!U}afb|a9;L=qN z>a{QMAi_G@-oqJDpw-9X_maYDliBT@hYtsbv8XD!+z-G!abAf;Vr+840$Tq%2?fCC z{viz4@SE%e&@b>GSKQ(xm{DDa%PIAoFrqJ0gC2IkQ3RWV6-m+?jZ8?cQ!BB}8Yn@8 zmvgL;nbhe3(WX~9Nfa<|%aYcsKwHehsmeb(LiYUH{Wk=dy^0YfnJ zX2JGC4JJL;;Y=%B!x7*@nc8C!Zm;3%L_Ow6f`oabj0EkrFWRXZbhL|}_7Lc}nb|Qi zz643zr;O!L%|f-Jl)_a~;TU~QfMRaoEYvqQ&K6u ztH6gM@Z@HlNrXP6n-3>k<;U-3xL>fwMM!CcLl8AUL=cp#Q;4H%2N)g|klYo`Qy9cr)5@$YAe~z#s^KO>^uu z?P9BGltVx7ydzy$O+A1%%T5POjHO)DhP)iac1&}ekY;2#WRPnR@kH55$cp-T+Dcc= z?7+Pl>WKVc1Q1)e`NKpjbZ#JUb5U#P}PusYc$%;P!Z?DAS5G@`;a&rVJc#9p9FRDW z*#V%Er?bpBg!VYY&?d;Fo!Ud@h)dTNQk-%b$uM?q?I;xB=^zFYcJ|4I8ulPC01crj z%oA_??T~zQP_f5B2}}V_#Aq)=pma<<^x3aCY9E*<;%N5tv{a(`8x$3c-Swbe^2X3};C942fuIV{hzi=G~LNR)aTk(B**_ zH_L;MFhxgT=KBotq8n`l6oK-P>hzTK=z=jFq}bssx2h*dLB1;&vrc1)Mi}!rv*+yQ z4Pn#kQtq91L9Re&?}>kXU{QvcwFsr*3xqIXnz(JDLTv2R&Rx#k7q6a&Xlq;dnP&mm z5EvMfdUs094HSZl?}Y}~$7ULYh92CC({4c_xgrDi&*wkxli?&6+r$CVR!%C}LjVr^MByH&bP$?{uq zYH#CId_Q}to12;xPCS+BIqt5y;S;+Uz1;)na3~g(nCm(kCtVJ_c_faE;BvxT&ECCn zj1Ej1ezlS~$zd^VD4oG4CXot=hvXp)m086On&YN-enRK-Rlg0D2&|-24#A7&$rT_! z+&O55iIpmUC9lZfUeVU*UPbwI%1z?XEF8ipND3@~3PP7$`h$(m0UuaHaNvNA zLUYWgx(~zm1eseGAByoUh+Bl+w#W;(Iq!1^lVld=DDuVu>Fxn1W^jBxrX^|ce3X;7 z0qJCDz6W{F-^J^=FN5A&XwunKD(S5rvtY&o;g|M@{g-n7Kw#5-DSUvVFqmh}MhXG# zEJS2Hx|C0`Yl>gV=e@oFn~lBb6#cU!4K#KzX;XD3qND>B{qjUVp(KmD%`3)1>!=0L zDumRW_Z5k&74C~f9TbDKqyuPpAneVL>|KDKEuKn=fnsZQNit&o>?r#`U^;)bIeM~XB-Q-s!G+#?p+tJ{7Q0~?) zZ1026JxF)!PEq!bi@%9Ddu|}9jNw7VV;b5F-0;k;AB!bFt1&+tep;b00-&@Agera~ zpwNof(2PskXTOo%;t(QPOh{lpw22YV?M4EbF~bYJZQYNw3}Ii}7c9^=45o%|dt;6U z62+f(qUa9hU0n>liX)hOY-tR;gRPhas<9GBm^%U{_S~Wn2Ty{CB7k05$Lxgr9y6G7 zc$lw=Q4&~+g zC)hdkr_I@==InBFeyKU<3i#pffVdwKBV94xjSdX7=mU1=!0_OvgmZ>e2Lm;uunB>c zw0m&J3r6!excA+{odBAT1{U^(j8P?I-)w_5Npz->P%k=wCKPmgh-FFC#e`gez$j=4 zSKxGzqk4~;h5<*%NZix~5m|A`%X)H}pr2e<9(T4`#}N{3X*^a3aZ?xD%s09REfpGS z5}V0rhK(8>h(QkLwh?FlJ7cJ9DA6fKR32G^<0+&NQ4AUs5#45votsbQ;?lZ&X$+2Q zBXeof+gNmEwVTp+K#m3{wJlvxXU#&vL{G4V(r%6u2B42LE076Sr1!$8*$`I4Wn(&t zvj#jKT24nB-8&`wq^OqfBXmfYNqI+Qwys_4{kU_|B`YBitfc6mQIyUVf$s^woMfD( zvGFCGu??B)MS=mwFy9?ZY~oK-zdV)DGt!W{2~H0EaU_m$+Z{74Nwj-gdR##(2rGbXDGL)~88NC4r_Qfq5%- z4kB$|B?&?4$mJhwjfkvmG+#3dj6dI|vGy+fR&h7L{@|LWK*0*phOeNM%UYu~WzeY^ zIy=Y!W1StK7sq=BoOvDvQpS(szCPYFf2b!mhJnNhy z?X0ik);`UCN=vhx7>()r+=%)h6A8;88Xdx*1HU}nj<@S0UUxnUksAsWFR~*}_4(`P zY9XqS>CZKWe!bjQbTp^CoaZYC^JXuo$exrtg;RuS`Qe-T4yKKwt+tK0b|=aksvq?A zRqbi;Q|pQ$S=m=1R3C55x2L~~W!9||f+WPodI|&)XFq%7{7E_J;y*Ij+e?}$!Nkc( z2^Hvh*GR?Xq?+%H=Zt4emDuX$%S-x+H5yfqb8V?A%UowV8Cw&VZaNFBX%&E7d8=DTt(&k}H z;Ige#+_=O;=?T9IKLqVZ85G~6E=jS(?VB>=xtL<+k1$M)#SvKB6TyP<@`JMaBZyP5 z5*@sX4H2JELnt6u{|#PkqF0iWH(2?i9s5*85;=CHxRmuI5xnWAIm zUc(kG=8*I|SqIb?R`7icTO)lT2M__tS*Mdb@<$wSf9q(AL7N45!A|R%hg^wAsIa#N z$_F=Wr4%U2urIYza4lYT+e!2WXC_~6)a7ISV}R)>v)SZr{@h8%9&oG@pCz-Rac+ac zbzC(8goq_F>Lc2UHA-}M>P=?cO54iKarYNa1GPZZnkXD=Mibxf7C0VeDmL+wiC%`P zA$fph=o2N#0}Ak?nXV4xDW#xi1T1my??$^%WoBh0N=JYci8^w1z@z058XX<6NSb{zav4XM zoeI{@LYBpL0}vL-M;u!7o7|wJFwndf+i|`cSJ4=M-myY@dl_ z)P>;;1BS_4umxWMv4Uq+1+e4;?HBIZkXX#Tyx{akdZ&dUw-gfmUIDeIk;-73&iX>@ z$V)FvL_QT}X7-d*j>~RI8FEc|LZdqoJRUIz%wQLD$n`nY)}ApGA_5B5AmXuZTKQ7}t{Ba-?bVJD+A<(8lLo)NXE8#xF&5*D7n#Ku3nyad~) z zQHF^NO^ukLSs2qK8yTITj>%{&M}H}B25yy7Qu^s|3_$*4nc2~8bh6)zxeqXKc%eYP zHaNCu5D5B(1F~@YZLh!C+yXtI@_15Fj~~>LV8T*i2mk!gTzFERzr^}G*<%OIUb95k zo$i#}_>-s@JZ2@O>SR)M!E`2w-IMofFUhB1GUQ_jmAz)LCFG~K3|cxwsGARh=9>pk zW>RZ!c45q+%n4T_;cQC>QWc2z5yVjMt5+Ez{Gj*1JX}B*q=dB-egHCuJCx8NTo{lT z(4shn3wU5ttuPztKsOMYE;xmyw5S3J*Up&p!mbrf%@tkv>~EKxT)mrewOkOi!PkQ`iMj7J&}a(B}0tPI2yL!7lRvPr=bhakzmsE{vppmhK;&2oD)(pe`G9N;7(dY86c#P1le0J zS=|#Ehy60_f$}t$rEc%`=WBH#Wt~@yLY>?b~`l8%?uV-9#lYT0a6>NN!9Dh zx|}SSU_-UpB{?KA@+3wrK7%L_d@oodPeo#dd33S8Vnw=m zIC#Wo2TM-anqE*E;HK(GN%A9-bEP*VF-jT*tv49=xsJ!THGFR|jqiysMir$jk`AnLp>L9N(q5_N=tV z4$UB{(a-@^Hg39xDfTZkg3U>LuF0;K8n2A%m7)@#VzMptp*TUD+F3y}y$2)%!snW6 zdq}pgJ!BWtn0zW-Gk|Sbe|N>~yjhXpDVI7t!?2_6{CL(8g^+X+zaxisUAM0@E-JUn zrEPKG=T0wJjie&9MBQQ*d`%{MV`lP_mYfhX&Zdy_K-lqsu5whjpefwrDeWrk`#BaDZV?t+7y9 zP@lH27g4@$6Px{&uT?i=$Gt}y1cWWz$*e=QYk{Bz0lx&2j7i$gpchua6kAyrU^Q{D z&_DVWd&PYSNs#$&zf-y$=%Poyi=Kx!pxj%`nZ}KZEIJ>Cm?!C0towp|UCSUg)yR6Q zHJ`G&kqJEx>=3BM^VnjVL=ICp82Cd!2JpWT;09Nn6u6w$9f&H1R=UHfa^&b@Q_QIb z1h!1{GKJG^W@GH6_EAk-l4&gi+ac@1Os~$mP1EPs=#6uQUO`#iLU-3r?FE~JaW6V1BNT)UZlM_d+?dYCkc0BTZ8D49c(p{-0JlXnw# zmI0Jv7FR^}!gAx7wpemT{eB(e&b7F=oYr2)Osu$(Y$9`vJF{v&JRfPFnh>A0XSR)@ z%xCqWJ>&oYU>aszPG5@S%czq8A_z)ZI^=I6qxPWJ@f7G`+%tetj%K>}2bB_#X1_wV z4`2;u5Cnc4aLIVv_-$3kn@t8PTfi|O_;Gv*GNyyHkm zL~H*Zx;R{H=4|22p|qw*I-K}mowmY7kp6gC8Aci8JH5qPwrlv!y*%y|#v%5(!uuYe zWk){pN!O~d7u|6x-!83`PZGi+gtkD2-e?i?Gm<;fL%UIy*$16))4Z^R6q(Ec3OiwF z&XJLy%EE>yAt>_=Z3^o{Zce-FWk%j=h4Y^;=OlEb_dKCY(CkYBZ;7NV~tTxKHw%{G??|{$f2Nf zx-e3BQ2s(Vn`z`j>RgGqN+p?5{Mlg6@Tbw0_7-x?FzK*$bg&EEQzb8X2W_g=@h3jg zo6EFX?=42r)UdR|I-W6vGRu7$u6#ukCq8deIA6rq2{|sn)eJ5oZm9$a6t%b)E~$Jt z=V!?2hm}E)O*|;o0E+2x0e3DzsA=oIDBvsZZo0CJ}5qhSITp1GRBj#^1OZRw#m|;Y@s%QxtW) ztEr8usxCrZj@+&?>DIZT$6F2jO-xz{^wK$G@CfrZ&_|1q5@c972z>bx1{~;Dk66JdSIZI_KG_gd9pb{bvPM)_ zSPJqWqli#ZCU;gkKuF1{Xi+fFHHAfn$MTZU(!k>7VdTARE37N569(xMcr9CL3Uh>D zM;F8_Qe&95wJb&)$|pp+t&^ z!j7ak9B~^GYz|SsoTjnLm!cllUu!3GXpz0D+cfA2w~KUnSl5`7Z9`)SC`1>x6T%aq zDOY{-Biz@ou}@QXMC@=t(29xbU!`&m~19p zRQpx9umqB;B>__8!ZvQ$U4S;WlVI~JsqIL9qKgJ9#1z87N69ut>fFd;h#22?!vEyZAj!619xU&u{aO{K?Xs}~;fbY~iHOprQ_{_z zv55si)K=U`H3A7=q+V*!;nG$ykmqt#JTNcO0?YzBG)5fX(CLO)nd{kbEWEDz(u=J4!y-v7d23gZWjlDbaE6luMMX-o3=2)wG-5OT$qd1& zvKa*QU02kfLP(Z3vJ+6}>W?sP^+8m-i|E)A_(NE3mQ;FA$seBC>?Qb`pGe5cBMT*M zc$2^Gh4XbXp2zE0^^#q8e|7wuKR(0^4Tn*HBrWkyI#0(T#vBC?dT+E6XWR}b{d?*E zgg%{*Z$ix-K{2WTQSEDr0>L##INi}%yeQQusVfw+J@jU}&9GuwRaG2rTG0w+C0^T( zk6akH6SIRCSs%S&TUAcbUABkLJX8hJ$d| z9Ph!k5tppyDSjo@{!OcZU)((576e zlzTI{$c}`_wyfxLc4U<}L4Jk0Bk_?y#sL$%8%s)oG|$ z(>WGW&7Rw>55p@#7Ki{Z2EG@e>#=#RbknKFWF~tPT8S5WQOURKO}(pcGLERk9D%L0 zt&ymVjHC{8t!Pyi15~LV$n3AfrRo8uQt6`|{;WMT9_UO3UCsx-uwjc;h=WcKqLByC zQ$>%!^@;?;pOIJth>O5B70r*?(R8B!Wb{a$((19t^6#xlYnX zouopK+f?&5?HEaWL}7$ctSU)ucQdhygrQX`K<#d931fCxaLc_$Hv^chs_OMN54k~2 zVL}i6ob(y)n$#L=WfobsxIlBI|7<9omrR}l9wvP=S z_?@LwWZAPRt-FIl3T+s8M2#Kr0%ruo`5WaT-HS%hCioyL2F*m*ksQQmBhO|#Y7I6h z-}nn&D)jG(>lp*iM)OiIQpa>*Q!_d}!L(n9Da2M`w!WUy>FK&(ud;X-;i?5!St$F! zuFL3yW1W#d5QfGcR!7hwJv}b-(!qt8BEck%K(-1$l~cVv1QF%K7vZr;J6qkOp7jHfiZ-5qF>Z@+CrI(jcfyuAVN*LhN zV?9YfC6p;$3VSy%*;cS552SN-BAM9F%ke))OS6YThBj){gAqQ#%AfoIel2oX7oqj>@ zdNJNb^GdG}=e?}4sMh8@Bi~ei%y-w&A2}_>;fS2?0H30Bj>@-ATjVk`ZofKMJw(`2 zre!P+dZ>3*NuCD>2&0BY+klucRw4dn-QaTh`VtNt8G5f*1K-Hmv zLYnPP4Gl^5QQuvv9pwd5HYjH!ySDgU%JAM0xui?J+$C2~xvzA|1jSpy(L&HUSJ%DD zU084&!oJ=(c!@JTs9L4Dz+s5c6BQA>0@)Pn6)Xf$W=Cl#ug;+mVaa$m8eLj@&qtSJ zQh)C~y8b;`VWr@Pfl{c>x-6?=a$mI`amZkQLmO*Zgs_sLm)Y9zna3)ku#|@j(1H|2 zh3r%oqmZi)s*Q@((lW>3LynkrL~Lcvdrde)4;eeW-*8?M>u>BIKHN6P=OMGbTD_UM zYernN)dccn0&w%gRdbM2u`peBI702bm1D_TG*ZsuUhu*oIF7Wm6;Cy4NQ-OI+f37u z17)Ad=E9lpVrLn7AChfu$JbX%Q3*#QyL z44ApzaG16A7G`X)!Ae;(xW_!NBqNNK<|U$j8Sr%BOzU}K51&lUE<}<9-eZmzlt|{e zfIos&6H*b2F8)q}jh?)b*&}vYIshjy_MXd#!8cml*|F)6oQo+sGDI6#T2_95<*{v4 z+XVb>Z_ zt@89V^>naso6_E}4R&M{9>Wbrgl^z@tAJ*UPi7%a{q#r%)9bnMX^oVYZd<+x>#E zrda@Tw=lw!ED>GNuOJ_MK=0+NMR!7B;SY`=g))GbMue=Mn4C#yau9BW>ZOucrP@gI_(M+x_CI%(U6eOZvRD}4$rD^_!xrkw_@ou^T12mz<<>W( z+?x0_tcPT@Y@m6BRpD+t1(P)yE80928s^8buuPgqpZdTvsl0f6F@MNjK+TiZxHKd@ zXo!5^DTD9>lbg?=VW0}$G@mm$e}JWNpg?m!wkx-z${5Z`qkw9E=*dTJaN-^u)T(*V zYPTCmu#@?P#0MUY{`7u7pqq`Z>rT%HSz2Al=~30Kl@DqJA_K>a>x6&Tsy_O-yNEuE zmOVnfv3c5%e}ir1O$49jj7?kzUBNSqUR!^gk{vUEJ}3p!_2DriJ9gL}gYBormtPq_ z5;n-|jFHBvdGG;`ol87`&q3qyekgsVJqOqGG?>x*q0`U6Q>b7iX0vf#%oAe!dHIxN zc7MtBAtR18`q8JnyZVO3k4kKweFO_d{JIy{!5|OoXzK^Wd_OQhYn1W;G`e{|r*Jh7 zvi2U=eSoyF*6Vj ze9+8;4?fyF@a$s`dW>&)vye`2atB#PF?e>BRB)iu*U{Ikn(H?#sx{Z2!Xq*ojo%ME z8&5{Ax&DY;wyrbb#;|ECzlhxRM}&21 zX*bs&Kkh|t$b_=jy^Oj)IPr}TZuJIA3;GKRao-+7L|iqGf;2pz`mE-WVkzCfHWr`p z^h5$=b3MD`O1g05$@o?zzBS)GVIp%q#etHMG30M}) z)mv!+(f!&V(CrpmdGw`Q3HQrib1UWgjJ+Altt5TL4GC&nsSoILwo)F^uESeX9^%~i z*>SsGor~!_-A;L!%_uTvx2c+>Lx5Q=r7nZ&Jx z4hCEPDR2E_BN#K&Oyw-RpT0T)||59-*ATT>dR-ALax==&(&OW{QRAmyh8i z8S77V-tgJci8EEshP|zp4CEjJEokGh8*w_uR;GOS2m+sR0(VNtB(s%A)u$k+B?>U6 zOR8cI;&^A=5({jr;8W?8b%VnJCP%OHs;Qih`@Dk-^ZW4G;t*WCRlZi;R-&N@hUvD6 z?Z5&92iui(h;FMS^8*RK&3E09h8JSIom;oN!Z&UwB2%1hr98QNad@55_&28egB%#L zRVJ|3vyU1Z_7`)#xIR0(Z2{IrDCxJ}KBViIX1jsr`qlMcJUBc)8Lrwv>%V$H2TZkZ zo+g5jh^gR7%D_Pxo zX8tUOIc|XIPjDpN78%E@XXYxqRVK-kxekS!QS|mvpExiQiGDsK7Vr5g&#yfcyc5Z-#q>PN1Gx;F@me+#se{bZ7l;Z0$0?*$c@jFgC}&uDtU6> z;KU($9T-1dnEDUSmpF9ae=>Uz6t`;x|HvcP7n8j%e!ngY^&9ip!7e``1bw*eoI}J(faiS-E4I%epmghbEe*iFDmGg@nsuXiJ6Nshavt@zB*~! zsbdAUYH5SA-16Egsmh|2X@qi--#A4^Hj0d7b;=K*`5qerR5hP{Ql`_Qld7Be9-*ls zS(6)aq@7)H{k)3Qs?}t#)c?4ccB@m7WPVUz|EQb^?_JkL>fK;1lfFpyuuXsNO|xF4 z4D2B-sINC-S-Z27={5P=l2@uOSiPAb7DXy25m%(LS@UQwdgY{b6=jQ7>twQTc8mQ| zwS8Urpi0GB)!Dz{#v>~anJ;NsdVQw-RFzINc`#X=x2ufEXniw6d#l5*wrdUjxdQ$2 zPTy$x+$k#-rrO$3C(bM}Sn8U!6OFtrKc%kSb(b&y=^c-OJC1lF+R0%3=5Bb z`Vo`gIyA;;dC$>r7bOQVUQ+}4Rr`k3l~#3Xj8+DC?Zp_;dZ)O?vYqzNa3U|8s&-Nc zmAIlxC$HK`4r;Tro~WzN>^8ZnbzOe5(C1N{St>spqP4U}h7hKW`Y~0F`R)2TZGArh ztRy(YmA}4xW}ORN(moq$6wli-ZBG1!Rj*goj=Gk&QY)AzRq^D}-Z===MJO#nI!{sc zG8I+28yT2&srnP6N4buD>({A3C79hQ?ZJ3!AO{TnV;G}29x+yxs3G~qOyXfD3pd%y zGm6hQHcT^L*f-(RyO}0QlO*|5(oQl^UmBEgFbzw~#HOnLT}cU`OU#>Xe_*d!`ySY% zs{A^pS9BzJ(yAYsBat$qc-*z0h0lb7`IFCd96iu2j+a`&gyD=!V7Sj=oc) zZDe-Nqa=R)J%w+B#@BODVX`@-cZN*S`R0kTyQqIjum&e9jroPZM*L8YBB2Ad#vi*j z=~kvwV2pCxiN}(UJ9Ia}c*7u;d3Z%$NjJgi7qmtPVtrx4dHb_u6T74tOYR{1Q?bdc zs`clo)4!jW%(^09&0w681U0_Y5pJ$IP31wHu+krdJn4A zJEK#2Glbb4O|)-6pDJ%G@hlGLC>H*KK#@&v7vbHsO|+;a-=bpj$NpzK-)4axH;q-| z6jVQ3Y}JY?$v|6C&kXhKmHj@sz2|S7Y#egWR_3){mt+Rtp15jPy`~;I(C}lIe4EY8 zh|RoOcMHbCFE@#u&$B-Q>8q&(reiO#Qtc9*8|Ys{B1MDvN%NkG_NrY?Hl^7xiD{qI zQnreEcC21AshzK=lG@R1+jncgPgwerq1x4#?=~;XLp1yJXMg z(YFezA^ZPHC0CN)`b_RwH@CW-lKRrQk!xv5Eu-WDMp6rsUQ6!mCAFh;pR+Ti_>4#9 znlJF_-y%*b(`5X)^6(x?=$)&i-p%u5cC?b(CuT~vqm#OrTJb&fzD8_%Qax8OU8T{= zjeAy`GEs@vdfnS=vz|t3opDcek>}owA^KXgjC0zbZxW;@!`eoCM#_)$Xp@Pdk^Gh@ z3x?sPJlO-+*6B=gMDpG}0fuX@&6PaE~zt%{s&npvDo z9QBZiXvDcw0vYFN;Q5?qLkYUv&0qPq>!?pP<5Hik-FI?}%T`rH^vnO=FrMD`pI z)3;YoxzymZVilvm!|yZKkyH||QSlj#4C_b}{i9UVpww?L;A&Ey;ogMpD z;1(J`T+7!+678h<`k&z>_M|%5u^VOyRM-51m}psI(3mM~rmFrXVl4ee-Muh4Cbj4p z+nqtdZxbaq^0hyy!@lPDC^3DeGLt5ZO-f%`nQVFY%9s)+25ZgU~N(T%QJbR#A@dfP>tG00$}PZ9O8bK)VJc}f$_k-pC{%PE8` z0A<1?6D84C#rzcK+brS%lBNviu0I*`e`!(ZZsms_D(MBzZLP z`z<69enV6Pz2_m@MSeNjMw08@h)mKXYF3s`yme8IgT2}EPp)KykV;i-L{@HZX3V{H zVTnbo*7_$_iB{S>PlUORydk>Yjnjji5iiB9504(8DKMJ^5G zhUW_%*ywqYy>5{&a;VEj8W+Z1RpQLUrF(E=@VAi4 z^&5Nl6U{q-#0TiN&2$zH)b?+6-+s0 zQ@tS{I5QKpbmo|0DaJ)EkF>E*?ubyfdIBebzf|DO6_B#CvU z+O3%paOTw843XNO&qireC4Rqs&CXFS#q_eo0Z>ouVMx^woJ{`9gQBXRn2I;aAHFp$UK1QyUa^{ zce+&d4i!4|Yrs~mjP`oOsV^T#k|97eReQDVUP0eE^Vw(<4c45J`#qXJs6og3}*OGJj$z3r$Ghwp!Aoi60cI3y&nG^E8k<5_rB?DXX6MS>G&Rmp|; zAF5=@puCd2dYH^9NwVZdUNSfDx+R&N6g_+Ba!<`y46^yIUrY4uR=mWu7tNE!N3^-D z`Vtu`=^?lGM3orAYW($!8p&kKq{)$wgO^b4fN3pO54@FUccd^VR&;+Dl@1-u?L~p7&F=BR|Q~udn}- zP-`|PWoNW=Vu?NHh0DNvPST9@{=_`zD>|lUf7x|lOl+@TV|ax`Hh|@uNzc^gb0VWS zhK=c|eHBj9IA7(DG2AYuz3Eo;EU7}f_7(UT2~)6YTxsU%t15$ooQ~@O#vH)wNvJGqjZse|wKL7$ zce^h!h`v0a5BJTW>pNug&07*Dz{rp@KBlPhwi8!Y{Zr#aoy1+=_bCm1*2#3Jo%9l% z`e?10;b^nmz)fP*TJK86+yWv%CwBhK+)?%;%%dSX=GXeS97M0Y7V>auzwDUw@1V>{ zfIlC`C~TaATzkhp@N?>?D(FJ6O6yey@B`wa57hR;x&vtZtzs1;eqQkJs$b9(=R zl>8|buF``OeF$o}>Htb*Rl`*w{&K5@n0DhaT$M*RRrL<&t#YVp>X6!^f~+q1xPtHW zs<%oAD5MUh2*Q-03fZ|cq{n}hGrhA3s<)BIhL#OR)RHE6>^NnJV#Zt zwm6mQ!e4Hy3I2%BR>UJ=!&SU`7>HK?%dOKY>2<0!#8#gFCG7-Pw^g_;IaHQN@a0Wx zB>m~}SDu^&sr1%Db&S8MkU$>CtB3smFzA>nsd`(5tOW9xpr)e6S;NU8`M0W(cS%5k z{HK<~9Bic&=r%{Akh?K0NcSt#ARdXD@ z9qB0zDcNes>K!5}ic`xS5}*8&_^&u~OKh~Ahx}jOGA-;O^?!_-Erbv99TbO~lptl7 zXKI?ll`PjprFV28_T|L2 z&?-;rBuBYLdeN-bLL^Aa3z2U5Cn=HC9nxwX;lc^IMVqqf|D~mdOS`nfk@FU@j&}r6 z|0*E2b`Q}dAmu;{wu8tGt;Kq1#i1KcT}ms>N{c4P$WG56dQ9>x$y1}$cuL7B`9eYz z;Yv!$5qgVGWfM{-f4Gr4?l-ogsHT@CMuKuBj3avF0~d^jqruYy+YP`{`dV0 z$K8oPa^jRyk+v_g((r$JRhcwoqIHC*pn&jz+yUfO@}#ojD=UAJf;9Xeq;@-$GswBy zaSZj4;}EJra(KvDo-l>Tdk}3W%2^G$V&lXO)#D)1<<_lrp`(02lqK>MsWAVxpgV@P3B>UyWo7DH{!hbS z8`Z>7$WquQkc!@vc~&HrB(>6R<%t+hx)m`6Ii$5JT5@@0RzqfP+^X@Hp7bcIH*w*E z9&HOD!mabTPt_?IPOHjFOd?;}Rvh7k@%(S}Vr%Sue#9g##n>CYn8oLCZ67X)(Irxz znvs9f-X3BTWG$qWvx<(CB-r$Bl(>`%tsy|lq&KGM2K6hg#VthHI)@?3QlZKL3M>_j zy$6D^3#Pq=p|@241R}>)F7z(+DRKAdUFcHbS4JMEN&#*NR;l^lg`EpK7j`b}(rCL7 z^r7H^FqIa$5y*?cEu9V-j!Q~(A~iK7?FM-+E&fjfCWO+y)AN4@{AGmK(78c@jFFkN zWLv$CO=idfS@HJ zN_kd*ikK@wW%QAxz6xqV%qG3rsgoS4D&}fX9nwGqa%+&Av>>^%$W={pREtur4RwOp;ofM`m{)SFW^K;IA=L1Riwo&=Z9gGV(*Rx|ud+|8kdjx7&$n+IJU;&rK( zI-I0SsaE)D4N~K6pegu$oM3nL=y%kk&F4_h~R4MD7e7)>boVMM2c{N7zaHvtTyNA0InT zfqC#L%%|mL@RzA6uLU}ci@w997Qtdz0!!gD_#BqOa##T?b-CwIt7z$~Ny{4QVl6pX z2l3?j3tISk@{y97`;s(mfQ_&THsfatd<9!!8*y((ZH3zo=!tnJ?1J48!PDW;Pslrz zPbsyBr^E^Jw8%3n?PV`djT01D`uPz%d5X$lSH|nFtooWKO8TgMupbV^fD`C{gp=?S zoI*x|PM=4e=D9wDpP%6u_!YOaa1MXxNzrd`0WR{?U&8)(*bjfeW&B?u?yGQ(9^pDY zLL}jCz@KmvZqYt&V|xexci|q~hri$f{0$F5+TkO34FABt*kvaC6Zj9Fg0gI7SuSOR z1Dp^5fgt^Z3pEH*fE$7#1VX_BVGs@}Ar+*CG>{e|ARVNK43H6CgG}%`WQHt|72bes zkR5VBPRIpsLT<$%*lwUJ?N?cSy^45$z%Uqx^6oI0ID`r)r zw>EuXal*U}CGb1bTRtVxzhgO7DND+k7Kynulp%asD_E7oe|e~YT}7w_m2r#2t_oBI zSp_7Jj_Ou*Rl|y;Mf>_Xm#T?-EvOB3pe{r~J*W@SI;=}IK;IA=L1SnFO`#byhZfKh zT0v{l&<5HaNbjEKNh=H!qjeK^89{A}Ay`VR2A>T6QCO+FP)d&B5 zNn5NX{a6jv&yqe``ZdX?w0Wia;~vi_B;)@8{11f3$dR!sc{`Ogp^MdnOAUheEE(Tw zF&%t8M#?sMT2mmGf&caJC2>f-Z$RA$o3PsqTi`3=PQdS0^xI%N?0}uH3w9%S80>X<$dbGtB~4Ne-@*pV*Pf&uxzu;qeGkXr zIQ#%72qX3JBb-G46P$tsI8C{nu{`Q$%HkKR9^<30UzgdA%)7FxU#)0nGSQ?un(?54 zI*Ux-JSv7b&D@thk3X5io+F;~@Ecr!i*N~KX8AknA67%=UcTqrqb?Kw6}YPFI7(e3 zT~bEZiRT9ViMc4;B&<9e(l5*NaSLw49nyD~{^g$aCgZD1-N)`PcmO2`SC3TxZCR{5 zEcFN;!#~*n3s3O#A3OyzEZsWeTrTDk)Q|0xBjJ>C*fwE|%ot{T5uiZk)KVT!?JjM{ zsRC@L3Pe^6_Acy$AO*M~7($R83Le`x_q0_Q_OiQ^(r%0dTcyJ6M23{bA!e4cZt#_L zYQm-OuJj+-u+I)Tu=C|3C;D9YeG_t%zC4f@^1)k>le7;cY<|KOfPzp63PTYn3dNu} zyp0SQdrF{|gm)k#`7LF)Q12qEv|Ue?fwE8z%G*s;1^iTmN|aM&h(t~=;;VvMl`z$y zI@G{i)0TE2F|<;(>{hC_mhV(`?AElwHmWY}QFdEZPy6GgMAT^fG$4Eey=p@{g=z$i zp^4p6HMQHTW_AbF9QPK`5?VoPh+#(F#%{w*&sQf&%fFLqYjb1N=4S%z7}deTLH=U2J|j%I>AQ+WgeE-A8ptP7k}U>S^;OE9eb3 z9A;lz-_L4B_HjSLewN)y>o?FI(~u&upN;(-yFbsJOMPq)Ky|9Q_CVZ}`h@@H*>T$6 zr=;_N)tk9;oSILV1rWgshL)@rYQ26s)grC$iWIs4dCtKJ1+4dU9E7xlVQmwH^sI~Se zwGKCFN79D+={)pQ@zk}4zb~lAc7I7%9h+mrm^eju)P#4YVzo~aY|WJ=4#_akwhgrDFPG7{jlmgQ1skn=PA z0s|_o-|`$O88xHE?8a8rrXm@i@eoiI`kf1qB5D{vLA z!F9L+f5J_;MY?apeqy|Xyt{A@^L>bAZ1~Hb=FQ`D^}wE?{@a( zj--s8>amu`nhE{C#3^O|#Gd7?zZ~j6+!M(AQ{*a#OIcup!{MuMd5&g#{qxi~*ki*j z5M1~RLcLGe6xd69l6jWfF^BeV$|P938@CY0$MjWVFYSQ!7vW3r{0t#Y_jTH244msN z&zI#ZhYIz}l=j1TjhrwD2N@evqNak>kOtC11Yu$=*QDnJ;9e7I zL2al5bsdcHj&+RYlJ0m_k2KeZX#6*ThS10xPfm7fe9v=LQYLFyX>0O){!6_yMqU$; zy{D$A&7e8?Y5^@B>%Gqqvkh+>ZH;>y-0rgLBYopeo`JTQ+ky0>?NK|x0Ln$?P12u9 zzb1X!6l()E5@w_7=-A}#3*>o}y_(Hlc{x-kM-J5)8C{4ghIqT8?*`p5_dx9ly&xy6 zQ&R>xRBz1UzYp|9Fa7j6;*3Qf!A_KEUt5XGq*?ljez^6=|0eQ20Cgb5dBYAueGjC6 z8I1k=FobfIvKva8hG8BKBOKfO{lQ4wN5N(`neD#yNI! z%H&iN-~*V5-$@|P-ei~pAHr0a2Gd~%NFO#6^&^-?xY;m=w0;b8;S-n#pMu0I`)%_{ z^8)-Gr_P6vr-iu1umhJ$r{(4S#UkorF@Bf8QinXdrq4QxoX@=S%`?7RrSzArtv)9{ z*;83YnB}B%1+0Wsgjr2G);J>7T4b-o9FO@6)b$X{?w?5$<22?CgxLt2&~Jt~7*N%h!etnle+0j= zy6k=9!4cX%YiRr)#a;YNr=CMdv&8)^{=b7K_BY<=ia7v&kG;~b)a?;<+#$Q4<~jKR z87H(%*4u=Yz3P*wqv-p8!tE60nSgp4y1-uhTYvpYI;5P=VE;4x0>8ppSV!CFgL)3) zk$E1Ozrh8#2$!(m%kHZg^Vl0D?SDWBZkOr*u6XnL#Qxsf2bgx5^jW^D{mAnwb#=^N z{%3d&&6p|Wf85(fa;R&_zYaIxPq+!Ui0?MsfxF0wW$e0#{e9Ss-DX`UG6(v>+Yg9r z>BD?;DqFW(8Ea+Fsa-5P$1+cT;P{a}GAZkm>Tl#fghy})x5tj3)IaF|b(~O7 zi0?nbJ_QbKmE}A|-^{8S{kyoyo|nVP90LNJ3G}VFY$w>8sCh!YpY^U@k1< zy9t{De*=h5_TY|^wixQ{zWuYe?-#vk%hLDB9>g8%7jJ+1tH1A){ZTh@??q-XafZNG zq%{;f4}@V(VE5a!Y17|?6K_h~q%TQ@n%a5J-=E7IN1my#ktfeZQrT&o=h?UQtx1_v z(Z2>lS{4eD#t77Oke)a*Kt^~CG7;bF#FH7aKvsAIvO#udE|tUSTi?o>Rp!ONshmz( zZ(pG8T%c4gkZ&{Rm$seDc@g`I=q^5E-+=OGob}T>ik0$BQM1v19jKcpYOElZRcfG0(;r3DT%yy zpcK4|+|u|h%`;jCwJel_@;ZN4R0Zc1Rnd7>RU&UTrw)~!*HonQx~hV?s?(#YL3OAB zHK7*NhC0ZWIci;}+0%-`Ec+_;$d9be>!ZqERy1k@kUg!2sIrIF2(>XZfu_(5nuE*> zTcEatR>*5jexwfDptgl}&>lKKNAg8_#|ms*%oH zY7}xuJBz3>gc}RvU_4B4%D&|#_7-l_hssR&Hf=-d!sJz+F*6UAcI2A}GyanXsmF=P z&EmMhTGGrlCSgBW+~`lHpneEbVH!-w?+lm;AHgi*k0qYj=;y%4Fc&_7dGIOBhXt?@ z7Qtdz0!!gD_#BqOa##T?VHK=~HL%tx=S_FiI`r|>m&_-=K))Wol=#Wt22@!aY{YI8 z`WR-5ft)06#=Hf-BJEPgTeaIg%7=B6eIJ{}Y8z#o!|^9)aZa@z`8%9{sh!RTY8QTG zpJz8=_aI|0=C4ur!G1UZ2jLJLh9mF|9L4{)`27y`d(6k+IOZRay_q;pp#F&4N%+Zm zPo2V?06j?4Y5bmnpWzqWe}%Jf4$i}GZ~-pDCFkGjcl`YUm!0>yRpraeDs|O)S6##Y zI^2Lik$==XD8o+3l`^BDw`6+pRy1DpX^p$390K>9iMaIs4PZtQ~bAA%YR9`s=#`vKvYQ$nhM zhblF8QP`!yoE9P=9i)d0kP-LSP%{NQ;=Y2ff0lkq+NC-7cpdl5kOi{B8;}jM2grD7 z_BeB3&I!5TO~?&-aF@O`uQ%@Scn3$prs3QI? zxeM|yW)I@G|urZ+D#C#Z$r1WsZ-z--Msjzp>2_-jpl)lv`8G&7e6Pq8m+3h!*H&uG$h+%JvXr zUMuvip$%zl3+;%jJ?0M35jugC*=1Epbq=tsE&;X`6X2lqmDLruy~LM|zBQcws+-QI zOLa#^57N{V_g)~kGW$S0eMH{?r^QJOVIQkl>Y*S0WZi9^O_%DAc>oNAI2fd5+B_?q z6(V;qcJE_11cr)A+J<2_97e!M7=?XHXaS=^@;Qe1$Ko~)WbbP{<_YjYKx#EHz@sMN zZ!%^%*P22+AHr0ahX3iPGjN{?AHgh`jolphm@sqk^9gqI(8tmCKgE7NEWo@F7Qtdz zf}f@E8GMfWGFT2P2)`0_6@FI36w=PR64M>SViaTP{oP!t5a2PTX>G z`fqXizuV!au0;*9c9EXlxW!t*)*j;C%X9ZN=6%@9^RXZG0QoqG9et9uo*M%mZVwzn zru6@ZQIEhka1_78titNsfWqoK*u}iyd%_;WejTZd;if?#VPseOIR1ZtUv1Mz9ko4{ z++Y)#C$Rq!P6mWpKarkO$Vh-_(sLSFXW(b}B|z@2$i7St^=m+w<<{vk={}45Il`Pr z{SEa3T!c#jIn?g~;go~qAtmJ_s-!{Eo+^o1(wq7jbDC$&X)R{8g!evs$T$}eK{~kq zf!$@e0fjjTHX>1hk*`4>6K z&juO0@gKv9+ueW+R%YUteSv$(k+WKv=if*FmzIwi{om*xqCP@>jQS7!i~b4ff5>_Y zbViDbQg$HYP9UcYr~wcNF6`xeH3)qQa0h0zf&*W(8^&uJ>KtpH*jiCuNg=WwkT0l!^Mcl1X+dy0DsU7KQ4;=zCSRJX8PLywF z%w2F_%zk=IU}oA9cSSIFgYJZv`s#tcC-j2e5W`I#Ios)jOnEN)qDFJGN9#Pyb$H5?Y4+i7^edG**q431cW(^C>ZkhQo{W|U=U?hG=!Dtu*V__Wj<6#1P zfO#TJg2^xiK7^?-4W{E~2FyhN5zInA8+8tR40GWVm;c1phs;CeAC(#C}+C4tmgC3v56xleIg-=WB3eMMW}ir;O4S*`7X zPRq9bRXdQe6LtmWvUcOP2lm3(un+bJ=CuwW?;x@d;qNf@ek4%Vh;m;si}ekDjs|vA z-@C4_u{w2z<*b?MSaq;QvSJ`XqJu6Zt+BnBOWu-V-Q? z({KiUhF{=UI1A_CJp2~eKwZH9#lQmArNDyL?}3G^KLQI|mvOrSSK%65ha2!G$eo^> zsJGxY$i25aFs9_L}=ai5NQbQU@3lWeG z(nAKw2(LjVcpWmcxs$~u^T1%0)m6ljHYCs78@OeI?6~KEoal4Gn~)pwKwiiPZ$W-2 z00p5C6edg&(iKC=$o=r5m?aOfRxzuTKG7-880b;O@KYS#2HBJKm46A$CE*=7O?%u% zno1$#UCQDfWxPvCn`TYyO0CMcic!CurQlW$|1x*mf}ir3$1;vrK&=RsK=O8-8jyRd zl}TqLRDnmNP43fFC44og4mF@A)PmZCtpj!O8|9L=%>8HV>q9hl4WJ=3a!FrP#A@s+ z%3S4bDmzi(gC$2bb`*%1!9P^ zD|CY=b_s8LF3ylCyXY?}*OH9$FLR?GMov7p6w|!a&)ZfH;_V5&pf~h^zJwdg+&_Z) zjiqk-L4Q{!HNX|D2D(aFc{wRc`%0M}73V5#4MNU)t}@nOWJ;djM;(IQP#A{H;iw~E zB=)0_J=#^4Hf8#`c-qhyS2-*EmGP9f#u8TM=;M$lYtr$Q`vmk-mNDGKT+a>6;Frpf zaON3|;kM>jmy}0EE8R=|i@WbWk14Yc2tN@fdE=jqx{J0r1@%Ln25D23tf}a?k+*5C z%2oikK&N9C_Zct~K5|vDs&Rgcw~J6>)}h-;HpUtn0_FJTdUb#T7HGqMwi?Rtxb8(VCckuKQ-30A+-?$5$GI1j%ea{y_+fZvO7$yNUq?YExwJ8}n5=YQZ= z@^RS}O)nTt$wku-%ic!=>k4i%x4w#c&DGG#^3r@t`_81ULwDVl%$o29;bfiRyT>MV zw3&ACr%UA5P&aYEMY?aJ${p4_r0FimTMhTHi^lJLS0n2$UEhsKUt`kOnDoirG;;?| zZUo7kB@H*2Jugik>k{P2yB`mb`8WE9@QAn{!#}R3%tK#Rhm70!eFFcvnps(2;ZOD{ zeR+LKUS+VJ>?)?LAerYhr|n9cGyRS{>o)EVkoPp?-iDO56LUZi_acLs&GDMn6rL;T zlY+3{tlMV`VrfA+w4fZA3*gTU!4QJ~%-q^`6Ni{X!2@9s4k;lOq()X6NE_73O2rLt zYD>#XN7#s25&y42CU_k(Ll(#iZ$LK4uH$V@D^e;4`kasp-h|wc2l7HL zp2d9le+#+!gW6c~o?HR!3qm0%3`L+Q6ocaMHk81BNmPEil+s`hfW8#GtL@sc!f$Pr z4r*>yzGS^bMdPG=j$9 zU~bX`_onEZL33yUEuj^(hBnX^+Ch8h03D$dbcQYv16`5REvUUE?{P@JxzgsCtMro#-F2_L~Mm<@B_W0(t{z&!XA=EDM52#a7bEPmVM!fc5YtY=Dih2{ywP_zJedHrNh3U?=Q?-LMDt!q>15_QL@<2#4S> z9D#4(D0~aw!S`?sj>8Xd0)B*(@DrSZ1UL<6;Ai*+eucAe4$i}GZ~-pDCHNiwfXi?N zuEI6A4maRWxCyu5Hr#=`a1ZXoU+@6_hKKM79>YKIFFb+&;3=?qtmy2Nor1mx)d>L* z2rdYM6ySzn2!T-WKp2EWN=OB%Aq_;*gQP``fOL=^GC)Rn4Kl&&kQuT-R(J!lL3YRi zIUyIk3ArH;Z%MLy6=+n>TSFLQ&;yx}5!>|(nUbF5BiJ8AQ#fOb}u6z#35Pz|a>4X6pVpf=Qj zx)25Rpgu%H184}1pfT|_Nzu`2nu7O=h&z@yXq-UrpyO$tLe^njNLv@|x@fx=_>;8d za>g*fkHJ1h+qc9%7yU)66du(&MOVUf#jUG$YlEAtA9FdoY5TUA+iBVKOFCS4M&GWM z*mp?L!|$gf=1!!oGioev@W|Z&nJag}97Fq=NFUf0)wX+C@-9F(^xdHc^n_l}JB2CB zOt!rFL*GT%T+TjvzTOwT~4XTu!$80Nw!_?w5@r!XHDz(QC=xhzIq0!z_<2A{(+%*){c z5*e#tHRd(c?OIp|@$dz#hc96RY{btd{BA~-d+A${`4#4^uno4u4%~L8 z=xOc3Z8v(EcU5K1wFkGo@HOm%{cr#dlAc3w82?A`{|$CW;am6)zK3IQ9Je3f1pEjm z;U~hKB3uIc)A%_9Kf^EB{R(H{9Gr*W@OuHbi*O0^@2C~YzwBlHLHNsX1^cUTEk#vz z9rXtMiTzEu1-Ic2+=Y9{yN~-{$aw&N!$WukkKrHq7oOnvKajn_r>HEIl;vh_1`ao? z6U+f@v;?BMAjsXzO5yHfx!rxOV0Ww);_l77ygw&%GB5Y2P&YTjAq8Oi{tr=}Zc6-AeXJx}}B6}V3e8unvoFiG<2Gh?tRd(Wv;qA8^?jcrA_fXd6 z@&?>cWDK)%xreh}qd&$^ZptDL8ITzIae8pb|mIe#6!PLm=Yj+F(pyofl}};ZlzJnKv}n(eU7%uxyR5yjUoIP zEl=*Qm)B_+i~U&a$7=fuq$Qj=b)+?p_{Uon@ly$MIVaGUPr%;<{KZ+Otm3T7lv$*^ zzg5MZig(*dCwZ@mjA~%^Ti634d|J#><~7iBSDy4wv}%&qTDrcu(}G&ZJ&t@&(sR|i z$crNV^`O3c0BfiL#65txWzCdIMdRMUABU|PqHhF^z47EjeGAe+I%on--IIyeqnbf; zXaOyu6-fN8-BYYKw4b)_53P2jvpx0E!99sIO_eiM)e#w;pfhyA{fXV*igA}zUER~H zZupgVd%Ba}9&WeliF+?d5j350oKD)OlXiKZdIo0ZqxkCsecdy4o07F|Eaqdt$hFmA(j|9%-$xw+Lvb4hV;yripP54% z=8y*Sy@cV&837~RGptegdCxuDD(jqSjVA6f#5vYoQC;G#NsG7Yi}L1VDWG@89IVEX zSNR5mIiocD$YcjSLOGAHzBz;q18urs+hI@`R(=B%) z%vs<^Zdv=uzKy*5&6xmUX1nKFbKql`OMQLf{=}L`T0X_C7W=^SQ5V2M>=q$&F)V?l z*nNh&nKb_nvfnFb=GXZ~L_^MQYqDqaIqn&pA5+)!DDQdXbsl;3sAa^p9JdwjJXT7~ zD|Ni=(_p`va$5syVI6+r;R{#~U%FGP4en2^Z%F$_%%8jGTbr01ZgwxAzS(mjO+g$i zeMK2;buXlk;+;?Iw!;qCNtj)*+r3E75%yrW7ruslupc)k<$3`1pnEaxh5I(7BZfCu zrM(=+&k@{YZ{vIF?dK@=-?~53Ysl~1_0;$HIR?kEU(CGZ2UJ;GpFozhvkpAZ z^6p(x>vP>Ef5g3yV;S>~Wt7n}-JZ-|w`mV2k@XX0bIKiQCAgQ<)|Xj3?OE1o!kuxi zK!%vBtDoH~ljv7@{rTQ8UX5Jwx5jV(i_Y6x9k1N+{S|qe$mdzqb5Mt#{53kj8X_1{1pAq;;7c6IE)2#HtTdaJ%h0RJB zS<)|Tu}b471Ab+!%t+c^gG}%`multN$c&rZm(GG+R(J!lft-8EnSFNj-&5B)P;){q zcoT9%9>@#%;4R1x1)v}lg2GS)9_#emswi<4gW{y~Z731^75$OqRle2YQ6+=(TJKO! z(Y8mG!u{RgtyXFL-y}!5=-*_H@DB4;d0$A*7s`-_-Pn~4-bOvqC(}nn&?9m}X_doG z`i%0Z6-Yxx)JhP+8|jsUcUX~7g}AB)U*k!VeMiQ7%C|byfSSbngz&WpQyc0)-C%i( zUB;3$DhhMG;9XXIh=vBiX;j1D-Bvl?aA&8%TmEwYQ_9}7uSUp~`=^bO-2|FKGiVO6 z)L9F{mnLjW>a-QK4wgH#IaC|$+meTN;*S)_-B`I7+a5c)3oG|vna|LSx%^|zb)3s${@_gH<<_oZystE=2g_NZ9uu^)E* z$*;7roYc_(Z`$QOJLgCy2KBq4u*%O~q~+Zqs3gSLV#%{j@tX#^iDype?^_A6e#ma!p}h}AZ>9Q&+|Oe@u{~Dn~#1$@DcWKj}Z0wp@ z-BK2^-@i2Yd&WnR!S_aB6AaL9lDA`iH}Suj^vL@_GOx<$IBs#$t;0#1*r?O|LlQgY zKHBaCcBcNNF6G&)74W0ipOF`@<(>4$^%d#dgiMJuBjf3QzBUo6<1%?aNj=LPRL0w_ z_}!-4nvo^>z38~2w(Gc0`P0*$FgvteLJ~VE1Bv6bH*Pc67(kpmDLZoq{tWZ)#C+}| zuHD4B2a=bo$oZMJC4O_LmiUuztn9_#*RT)v!vQ!5hu|^b|AU_k{|7(v#Sh89NrQ~F zQ|U>hZA&|f;cFmLFP%u=80_VJMR`Z@5^0h56}yA9pWg|;U;R#KS!b|UqT7m7UB>*^9erb1~{N?IEdI{7U(Y|0|Z0FHf2GhJ5b|T_r77N!3;Qg~a~k8ewV@ zb1l+0p7Oa)_^NzGBSL?j48BQ?y0g z($P2O@#lrPCt;oj^R*8SrHYd#D};DL_?BS^D_97?J`fI3#zzSI4Q1)#g`}X68&-;t zo0dC-w1wQViu1)4cB6>zmK72r@!j^yH2t=ehwR15d#uBm>n^43g(CkC<}?zIi#%5( zubvS0kdRlJ@#nfr(t67Z$1Wx5GHGLuMmfkk)~T>d4QU`PM3Am@r1c1Kq(^23>@vb@ z=rh6VwzkOt((sV>`?{CM?w6{daDp>VI5Y|Y^x$LoV9|y z178$j`eFGp4)=sY9_ukCt}Sy;S`zlGn|HdYgVrH| zw)CHEaBmA?v}w6(+z$O@!prvx+T(w}a#0)1Rk81g9I@w4xGnFwbrQdnM=HJuB;#u- zwq?6A$B;5S;dGN1PD%I#X&2%%cAS&zato$5=`WGj4Rb7M>#qHAriyur6{6GD6ZyTM zH^_H)`k?lOSi<##{vn}u5OM~f9|&173FuV^#LOhh4ue`(LlO*&j;Wp8zq5QW6 zRqjL$BdnC;aKa47{|MBPV7|{NX-tWnU^R-iIyxj3D<9e)bte6Ul!@F85Lxmb!WiU^ zCG0pD5Ar_a1k?|(kF`>h=Hz<{ALBj|clj>LB+80!*ixRnhd`R9z=wq6E1x>tRQ$>J zIHsXa$6m(d#QnMm%A9i#!py||BbWuVVNOUo(ocFxXFOk3lD2e|w(zYx1Nxfk?u?*t*GEL3(#^RK`qrpV?EL!K6St!vef|<2 zMw$063oJn1&HJ2UzZbs6&vzjOk-=IV+48-DV5<+OA#W20?~S8BiR_<{c?va#uVYCYOh6y6zmny9E=@mtI^-S7fip1H z$g*C{&OYlIWc&=jz^@@C?Xz$W&SU-!E`(I)-7mAQX@+`{xGpgc{SJR1?=pU_z*V>g z*LgN>5dRaq4)b~TkZB)(Vt*5E!ELw$cR~6`nZ1~AO0+~rDv3^xQr2!w(slsiTc z4k;lOcBxU*Kw8psopu|6nhxaq#_4g-fIcIUl{iyEt}dLn^DcLo2Hi*q01l zXT1|Ddu&xzsnF8)yX3VrvdchO;w#C0fSr_^%ov8~GBtTBN1Dpxrvhq4s08^b!OGZ0 zLKTqrUF6-Ds_3ghb*KShtk-Iy)`Hsb7yjxHzAi*zu7_HmbVNe~Xb6q4Z;aXmnnE*Z z4lST1w1U>q2HHX-eSSN_w1*DR5jsI<=mOH_Vu+_J`fjM*LEcm9f!Y(hUZ}lM`=IuP zSm+1+VF306QR83`yoY%(ybnWQC=A1XIO+%(iGCF7Xw)$<7RJGNn1H>!BlH3Ki7*Ls zYM2aD;6s=S(_nh&yY`IGGP)0tvX!}88jx=%eq@)W^vlu>l%?M=Wq-*Irq;|}>P%#R zM7hN9l{{LJnuWaC)VFajXUl%!9Q=G7THcoXpE5r+>vYmZea*$~6PO2Wc{XGX!3nkQ zqf!LLvTiJIe~R0D9S7(A=oiAG(9+i8&!iePG0$xiLAWN#raUrCySE8AO%Pu_W!JVlW9ZI~q;+mpmE?}zR1me)?6HHmju zXiZzbQ7PY|+Ku0}um|?S*RT)v!vQ!5hu|&__F~Rgu48ut z{)C%w3vQE6IhVNxchKKO?mf~f?@%N?qq&dYzu*D<4G-ZFJcfVZUw8siFaM!F1$n8& zf-KaB?O}Xjf;yk4%85Pz`*h6j15sTN1Sudhe%+|@j=%4H|6t4^gb5|Q+-LP*4ufz= z38^48q=B>$0qGz;WPptD8f1dkAv0t_URHR+Q(a|)?AYaioSw=mmq)&_;8Aa)=Jw=J zc_6Px_Dba&3^!Ch4`=J7C4lk@?j=2S?RTJ*$D`hb(w_OMjHit%3+0HryeG=8fGX!POZ6Uv z`9?)4o{fscQ;B>Wm+=Et+OgDUB>E~)6{>-pQB)`0HJ~PGmAiMf(AS1KP#3!=w(mOu z9u?)OV%H;FeTeqdvm1Ei`(*X)hQ!wh8k6pOR=jHBS*WUT>LTa7@|}aGxHSXmS9)TW zHqjije5bSpYD;JZt)UIHg?7*$KLtdO%O;1-+pU zdFe}DPIHGR7IQz+-ya50E(1^p;vNTs;5`@&@52xn3d20|oZD(R`VoX538P>%jDfK* z4#vX-_yB(siBH~To`iWaOo0!vi&cv_(}=dGVx9)mVFt{Ek6;$e)@ifV9OQgVm>ACS zHWU9`^zx0>Pw+F((?Iv-GJlo+Uj7zS-$VFO;D zE}0`OK;A-F1d9oifQ95GgXct#C8QySFVD*QqagW;;p?-zJ#FmFsLYhK ztc}Rr~o&>dj3$6eptSvHI01A`#1x2et%E$T_gDhfwUi!uJg$K4KBb% zt+m+$WVN!o70Ex3)_angTBRPJ8f^>kvM z_N)wFc*>b)NW#fJx|E-M zE7g>l?B6`3tRBH*_($i(j4QIgXUduNqs~wFXX?Q00bbW`F3!za+rSg#_`dZj>(&1# z)2G<+kxcU4gQqrWT6}RNFI)Nc=^e&DE3Bt(ABk!A`S+ETul#%2sh*K5-~W8JotU~Z zZCXxV<@;cX-vy=5ame=td%vKZeep>#3>&!v?T__v*IlBCbueiy+h# z;0}}Yu{Xdz)-Y=z^*)e(Vc`Gh7vl67DYqnJ_)>Rp*dRLuLc`vpoCew+Z-k9w%$If4cspCzcsqL-r@@sJDNgxuKWfxKa(?0l$iL4GIz z1;ZxTg`hAL!CW+~uvIMV1G_l=$J-Fkn*=3@uOzZ_5(hW&X(Pev9Uj6`*p(*cFX3JM zmJXX_mkE2%DjU|{Do4EKp#qrm3f}3!&qNLkWW3o-yQ_p-Wr)PS3RESJau>TAcGbfs z+cmi<>an)(=hqM`!r-tL)KKg8M2#)O{ag7uf9*mPTt?(PA_RK zPC8o>wiWu;URiCzX4q|^9qDQxCSQf0Nw}H*bTtf^K)p}EZi3g2k(m5+B;TF140&&% zI^|13a?n1bju)_pd6|X$S;(Kwo~i7C z$X%Yfy`VR4<{su;9mbry z$-I}dUB;fr)+gA{#bmx4)`u|0&pgV4H3NB!g?`XK>{I;ZSHJ7~Df5{Frd9)xZ`$|* z9k;A=WnP^TIhzPSg|T2@*g_q5oVN3ggA#5LWh>{g@|$nmwkMAFs2i3@v|%Y9?kR;W z#?NAkWU(&)bcA^yKSRL0XU&?6ujB_&&qJ{rMtKhpTWXIWuEx6TeEI*3^s;X8r*}5< z#@Wc7ja<1Cw1i)IkTdZ&RV}`@KMH^Hz30(dj%jzjso^oiA#;wgsM%4AP}VX}9EZR0 zVV~O>`AR>tePnvngfKaq_oxr3_nJIIa$ivDaw2}^d+$ll>XV<*^By~8F_}2b`{coD ziZ|T}$o`N#ZuTsryq6KzGH+Z`o}7=6#&mq`KNxuX4>wc3Qwcv9nbSb(OVU0T{dAZS zwt_ae0{<(tteM1HoW5DUoBt8|S%jUf!}!n z6Zx(>_k6vw%=o`DERtt|eM0;^Wxl?eG!L`ZbEYJ}RIpx`*&6b;7S>T-n;7Ti+lW#h z@r3!ppGNkl8DrBpYTM~_`q@Lo{Y#L&;|-{C=T+j_2=W{~;4DJURyJYY3|rtU*b3WV zJM4g+unTsRhCN|lk|x>ToX%IZr}5pw4eZlxu=mn#z7AWZ_Cap;aQ25~QwQK69D>7e z1ipcz@GX1?-@`FD4nI)#Cy@VRnE77fN#gtoPC){khBM?>=FC5%{{`f`q4FKkU(uh% z?Hrtk-{1mV#QqXYr%ZlF{R6wpa0UHU)N61ZZomNYCEqRjlRA)fi0lQ(dB9EFZsGqn z+(CaA^&Z@Zzu*D<4G-ZFJcfVp_b=S`a9^5wizAKyVSWlKoNqIRvp)w8a6$kCV($v) zUUN9_aA0;rFm@rRq2Pfq%;As{Qb82$JT?B)pic`C_(=!pAp>ND*B}#auR~_^Ss*LC z0okz24mpsK6LP_u*yqMB4{Bc2e5C0u?DL~900p5C6ow*D6pBG{_?&0^ZTyyilJE|c zf_ITo8nq0RMXu~ql|wBL6+rf)DiXdDRK^?$RiG+V3$M!P$F4T|8c-8zA*VLffw~X{ z^`JgPLj!0Cji50!fu_(5`OOL60)0zpg}F8A$rOuKn{bb6i@6=N$F2i(44=UmEbY7v zzY8GW+K*J72;Uh$@A6!AK_3HMp&S0?zHb!!lS7D@c>fl~$r(1*>6=PLJemJLC9v`u6RVl^M6!;=a!BPxgf9+wuPe za@J%2C47WgkHM%LF<0aaWE1I>Z)|Qx-GcpB*l!ItcemtSfNkNs$TR&oZX1vp!LQMT z=wGDS?Tvf8y#u$MgFhBxGNdOU~V`u^i{=U2KzF@+e`R2a6d-m+vdUwyB-370JuSmKvkXWZ3?Y5ov} zUl(|E1>MY2zfE=Le+E5Z3vOIWbfQikGzH{o5Bz(YCE?jkOQ}Pp)QwWg$@Z_Y z)66n-@uFE4KHX@y&T{CMLr1#c(FYox$0~Vdabr^iV2Ojj%9K4u*#c}!lO z1I|SjwW-mOJ^s8|b!l>JJ@!2O&nLX{T5C>PXSo1*#xSNF!1F>d5afeFU@)|Wp%uil zmoT}`MJCr70uS*F;<*@_OMvXeFD1-SFbrGsFNqaWm{ab_WLJ_N>6mg7tXb)bT< z74djwub)UhtDW{sUQHXXK<_x%dDyI>*6F|OV&t!Sx0ZhBWH-KV@E%Y61^!K4L0HBB zxIbprHK4cdqF>M4=Ks8`-^n~TkXF&i=3O!cd^h^(u82it^ssfWJ+f`0qMN9$SB& zGP~>XU=Oz0(}0JqGxFO@zoGci+4^;8U;KTl|Lxiz&x>bBuXIAKnBx?13!AMM)=hJ+ zXZ`wK9jh0t<;Lix`;?#Zy)dL(&}@9C_CFYpf1)qXq4;}||9&|BZsj`?f1m5O4_UQ{ zzs-;5kzpcnzW`nYlg!b$+=1@IuIC<$)mLb#M=ud>GLU`r6rL}GVn6=l@%XCzdQ=nV zXUl~-n4dqZ!ad^OpoZTyt!KRg&sUL2W4+gSP6d+pbr95%H~2pd_c!U6-U4rfcfh;g zJ@7t$AAsr5mFOK^TyS1~4K?dS+&%&ygHOPx;4|nyH?qU9XX$@Izcd4U31*sF(x=<* zYW@D{D^rekuI^jare4fQKxZ~#=;?_8AKHq{O#vIbEPNC^&PsVKM=9m*;9`!k(|rq)?x65I;t`X)p}iw)=MnmooJ zdGO7P@x_kUmq+?W+1P8m7jb?yMbH&NR}|CvvO^F$?IRw=St%1j(rGtxyK##k8Uxao zMEay-*Z3fr1j`CN88^MBkOwNOi1Qm|xdbc)%g9rWS4xpz{n&Eee>aWYM%+Ni!77Ah zj|LdTH>M1~6=o8xC&gW9lH64$#VzMqVNzYY)}Z~sHVqoHtcHFK<-ZpE0h-ZXrc)P6 zz&hU7gAJe(o0*NoUkR!RQ%D(aGO}r%;cUi#3-}ZFtwtJ5bT_<4X+NT;%$=ijEO zn}+`*^y53=HJAT8ao+`YgFRp`*a!9lo%#9?&uVZ095fNq-GN(8=-SDPL&SL)9080| z7&F=S_%FPr?>@@&7&s1UKrM79;DJ$;8vH z9POzLMmR~{2!Bs=vd5juo@|%X+`^DhaFvv?m**hl^Qm#vLK7Iy|!hnkW9L4Q!6m*p|Ck$Bkf!;|W46vi>{uMRb* z&bDw{!GAt&DBEl4YHx(>ZgX&J4cdUAKBzZm033K z*|d{v+KH_b9X+kB /dev/null - avra UltraCIC-III.asm -D attiny45 - popd > /dev/null - - BUILT_CIC=true -} - -build_n64 () { - if [ "$BUILT_N64" = true ]; then return; fi - - pushd sw/n64 > /dev/null + pushd sw/bootloader > /dev/null if [ "$FORCE_CLEAN" = true ]; then make clean fi - N64_FLAGS="$USER_FLAGS" - if [ ! -z "${GIT_BRANCH+x}" ]; then N64_FLAGS+=" -DGIT_BRANCH='\"$GIT_BRANCH\"'"; fi - if [ ! -z "${GIT_TAG+x}" ]; then N64_FLAGS+=" -DGIT_TAG='\"$GIT_TAG\"'"; fi - if [ ! -z "${GIT_SHA+x}" ]; then N64_FLAGS+=" -DGIT_SHA='\"$GIT_SHA\"'"; fi - make all -j USER_FLAGS="$N64_FLAGS" + FLAGS="$USER_FLAGS" + if [ ! -z "${GIT_BRANCH+x}" ]; then FLAGS+=" -DGIT_BRANCH='\"$GIT_BRANCH\"'"; fi + if [ ! -z "${GIT_TAG+x}" ]; then FLAGS+=" -DGIT_TAG='\"$GIT_TAG\"'"; fi + if [ ! -z "${GIT_SHA+x}" ]; then FLAGS+=" -DGIT_SHA='\"$GIT_SHA\"'"; fi + make all -j USER_FLAGS="$FLAGS" popd > /dev/null - BUILT_N64=true + BUILT_BOOTLOADER=true } -build_riscv () { - if [ "$BUILT_RISCV" = true ]; then return; fi +build_controller () { + if [ "$BUILT_CONTROLLER" = true ]; then return; fi - pushd sw/riscv > /dev/null + pushd sw/controller > /dev/null if [ "$FORCE_CLEAN" = true ]; then make clean fi make all -j USER_FLAGS="$USER_FLAGS" popd > /dev/null - BUILT_RISCV=true -} - -build_sw () { - if [ "$BUILT_SW" = true ]; then return; fi - - build_n64 - build_riscv - - pushd fw > /dev/null - mkdir -p output_files > /dev/null - cat ../sw/n64/build/n64boot.bin ../sw/riscv/build/governor.bin > output_files/SC64_software.bin - objcopy -I binary -O ihex output_files/SC64_software.bin output_files/SC64_software.hex - popd - - BUILT_SW=true + BUILT_CONTROLLER=true } build_fpga () { if [ "$BUILT_FPGA" = true ]; then return; fi - build_sw - - pushd fw > /dev/null - if [ "$SKIP_FPGA_REBUILD" = true ] && [ -f output_files/SummerCart64.sof ]; then - quartus_cpf -c SummerCart64.cof - else - if [ "$DEBUG_ENABLED" = true ]; then - quartus_sh --set VERILOG_MACRO="DEBUG" ./SummerCart64.qpf - else - quartus_sh --set -remove VERILOG_MACRO="DEBUG" ./SummerCart64.qpf - fi - quartus_sh --flow compile ./SummerCart64.qpf + pushd fw/project/lcmxo2 > /dev/null + if [ "$FORCE_CLEAN" = true ]; then + rm -rf ./impl1/ fi + ./build.sh popd > /dev/null BUILT_FPGA=true @@ -103,20 +71,16 @@ build_fpga () { build_update () { if [ "$BUILT_UPDATE" = true ]; then return; fi + build_bootloader + build_controller build_fpga - pushd fw/output_files > /dev/null - objcopy -I binary -O binary --reverse-bytes=4 sc64_firmware_cfm0_auto.rpd SC64_firmware.bin - cat SC64_software.bin SC64_firmware.bin > SC64_update.bin - popd > /dev/null - BUILT_UPDATE=true } build_release () { if [ "$BUILT_RELEASE" = true ]; then return; fi - build_cic build_update if [ -e "./${PACKAGE_FILE_NAME}.zip" ]; then @@ -129,22 +93,16 @@ build_release () { print_usage () { echo "builder script for SummerCart64" - echo "usage: ./build.sh [cic] [n64] [riscv] [fpga] [update] [release] [-c] [-s] [-d] [--help]" + echo "usage: ./build.sh [bootloader] [controller] [fpga] [update] [release] [-c] [--help]" echo "parameters:" - echo " cic - assemble UltraCIC-III software" - echo " n64 - compile N64 bootloader software" - echo " riscv - compile cart governor software" - echo " sw - compile all software (triggers 'n64' and 'riscv' build)" - echo " fpga - compile FPGA design (triggers 'sw' build)" - echo " update - convert programming .pof file to raw binary for self-upgrade (triggers 'fpga' build)" - echo " release - collect and zip files for release (triggers 'cic' and 'update' build)" + echo " bootloader - compile N64 bootloader software" + echo " controller - compile ARM controller software" + echo " fpga - compile FPGA design" + echo " update - compile all software and designs" + echo " release - collect and zip files for release (triggers 'update' build)" echo " -c | --force-clean" - echo " - clean software compilation result directories before build" - echo " -s | --skip-fpga-rebuild" - echo " - do not recompile whole FPGA design if it's already done, just update software binaries" - echo " -d | --debug" - echo " - enable debug features" - echo " --help - print this guide" + echo " - clean compilation result directories before build" + echo " --help - print this guide" } if test $# -eq 0; then @@ -154,27 +112,19 @@ if test $# -eq 0; then exit 1 fi -TRIGGER_CIC=false -TRIGGER_N64=false -TRIGGER_RISCV=false -TRIGGER_SW=false +TRIGGER_BOOTLOADER=false +TRIGGER_CONTROLLER=false TRIGGER_FPGA=false TRIGGER_UPDATE=false TRIGGER_RELEASE=false while test $# -gt 0; do case "$1" in - cic) - TRIGGER_CIC=true + bootloader) + TRIGGER_BOOTLOADER=true ;; - n64) - TRIGGER_N64=true - ;; - riscv) - TRIGGER_RISCV=true - ;; - sw) - TRIGGER_SW=true + controller) + TRIGGER_CONTROLLER=true ;; fpga) TRIGGER_FPGA=true @@ -188,12 +138,6 @@ while test $# -gt 0; do -c|--force-clean) FORCE_CLEAN=true ;; - -s|--skip-fpga-rebuild) - SKIP_FPGA_REBUILD=true - ;; - -d|--debug) - DEBUG_ENABLED=true - ;; --help) print_usage exit 0 @@ -208,11 +152,8 @@ while test $# -gt 0; do shift done -if [ "$DEBUG_ENABLED" = true ]; then USER_FLAGS+=" -DDEBUG"; fi -if [ "$TRIGGER_CIC" = true ]; then build_cic; fi -if [ "$TRIGGER_N64" = true ]; then build_n64; fi -if [ "$TRIGGER_RISCV" = true ]; then build_riscv; fi -if [ "$TRIGGER_SW" = true ]; then build_sw; fi +if [ "$TRIGGER_BOOTLOADER" = true ]; then build_bootloader; fi +if [ "$TRIGGER_CONTROLLER" = true ]; then build_controller; fi if [ "$TRIGGER_FPGA" = true ]; then build_fpga; fi if [ "$TRIGGER_UPDATE" = true ]; then build_update; fi if [ "$TRIGGER_RELEASE" = true ]; then build_release; fi diff --git a/hw/ftdi-template.xml b/cfg/ftdi_template.xml similarity index 55% rename from hw/ftdi-template.xml rename to cfg/ftdi_template.xml index 50922ccc587e2502823e43beac27d5ff8e8f380e..664dde47abc941f2c9b0dbf5f77f889d0a4d7656 100644 GIT binary patch delta 923 zcmZ{jOG^S#6vxjbj?VZ%h*C2t%c52`IzED!(5awRLGDG$B8n`vqGiwr2u}N`wqZpe zw9{2zp|4Pz*6liXx{3{6E;G!%=Xd_+b*DAej9ovzG*wB`U*DuBhi3~+$bb$@kicc< zJhq%SVFS9S3(#GfMQCyui{1dXcyDVrP^(103wRhT~XS@6}Okad&34oR&>_6A&_ zqzs3}*0Lj*U|b0{$YY0;Yqo3)mQJ4??}kRIYgWW_@-r#k5h>KHxCZb_dbP6HgE$|k zFv*v#EA|?xFDKrh?_VTVchsbb8q{Z2Yn^f^)AQG3vamdf(1e7>iKLK7av~)pQk>9* zgwBbykVtbPBP24M$O?%pC)iyZ+>ab1R!vwG63k+s$~xT(`;6H%^3n=+e4q3tRc!mc N4*D0Y6BFM9)n8YLybk~X delta 422 zcmb79Jxc>o5S+(xpD}kru#h0+84v_9Ng+`P2lq@7B#;>6VW$?ciU?=KzhEh@g{2@i zA_fU)9k3R$vDIIot)1)T1TAHG?7Z2XVfIGeO&?$1UX2M+9Nde(CIduVdpJZ6>sW*0 zuF%Cc_R&KJ5$)SsM+K)&!l}EC05i6N+><5OoUdN!oJH#3FsV nW&W4Eyr?DY6QhzRHHE)>3o$dA{Omq5SEE%YLmz2_Hpas*j1FU{ diff --git a/docker_build.sh b/docker_build.sh index 33e5dcb..68f6dcf 100755 --- a/docker_build.sh +++ b/docker_build.sh @@ -1,17 +1,8 @@ #!/bin/bash -CONTAINER_NAME="sc64builder" +BUILDER_IMAGE="ghcr.io/polprzewodnikowy/sc64env:v1.4" -docker ps | grep $CONTAINER_NAME > /dev/null - -if [ $? -eq 1 ]; then - docker run \ - -dt --rm \ - --name $CONTAINER_NAME \ - --user $(id -u):$(id -g) \ - --mount type=bind,src="$(pwd)",target="/workdir" \ - ghcr.io/polprzewodnikowy/sc64env:v1.2 -fi +pushd $(dirname $0) > /dev/null GIT_BRANCH=$(git rev-parse --abbrev-ref HEAD) GIT_TAG=$(git describe --tags 2> /dev/null) @@ -21,10 +12,18 @@ if [ -t 1 ]; then DOCKER_OPTIONS="-it" fi -docker exec \ +docker run \ $DOCKER_OPTIONS \ + --rm \ + --privileged \ + --user $(id -u):$(id -g) \ + --mac-address ${MAC_ADDRESS:-F8:12:34:56:78:90} \ + --mount type=bind,src="$(pwd)/flexlm",target="/flexlm" \ + --mount type=bind,src="$(pwd)",target="/workdir" \ -e GIT_BRANCH="$GIT_BRANCH" \ -e GIT_TAG="$GIT_TAG" \ -e GIT_SHA="$GIT_SHA" \ - $CONTAINER_NAME \ + $BUILDER_IMAGE \ ./build.sh $@ + +popd > /dev/null diff --git a/fw/.gitignore b/fw/.gitignore deleted file mode 100644 index e8bfb66..0000000 --- a/fw/.gitignore +++ /dev/null @@ -1,13 +0,0 @@ -/db -/greybox_tmp -/incremental_db -/output_files -**/.qsys_edit -**/*.bin -**/*.dat -**/*.elf -*.qws -*.rpt -*.sopcinfo -*.srf -*.txt diff --git a/fw/SummerCart64.cof b/fw/SummerCart64.cof deleted file mode 100644 index d10644c..0000000 --- a/fw/SummerCart64.cof +++ /dev/null @@ -1,40 +0,0 @@ - - - output_files/SC64_firmware.pof - 1 - 1 - 14 - - Page_0 - 1 - - output_files/SummerCart64.sof1 - - - 10 - 0 - 0 - 1 - 0 - - 1 - - - 0 - 1 - 0 - 0 - 0 - 0 - 2 - output_files/SC64_software.hex - - - 1 - 2 - 0 - -1 - -1 - 1 - - \ No newline at end of file diff --git a/fw/SummerCart64.qpf b/fw/SummerCart64.qpf deleted file mode 100644 index b58ed21..0000000 --- a/fw/SummerCart64.qpf +++ /dev/null @@ -1,31 +0,0 @@ -# -------------------------------------------------------------------------- # -# -# Copyright (C) 2020 Intel Corporation. All rights reserved. -# Your use of Intel Corporation's design tools, logic functions -# and other software and tools, and any partner logic -# functions, and any output files from any of the foregoing -# (including device programming or simulation files), and any -# associated documentation or information are expressly subject -# to the terms and conditions of the Intel Program License -# Subscription Agreement, the Intel Quartus Prime License Agreement, -# the Intel FPGA IP License Agreement, or other applicable license -# agreement, including, without limitation, that your use is for -# the sole purpose of programming logic devices manufactured by -# Intel and sold by Intel or its authorized distributors. Please -# refer to the applicable agreement for further details, at -# https://fpgasoftware.intel.com/eula. -# -# -------------------------------------------------------------------------- # -# -# Quartus Prime -# Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition -# Date created = 10:53:32 August 01, 2021 -# -# -------------------------------------------------------------------------- # - -QUARTUS_VERSION = "20.1" -DATE = "10:53:32 August 01, 2021" - -# Revisions - -PROJECT_REVISION = "SummerCart64" diff --git a/fw/SummerCart64.qsf b/fw/SummerCart64.qsf deleted file mode 100644 index d137f48..0000000 --- a/fw/SummerCart64.qsf +++ /dev/null @@ -1,293 +0,0 @@ -# -------------------------------------------------------------------------- # -# -# Copyright (C) 2021 Intel Corporation. All rights reserved. -# Your use of Intel Corporation's design tools, logic functions -# and other software and tools, and any partner logic -# functions, and any output files from any of the foregoing -# (including device programming or simulation files), and any -# associated documentation or information are expressly subject -# to the terms and conditions of the Intel Program License -# Subscription Agreement, the Intel Quartus Prime License Agreement, -# the Intel FPGA IP License Agreement, or other applicable license -# agreement, including, without limitation, that your use is for -# the sole purpose of programming logic devices manufactured by -# Intel and sold by Intel or its authorized distributors. Please -# refer to the applicable agreement for further details, at -# https://fpgasoftware.intel.com/eula. -# -# -------------------------------------------------------------------------- # -# -# Quartus Prime -# Version 21.1.0 Build 842 10/21/2021 SJ Lite Edition -# Date created = 19:19:14 February 04, 2022 -# -# -------------------------------------------------------------------------- # -# -# Notes: -# -# 1) The default values for assignments are stored in the file: -# SummerCart64_assignment_defaults.qdf -# If this file doesn't exist, see file: -# assignment_defaults.qdf -# -# 2) Intel recommends that you do not modify this file. This -# file is updated automatically by the Quartus Prime software -# and any changes you make may be lost or overwritten. -# -# -------------------------------------------------------------------------- # - - - -# Project-Wide Assignments -# ======================== -set_global_assignment -name ORIGINAL_QUARTUS_VERSION 20.1.1 -set_global_assignment -name PROJECT_CREATION_TIME_DATE "10:53:32 AUGUST 01, 2021" -set_global_assignment -name LAST_QUARTUS_VERSION "21.1.0 Lite Edition" -set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files -set_global_assignment -name NUM_PARALLEL_PROCESSORS ALL -set_global_assignment -name FLOW_ENABLE_POWER_ANALYZER ON -set_global_assignment -name POST_MODULE_SCRIPT_FILE "quartus_sh:scripts/post_module.tcl" -set_global_assignment -name QSYS_FILE rtl/vendor/intel/generated/intel_flash.qsys -set_global_assignment -name QIP_FILE rtl/intel/fifo/intel_fifo_8.qip -set_global_assignment -name QIP_FILE rtl/vendor/intel/generated/intel_gpio_ddro.qip -set_global_assignment -name QIP_FILE rtl/vendor/intel/generated/intel_pll.qip -set_global_assignment -name SDC_FILE SummerCart64.sdc -set_global_assignment -name SYSTEMVERILOG_FILE picorv32/picorv32.v -set_global_assignment -name SYSTEMVERILOG_FILE rtl/cpu/cpu_bus.sv -set_global_assignment -name SYSTEMVERILOG_FILE rtl/cpu/cpu_cfg.sv -set_global_assignment -name SYSTEMVERILOG_FILE rtl/cpu/cpu_dd.sv -set_global_assignment -name SYSTEMVERILOG_FILE rtl/cpu/cpu_flash.sv -set_global_assignment -name SYSTEMVERILOG_FILE rtl/cpu/cpu_flashram.sv -set_global_assignment -name SYSTEMVERILOG_FILE rtl/cpu/cpu_i2c.sv -set_global_assignment -name SYSTEMVERILOG_FILE rtl/cpu/cpu_ram.sv -set_global_assignment -name SYSTEMVERILOG_FILE rtl/cpu/cpu_sdram.sv -set_global_assignment -name SYSTEMVERILOG_FILE rtl/cpu/cpu_si.sv -set_global_assignment -name SYSTEMVERILOG_FILE rtl/cpu/cpu_soc.sv -set_global_assignment -name SYSTEMVERILOG_FILE rtl/cpu/cpu_uart.sv -set_global_assignment -name SYSTEMVERILOG_FILE rtl/cpu/cpu_usb.sv -set_global_assignment -name SYSTEMVERILOG_FILE rtl/cpu/cpu_wrapper.sv -set_global_assignment -name SYSTEMVERILOG_FILE rtl/memory/memory_dma.sv -set_global_assignment -name SYSTEMVERILOG_FILE rtl/memory/memory_sdram.sv -set_global_assignment -name SYSTEMVERILOG_FILE rtl/n64/n64_bootloader.sv -set_global_assignment -name SYSTEMVERILOG_FILE rtl/n64/n64_bus.sv -set_global_assignment -name SYSTEMVERILOG_FILE rtl/n64/n64_cfg.sv -set_global_assignment -name SYSTEMVERILOG_FILE rtl/n64/n64_dd.sv -set_global_assignment -name SYSTEMVERILOG_FILE rtl/n64/n64_flashram.sv -set_global_assignment -name SYSTEMVERILOG_FILE rtl/n64/n64_pi.sv -set_global_assignment -name SYSTEMVERILOG_FILE rtl/n64/n64_pi_fifo.sv -set_global_assignment -name SYSTEMVERILOG_FILE rtl/n64/n64_sdram.sv -set_global_assignment -name SYSTEMVERILOG_FILE rtl/n64/n64_si.sv -set_global_assignment -name SYSTEMVERILOG_FILE rtl/n64/n64_soc.sv -set_global_assignment -name SYSTEMVERILOG_FILE rtl/SummerCart64.sv -set_global_assignment -name SYSTEMVERILOG_FILE rtl/system/config.sv -set_global_assignment -name SYSTEMVERILOG_FILE rtl/system/sc64.sv -set_global_assignment -name SYSTEMVERILOG_FILE rtl/system/system.sv -set_global_assignment -name SYSTEMVERILOG_FILE rtl/usb/usb_ft1248.sv -set_global_assignment -name SYSTEMVERILOG_FILE rtl/vendor/intel/vendor_flash.sv -set_global_assignment -name SYSTEMVERILOG_FILE rtl/vendor/intel/vendor_reconfigure.sv - -# Pin & Location Assignments -# ========================== -set_location_assignment PIN_6 -to o_usb_cs -set_location_assignment PIN_7 -to i_usb_miso -set_location_assignment PIN_8 -to o_usb_clk -set_location_assignment PIN_12 -to i_uart_rxd -set_location_assignment PIN_13 -to o_uart_txd -set_location_assignment PIN_17 -to o_led -set_location_assignment PIN_21 -to o_rtc_scl -set_location_assignment PIN_22 -to io_rtc_sda -set_location_assignment PIN_24 -to io_n64_si_dq -set_location_assignment PIN_25 -to i_n64_nmi -set_location_assignment PIN_26 -to i_clk -set_location_assignment PIN_27 -to i_n64_reset -set_location_assignment PIN_28 -to i_n64_si_clk -set_location_assignment PIN_32 -to io_n64_pi_ad[7] -set_location_assignment PIN_33 -to io_n64_pi_ad[8] -set_location_assignment PIN_38 -to io_n64_pi_ad[6] -set_location_assignment PIN_39 -to io_n64_pi_ad[9] -set_location_assignment PIN_41 -to io_n64_pi_ad[5] -set_location_assignment PIN_43 -to io_n64_pi_ad[10] -set_location_assignment PIN_44 -to io_n64_pi_ad[4] -set_location_assignment PIN_45 -to io_n64_pi_ad[11] -set_location_assignment PIN_46 -to i_n64_pi_aleh -set_location_assignment PIN_47 -to i_n64_pi_read -set_location_assignment PIN_48 -to i_n64_pi_write -set_location_assignment PIN_50 -to i_n64_pi_alel -set_location_assignment PIN_52 -to io_n64_pi_ad[12] -set_location_assignment PIN_54 -to io_n64_pi_ad[3] -set_location_assignment PIN_55 -to io_n64_pi_ad[13] -set_location_assignment PIN_56 -to io_n64_pi_ad[2] -set_location_assignment PIN_57 -to io_n64_pi_ad[14] -set_location_assignment PIN_58 -to io_n64_pi_ad[1] -set_location_assignment PIN_59 -to io_n64_pi_ad[15] -set_location_assignment PIN_60 -to io_n64_pi_ad[0] -set_location_assignment PIN_61 -to o_sdram_a[4] -set_location_assignment PIN_62 -to o_sdram_a[5] -set_location_assignment PIN_64 -to o_sdram_a[6] -set_location_assignment PIN_65 -to o_sdram_a[7] -set_location_assignment PIN_66 -to o_sdram_a[8] -set_location_assignment PIN_69 -to o_sdram_a[9] -set_location_assignment PIN_70 -to o_sdram_a[11] -set_location_assignment PIN_74 -to o_sdram_a[12] -set_location_assignment PIN_75 -to o_sdram_clk -set_location_assignment PIN_76 -to o_sdram_a[3] -set_location_assignment PIN_77 -to o_sdram_a[2] -set_location_assignment PIN_78 -to o_sdram_a[1] -set_location_assignment PIN_79 -to o_sdram_a[0] -set_location_assignment PIN_80 -to o_sdram_a[10] -set_location_assignment PIN_81 -to o_sdram_ba[1] -set_location_assignment PIN_84 -to o_sdram_ba[0] -set_location_assignment PIN_85 -to o_sdram_cs -set_location_assignment PIN_86 -to o_sdram_ras -set_location_assignment PIN_87 -to o_sdram_cas -set_location_assignment PIN_88 -to o_sdram_we -set_location_assignment PIN_89 -to io_sdram_dq[7] -set_location_assignment PIN_90 -to io_sdram_dq[6] -set_location_assignment PIN_91 -to io_sdram_dq[5] -set_location_assignment PIN_92 -to io_sdram_dq[4] -set_location_assignment PIN_93 -to io_sdram_dq[3] -set_location_assignment PIN_96 -to io_sdram_dq[2] -set_location_assignment PIN_97 -to io_sdram_dq[1] -set_location_assignment PIN_98 -to io_sdram_dq[0] -set_location_assignment PIN_99 -to io_sdram_dq[8] -set_location_assignment PIN_100 -to io_sdram_dq[9] -set_location_assignment PIN_101 -to io_sdram_dq[10] -set_location_assignment PIN_102 -to io_sdram_dq[11] -set_location_assignment PIN_105 -to io_sdram_dq[12] -set_location_assignment PIN_106 -to io_sdram_dq[13] -set_location_assignment PIN_110 -to io_sdram_dq[14] -set_location_assignment PIN_111 -to io_sdram_dq[15] -set_location_assignment PIN_112 -to io_sd_dat[1] -set_location_assignment PIN_113 -to io_sd_dat[0] -set_location_assignment PIN_114 -to o_sd_clk -set_location_assignment PIN_118 -to io_sd_cmd -set_location_assignment PIN_119 -to io_sd_dat[3] -set_location_assignment PIN_120 -to io_sd_dat[2] -set_location_assignment PIN_123 -to o_n64_irq -set_location_assignment PIN_124 -to io_usb_miosi[7] -set_location_assignment PIN_127 -to io_usb_miosi[6] -set_location_assignment PIN_130 -to io_usb_miosi[4] -set_location_assignment PIN_131 -to io_usb_miosi[5] -set_location_assignment PIN_134 -to io_usb_miosi[3] -set_location_assignment PIN_135 -to io_usb_miosi[2] -set_location_assignment PIN_140 -to io_usb_miosi[1] -set_location_assignment PIN_141 -to io_usb_miosi[0] - -# Classic Timing Assignments -# ========================== -set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 -set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 -set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS ON - -# Compiler Assignments -# ==================== -set_global_assignment -name OPTIMIZATION_MODE "HIGH PERFORMANCE EFFORT" - -# Analysis & Synthesis Assignments -# ================================ -set_global_assignment -name FAMILY "MAX 10" -set_global_assignment -name DEVICE_FILTER_PACKAGE EQFP -set_global_assignment -name DEVICE_FILTER_PIN_COUNT 144 -set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 8 -set_global_assignment -name PROJECT_IP_REGENERATION_POLICY ALWAYS_REGENERATE_IP -set_global_assignment -name VHDL_INPUT_VERSION VHDL_2008 -set_global_assignment -name VHDL_SHOW_LMF_MAPPING_MESSAGES OFF -set_global_assignment -name VERILOG_INPUT_VERSION SYSTEMVERILOG_2005 -set_global_assignment -name VERILOG_SHOW_LMF_MAPPING_MESSAGES OFF -set_global_assignment -name TOP_LEVEL_ENTITY SummerCart64 -set_global_assignment -name VERILOG_MACRO DEBUG - -# Fitter Assignments -# ================== -set_global_assignment -name DEVICE 10M08SCE144C8G -set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 256 -set_global_assignment -name ENABLE_CONFIGURATION_PINS OFF -set_global_assignment -name ENABLE_BOOT_SEL_PIN OFF -set_global_assignment -name INTERNAL_FLASH_UPDATE_MODE "SINGLE COMP IMAGE" -set_global_assignment -name CRC_ERROR_OPEN_DRAIN OFF -set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVTTL" - -# Assembler Assignments -# ===================== -set_global_assignment -name ENABLE_OCT_DONE OFF -set_global_assignment -name EXTERNAL_FLASH_FALLBACK_ADDRESS 00000000 -set_global_assignment -name USE_CONFIGURATION_DEVICE OFF - -# Power Estimation Assignments -# ============================ -set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "NO HEAT SINK WITH STILL AIR" -set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)" -set_global_assignment -name POWER_DEFAULT_INPUT_IO_TOGGLE_RATE "12.5 %" - -# Advanced I/O Timing Assignments -# =============================== -set_global_assignment -name OUTPUT_IO_TIMING_NEAR_END_VMEAS "HALF VCCIO" -rise -set_global_assignment -name OUTPUT_IO_TIMING_NEAR_END_VMEAS "HALF VCCIO" -fall -set_global_assignment -name OUTPUT_IO_TIMING_FAR_END_VMEAS "HALF SIGNAL SWING" -rise -set_global_assignment -name OUTPUT_IO_TIMING_FAR_END_VMEAS "HALF SIGNAL SWING" -fall - -# -------------------------- -# start ENTITY(SummerCart64) - - # Pin & Location Assignments - # ========================== - set_instance_assignment -name FAST_INPUT_REGISTER ON -to i_n64_nmi - set_instance_assignment -name FAST_INPUT_REGISTER ON -to i_n64_pi_aleh - set_instance_assignment -name FAST_INPUT_REGISTER ON -to i_n64_pi_alel - set_instance_assignment -name FAST_INPUT_REGISTER ON -to i_n64_pi_read - set_instance_assignment -name FAST_INPUT_REGISTER ON -to i_n64_pi_write - set_instance_assignment -name FAST_INPUT_REGISTER ON -to i_n64_reset - set_instance_assignment -name FAST_INPUT_REGISTER ON -to i_n64_si_clk - set_instance_assignment -name FAST_INPUT_REGISTER ON -to i_uart_rxd - set_instance_assignment -name FAST_INPUT_REGISTER ON -to i_usb_miso - set_instance_assignment -name FAST_INPUT_REGISTER ON -to io_n64_pi_ad[*] - set_instance_assignment -name FAST_INPUT_REGISTER ON -to io_rtc_sda - set_instance_assignment -name FAST_INPUT_REGISTER ON -to io_sdram_dq[*] - set_instance_assignment -name FAST_INPUT_REGISTER ON -to io_usb_miosi[*] - set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to io_n64_pi_ad[*] - set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to io_rtc_sda - set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to io_sdram_dq[*] - set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to io_usb_miosi[*] - set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to o_rtc_scl - set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to o_sdram_a[*] - set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to o_sdram_ba[*] - set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to o_sdram_cas - set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to o_sdram_cs - set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to o_sdram_ras - set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to o_sdram_we - set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to o_uart_txd - set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to o_usb_clk - set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to o_usb_cs - set_instance_assignment -name FAST_OUTPUT_ENABLE_REGISTER ON -to io_n64_pi_ad[*] - set_instance_assignment -name FAST_OUTPUT_ENABLE_REGISTER ON -to io_sdram_dq[*] - set_instance_assignment -name FAST_OUTPUT_ENABLE_REGISTER ON -to io_usb_miosi[*] - - # Fitter Assignments - # ================== - set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to i_n64_nmi - set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to i_uart_rxd - set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to io_n64_si_dq - set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to i_n64_reset - set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to i_n64_si_clk - set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to i_n64_pi_aleh - set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to i_n64_pi_read - set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to i_n64_pi_write - set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to i_n64_pi_alel - set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to o_n64_irq - set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to i_usb_miso - - # start DESIGN_PARTITION(Top) - # --------------------------- - - # Incremental Compilation Assignments - # =================================== - set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top - set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top - set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top - set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top - - # end DESIGN_PARTITION(Top) - # ------------------------- - -# end ENTITY(SummerCart64) -# ------------------------ \ No newline at end of file diff --git a/fw/SummerCart64.sdc b/fw/SummerCart64.sdc deleted file mode 100644 index c8db83c..0000000 --- a/fw/SummerCart64.sdc +++ /dev/null @@ -1,91 +0,0 @@ -# Clocks - -derive_pll_clocks -create_base_clocks - -set sys_clk {system_inst|intel_pll_inst|altpll_component|auto_generated|pll1|clk[0]} -set sdram_pll_clk {system_inst|intel_pll_inst|altpll_component|auto_generated|pll1|clk[1]} -# set sd_reg_clk {sd_interface_inst|sd_clk_inst|o_sd_clk|q} - -create_generated_clock -name sdram_clk -source [get_pins $sdram_pll_clk] [get_ports {o_sdram_clk}] -create_clock -name usb_clk -period 40.0 [get_ports {o_usb_clk}] -# create_generated_clock -name sd_reg_clk -source [get_pins {sd_interface_inst|sd_clk_inst|o_sd_clk|clk}] -divide_by 2 [get_pins $sd_reg_clk] -# create_generated_clock -name sd_clk -source [get_pins $sd_reg_clk] [get_ports {o_sd_clk}] -create_generated_clock -name flash_se_neg_reg \ - -source [get_pins -compatibility_mode {*altera_onchip_flash:*onchip_flash_0|altera_onchip_flash_avmm_data_controller:avmm_data_controller|flash_se_neg_reg|clk}] \ - -divide_by 2 \ - [get_pins -compatibility_mode {*altera_onchip_flash:*onchip_flash_0|altera_onchip_flash_avmm_data_controller:avmm_data_controller|flash_se_neg_reg|q}] - -derive_clock_uncertainty - - -# SDRAM timings - -set sdram_outputs {o_sdram_cs o_sdram_ras o_sdram_cas o_sdram_we o_sdram_a[*] o_sdram_ba[*] io_sdram_dq[*]} -set sdram_inputs {io_sdram_dq[*]} - -set_output_delay -clock [get_clocks {sdram_clk}] -max 1.5 [get_ports $sdram_outputs] -set_output_delay -clock [get_clocks {sdram_clk}] -min -0.8 [get_ports $sdram_outputs] - -set_input_delay -clock [get_clocks {sdram_clk}] -max 5.4 [get_ports $sdram_inputs] -set_input_delay -clock [get_clocks {sdram_clk}] -min 2.5 [get_ports $sdram_inputs] - -set_multicycle_path -setup -end 2 -from [get_clocks {sdram_clk}] -to [get_clocks $sys_clk] -set_multicycle_path -hold -end 1 -from [get_clocks {sdram_clk}] -to [get_clocks $sys_clk] - - -# SD card timings - -# set_output_delay -clock [get_clocks {sd_clk}] -max 6.0 [get_ports {io_sd_cmd io_sd_dat[*]}] -# set_output_delay -clock [get_clocks {sd_clk}] -min -2.0 [get_ports {io_sd_cmd io_sd_dat[*]}] - -# set_input_delay -clock [get_clocks {sd_clk}] -max 15.0 [get_ports {io_sd_cmd io_sd_dat[*]}] -# set_input_delay -clock [get_clocks {sd_clk}] -min 6.5 [get_ports {io_sd_cmd io_sd_dat[*]}] - -# set_multicycle_path -hold -start 1 -from [get_clocks $sys_clk] -to [get_clocks {sd_clk}] - -# set_multicycle_path -setup -end 3 -from [get_clocks {sd_clk}] -to [get_clocks $sys_clk] -# set_multicycle_path -hold -end 1 -from [get_clocks {sd_clk}] -to [get_clocks $sys_clk] - - -# FT1248 timings - -set_output_delay -clock [get_clocks {usb_clk}] -max 2.0 [get_ports {io_usb_miosi[*] o_usb_cs}] -set_output_delay -clock [get_clocks {usb_clk}] -min -1.0 [get_ports {io_usb_miosi[*] o_usb_cs}] - -set_input_delay -clock [get_clocks {usb_clk}] -max 5.0 [get_ports {io_usb_miosi[*] i_usb_miso}] -set_input_delay -clock [get_clocks {usb_clk}] -min 2.5 [get_ports {io_usb_miosi[*] i_usb_miso}] - -set_multicycle_path -setup -start 2 -from [get_clocks $sys_clk] -to [get_clocks {usb_clk}] -set_multicycle_path -hold -start 3 -from [get_clocks $sys_clk] -to [get_clocks {usb_clk}] - -set_multicycle_path -setup -end 2 -from [get_clocks {usb_clk}] -to [get_clocks $sys_clk] -set_multicycle_path -hold -end 3 -from [get_clocks {usb_clk}] -to [get_clocks $sys_clk] - - -# N64, PI and SI timings - -set_false_path -to [get_ports {o_n64_irq}] -set_false_path -from [get_ports {i_n64_reset i_n64_nmi}] - -set_false_path -to [get_ports {io_n64_pi_ad[*]}] -set_false_path -from [get_ports {i_n64_pi_* io_n64_pi_ad[*]}] - -set_false_path -to [get_ports {io_n64_si_dq}] -set_false_path -from [get_ports {i_n64_si_clk io_n64_si_dq}] - - -# LED timings - -set_false_path -to [get_ports {o_led}] - - -# UART timings - -set_false_path -to [get_ports {o_uart_txd}] -set_false_path -from [get_ports {i_uart_rxd}] - - -# I2C timings - -set_false_path -to [get_ports {o_rtc_scl io_rtc_sda}] -set_false_path -from [get_ports {io_rtc_sda}] diff --git a/fw/picorv32 b/fw/picorv32 deleted file mode 160000 index f00a88c..0000000 --- a/fw/picorv32 +++ /dev/null @@ -1 +0,0 @@ -Subproject commit f00a88c36eaab478b64ee27d8162e421049bcc66 diff --git a/fw/project/lcmxo2/.gitignore b/fw/project/lcmxo2/.gitignore new file mode 100644 index 0000000..9eb10ae --- /dev/null +++ b/fw/project/lcmxo2/.gitignore @@ -0,0 +1,15 @@ +.recovery +*.dir/ +*.html +*.ini +*.rva +*.rvl +*.rvs +*.svf +*.tcl +*.tpf +*.trc +*.xml +impl*/ + +!build.tcl diff --git a/fw/project/lcmxo2/build.sh b/fw/project/lcmxo2/build.sh new file mode 100644 index 0000000..35a8b44 --- /dev/null +++ b/fw/project/lcmxo2/build.sh @@ -0,0 +1,5 @@ +#!/bin/bash + +source $bindir/diamond_env + +diamondc build.tcl diff --git a/fw/project/lcmxo2/build.tcl b/fw/project/lcmxo2/build.tcl new file mode 100644 index 0000000..328fe4e --- /dev/null +++ b/fw/project/lcmxo2/build.tcl @@ -0,0 +1,3 @@ +prj_project open sc64.ldf +prj_run Export -impl impl1 -task Bitgen +prj_run Export -impl impl1 -task Jedecgen diff --git a/fw/project/lcmxo2/sc64.ldf b/fw/project/lcmxo2/sc64.ldf new file mode 100644 index 0000000..147bffc --- /dev/null +++ b/fw/project/lcmxo2/sc64.ldf @@ -0,0 +1,104 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/fw/project/lcmxo2/sc64.lpf b/fw/project/lcmxo2/sc64.lpf new file mode 100644 index 0000000..32501c3 --- /dev/null +++ b/fw/project/lcmxo2/sc64.lpf @@ -0,0 +1,204 @@ +rvl_alias "clk" "clk"; +BANK 0 VCCIO 3.3 V; +BANK 1 VCCIO 3.3 V; +BANK 2 VCCIO 3.3 V; +BANK 3 VCCIO 3.3 V; +BANK 4 VCCIO 3.3 V; +BANK 5 VCCIO 3.3 V; +BLOCK ASYNCPATHS ; +BLOCK JTAGPATHS ; +BLOCK PATH FROM PORT "button" ; +BLOCK RESETPATHS ; +IOBUF ALLPORTS IO_TYPE=LVCMOS33 ; +IOBUF PORT "button" PULLMODE=UP IO_TYPE=LVCMOS33 ; +IOBUF PORT "flash_clk" PULLMODE=NONE IO_TYPE=LVCMOS33 ; +IOBUF PORT "flash_cs" PULLMODE=UP IO_TYPE=LVCMOS33 ; +IOBUF PORT "flash_dq[0]" PULLMODE=NONE IO_TYPE=LVCMOS33 ; +IOBUF PORT "flash_dq[1]" PULLMODE=NONE IO_TYPE=LVCMOS33 ; +IOBUF PORT "flash_dq[2]" PULLMODE=NONE IO_TYPE=LVCMOS33 ; +IOBUF PORT "flash_dq[3]" PULLMODE=NONE IO_TYPE=LVCMOS33 ; +IOBUF PORT "inclk" PULLMODE=NONE IO_TYPE=LVCMOS33 ; +IOBUF PORT "mcu_clk" PULLMODE=NONE IO_TYPE=LVCMOS33 ; +IOBUF PORT "mcu_cs" PULLMODE=UP IO_TYPE=LVCMOS33 ; +IOBUF PORT "mcu_int" PULLMODE=UP IO_TYPE=LVCMOS33 ; +IOBUF PORT "mcu_miso" IO_TYPE=LVCMOS33 PULLMODE=NONE ; +IOBUF PORT "mcu_mosi" IO_TYPE=LVCMOS33 PULLMODE=NONE ; +IOBUF PORT "n64_irq" PULLMODE=UP IO_TYPE=LVCMOS33 ; +IOBUF PORT "n64_nmi" PULLMODE=DOWN IO_TYPE=LVCMOS33 ; +IOBUF PORT "n64_pi_ad[0]" PULLMODE=NONE IO_TYPE=LVCMOS33 ; +IOBUF PORT "n64_pi_ad[1]" PULLMODE=NONE IO_TYPE=LVCMOS33 ; +IOBUF PORT "n64_pi_ad[10]" PULLMODE=NONE IO_TYPE=LVCMOS33 ; +IOBUF PORT "n64_pi_ad[11]" PULLMODE=NONE IO_TYPE=LVCMOS33 ; +IOBUF PORT "n64_pi_ad[12]" PULLMODE=NONE IO_TYPE=LVCMOS33 ; +IOBUF PORT "n64_pi_ad[13]" PULLMODE=NONE IO_TYPE=LVCMOS33 ; +IOBUF PORT "n64_pi_ad[14]" PULLMODE=NONE IO_TYPE=LVCMOS33 ; +IOBUF PORT "n64_pi_ad[15]" PULLMODE=NONE IO_TYPE=LVCMOS33 ; +IOBUF PORT "n64_pi_ad[2]" PULLMODE=NONE IO_TYPE=LVCMOS33 ; +IOBUF PORT "n64_pi_ad[3]" PULLMODE=NONE IO_TYPE=LVCMOS33 ; +IOBUF PORT "n64_pi_ad[4]" PULLMODE=NONE IO_TYPE=LVCMOS33 ; +IOBUF PORT "n64_pi_ad[5]" PULLMODE=NONE IO_TYPE=LVCMOS33 ; +IOBUF PORT "n64_pi_ad[6]" PULLMODE=NONE IO_TYPE=LVCMOS33 ; +IOBUF PORT "n64_pi_ad[7]" PULLMODE=NONE IO_TYPE=LVCMOS33 ; +IOBUF PORT "n64_pi_ad[8]" PULLMODE=NONE IO_TYPE=LVCMOS33 ; +IOBUF PORT "n64_pi_ad[9]" PULLMODE=NONE IO_TYPE=LVCMOS33 ; +IOBUF PORT "n64_pi_aleh" PULLMODE=UP IO_TYPE=LVCMOS33 ; +IOBUF PORT "n64_pi_alel" PULLMODE=DOWN IO_TYPE=LVCMOS33 ; +IOBUF PORT "n64_pi_read" PULLMODE=UP IO_TYPE=LVCMOS33 ; +IOBUF PORT "n64_pi_write" PULLMODE=UP IO_TYPE=LVCMOS33 ; +IOBUF PORT "n64_reset" PULLMODE=DOWN IO_TYPE=LVCMOS33 ; +IOBUF PORT "n64_si_clk" PULLMODE=DOWN IO_TYPE=LVCMOS33 ; +IOBUF PORT "n64_si_dq" PULLMODE=UP IO_TYPE=LVCMOS33 ; +IOBUF PORT "sd_clk" PULLMODE=UP IO_TYPE=LVCMOS33 ; +IOBUF PORT "sd_cmd" PULLMODE=UP IO_TYPE=LVCMOS33 ; +IOBUF PORT "sd_dat[0]" PULLMODE=UP IO_TYPE=LVCMOS33 ; +IOBUF PORT "sd_dat[1]" PULLMODE=UP IO_TYPE=LVCMOS33 ; +IOBUF PORT "sd_dat[2]" PULLMODE=UP IO_TYPE=LVCMOS33 ; +IOBUF PORT "sd_dat[3]" PULLMODE=UP IO_TYPE=LVCMOS33 ; +IOBUF PORT "sd_det" PULLMODE=UP IO_TYPE=LVCMOS33 ; +IOBUF PORT "sdram_a[0]" PULLMODE=NONE IO_TYPE=LVCMOS33 ; +IOBUF PORT "sdram_a[1]" PULLMODE=NONE IO_TYPE=LVCMOS33 ; +IOBUF PORT "sdram_a[10]" PULLMODE=NONE IO_TYPE=LVCMOS33 ; +IOBUF PORT "sdram_a[11]" PULLMODE=NONE IO_TYPE=LVCMOS33 ; +IOBUF PORT "sdram_a[12]" PULLMODE=NONE IO_TYPE=LVCMOS33 ; +IOBUF PORT "sdram_a[2]" PULLMODE=NONE IO_TYPE=LVCMOS33 ; +IOBUF PORT "sdram_a[3]" PULLMODE=NONE IO_TYPE=LVCMOS33 ; +IOBUF PORT "sdram_a[4]" PULLMODE=NONE IO_TYPE=LVCMOS33 ; +IOBUF PORT "sdram_a[5]" PULLMODE=NONE IO_TYPE=LVCMOS33 ; +IOBUF PORT "sdram_a[6]" PULLMODE=NONE IO_TYPE=LVCMOS33 ; +IOBUF PORT "sdram_a[7]" PULLMODE=NONE IO_TYPE=LVCMOS33 ; +IOBUF PORT "sdram_a[8]" PULLMODE=NONE IO_TYPE=LVCMOS33 ; +IOBUF PORT "sdram_a[9]" PULLMODE=NONE IO_TYPE=LVCMOS33 ; +IOBUF PORT "sdram_ba[0]" PULLMODE=NONE IO_TYPE=LVCMOS33 ; +IOBUF PORT "sdram_ba[1]" PULLMODE=NONE IO_TYPE=LVCMOS33 ; +IOBUF PORT "sdram_cas" PULLMODE=NONE IO_TYPE=LVCMOS33 ; +IOBUF PORT "sdram_clk" PULLMODE=NONE IO_TYPE=LVCMOS33 ; +IOBUF PORT "sdram_cs" PULLMODE=UP IO_TYPE=LVCMOS33 ; +IOBUF PORT "sdram_dq[0]" PULLMODE=NONE IO_TYPE=LVCMOS33 ; +IOBUF PORT "sdram_dq[1]" PULLMODE=NONE IO_TYPE=LVCMOS33 ; +IOBUF PORT "sdram_dq[10]" PULLMODE=NONE IO_TYPE=LVCMOS33 ; +IOBUF PORT "sdram_dq[11]" PULLMODE=NONE IO_TYPE=LVCMOS33 ; +IOBUF PORT "sdram_dq[12]" PULLMODE=NONE IO_TYPE=LVCMOS33 ; +IOBUF PORT "sdram_dq[13]" PULLMODE=NONE IO_TYPE=LVCMOS33 ; +IOBUF PORT "sdram_dq[14]" PULLMODE=NONE IO_TYPE=LVCMOS33 ; +IOBUF PORT "sdram_dq[15]" PULLMODE=NONE IO_TYPE=LVCMOS33 ; +IOBUF PORT "sdram_dq[2]" PULLMODE=NONE IO_TYPE=LVCMOS33 ; +IOBUF PORT "sdram_dq[3]" PULLMODE=NONE IO_TYPE=LVCMOS33 ; +IOBUF PORT "sdram_dq[4]" PULLMODE=NONE IO_TYPE=LVCMOS33 ; +IOBUF PORT "sdram_dq[5]" PULLMODE=NONE IO_TYPE=LVCMOS33 ; +IOBUF PORT "sdram_dq[6]" PULLMODE=NONE IO_TYPE=LVCMOS33 ; +IOBUF PORT "sdram_dq[7]" PULLMODE=NONE IO_TYPE=LVCMOS33 ; +IOBUF PORT "sdram_dq[8]" PULLMODE=NONE IO_TYPE=LVCMOS33 ; +IOBUF PORT "sdram_dq[9]" PULLMODE=NONE IO_TYPE=LVCMOS33 ; +IOBUF PORT "sdram_dqm[0]" PULLMODE=NONE IO_TYPE=LVCMOS33 ; +IOBUF PORT "sdram_dqm[1]" PULLMODE=NONE IO_TYPE=LVCMOS33 ; +IOBUF PORT "sdram_ras" PULLMODE=NONE IO_TYPE=LVCMOS33 ; +IOBUF PORT "sdram_we" PULLMODE=NONE IO_TYPE=LVCMOS33 ; +IOBUF PORT "usb_clk" PULLMODE=NONE IO_TYPE=LVCMOS33 ; +IOBUF PORT "usb_cs" PULLMODE=UP IO_TYPE=LVCMOS33 ; +IOBUF PORT "usb_miosi[0]" PULLMODE=NONE IO_TYPE=LVCMOS33 ; +IOBUF PORT "usb_miosi[1]" PULLMODE=NONE IO_TYPE=LVCMOS33 ; +IOBUF PORT "usb_miosi[2]" PULLMODE=NONE IO_TYPE=LVCMOS33 ; +IOBUF PORT "usb_miosi[3]" PULLMODE=NONE IO_TYPE=LVCMOS33 ; +IOBUF PORT "usb_miosi[4]" PULLMODE=NONE IO_TYPE=LVCMOS33 ; +IOBUF PORT "usb_miosi[5]" PULLMODE=NONE IO_TYPE=LVCMOS33 ; +IOBUF PORT "usb_miosi[6]" PULLMODE=NONE IO_TYPE=LVCMOS33 ; +IOBUF PORT "usb_miosi[7]" PULLMODE=NONE IO_TYPE=LVCMOS33 ; +IOBUF PORT "usb_miso" PULLMODE=NONE IO_TYPE=LVCMOS33 ; +IOBUF PORT "usb_pwrsav" PULLMODE=NONE IO_TYPE=LVCMOS33 ; +LOCATE COMP "button" SITE "1" ; +LOCATE COMP "flash_clk" SITE "142" ; +LOCATE COMP "flash_cs" SITE "138" ; +LOCATE COMP "flash_dq[0]" SITE "141" ; +LOCATE COMP "flash_dq[1]" SITE "139" ; +LOCATE COMP "flash_dq[2]" SITE "140" ; +LOCATE COMP "flash_dq[3]" SITE "143" ; +LOCATE COMP "inclk" SITE "3" ; +LOCATE COMP "mcu_clk" SITE "121" ; +LOCATE COMP "mcu_cs" SITE "122" ; +LOCATE COMP "mcu_int" SITE "117" ; +LOCATE COMP "mcu_miso" SITE "119" ; +LOCATE COMP "mcu_mosi" SITE "120" ; +LOCATE COMP "n64_irq" SITE "32" ; +LOCATE COMP "n64_nmi" SITE "28" ; +LOCATE COMP "n64_pi_ad[0]" SITE "60" ; +LOCATE COMP "n64_pi_ad[1]" SITE "58" ; +LOCATE COMP "n64_pi_ad[10]" SITE "42" ; +LOCATE COMP "n64_pi_ad[11]" SITE "44" ; +LOCATE COMP "n64_pi_ad[12]" SITE "52" ; +LOCATE COMP "n64_pi_ad[13]" SITE "55" ; +LOCATE COMP "n64_pi_ad[14]" SITE "57" ; +LOCATE COMP "n64_pi_ad[15]" SITE "59" ; +LOCATE COMP "n64_pi_ad[2]" SITE "56" ; +LOCATE COMP "n64_pi_ad[3]" SITE "54" ; +LOCATE COMP "n64_pi_ad[4]" SITE "45" ; +LOCATE COMP "n64_pi_ad[5]" SITE "43" ; +LOCATE COMP "n64_pi_ad[6]" SITE "40" ; +LOCATE COMP "n64_pi_ad[7]" SITE "38" ; +LOCATE COMP "n64_pi_ad[8]" SITE "39" ; +LOCATE COMP "n64_pi_ad[9]" SITE "41" ; +LOCATE COMP "n64_pi_aleh" SITE "48" ; +LOCATE COMP "n64_pi_alel" SITE "50" ; +LOCATE COMP "n64_pi_read" SITE "47" ; +LOCATE COMP "n64_pi_write" SITE "49" ; +LOCATE COMP "n64_reset" SITE "31" ; +LOCATE COMP "n64_si_clk" SITE "33" ; +LOCATE COMP "n64_si_dq" SITE "27" ; +LOCATE COMP "sd_clk" SITE "111" ; +LOCATE COMP "sd_cmd" SITE "112" ; +LOCATE COMP "sd_dat[0]" SITE "110" ; +LOCATE COMP "sd_dat[1]" SITE "109" ; +LOCATE COMP "sd_dat[2]" SITE "114" ; +LOCATE COMP "sd_dat[3]" SITE "113" ; +LOCATE COMP "sd_det" SITE "115" ; +LOCATE COMP "sdram_a[0]" SITE "85" ; +LOCATE COMP "sdram_a[1]" SITE "86" ; +LOCATE COMP "sdram_a[10]" SITE "84" ; +LOCATE COMP "sdram_a[11]" SITE "63" ; +LOCATE COMP "sdram_a[12]" SITE "62" ; +LOCATE COMP "sdram_a[2]" SITE "87" ; +LOCATE COMP "sdram_a[3]" SITE "89" ; +LOCATE COMP "sdram_a[4]" SITE "71" ; +LOCATE COMP "sdram_a[5]" SITE "70" ; +LOCATE COMP "sdram_a[6]" SITE "69" ; +LOCATE COMP "sdram_a[7]" SITE "68" ; +LOCATE COMP "sdram_a[8]" SITE "67" ; +LOCATE COMP "sdram_a[9]" SITE "65" ; +LOCATE COMP "sdram_ba[0]" SITE "92" ; +LOCATE COMP "sdram_ba[1]" SITE "91" ; +LOCATE COMP "sdram_cas" SITE "95" ; +LOCATE COMP "sdram_clk" SITE "61" ; +LOCATE COMP "sdram_cs" SITE "93" ; +LOCATE COMP "sdram_dq[0]" SITE "107" ; +LOCATE COMP "sdram_dq[1]" SITE "106" ; +LOCATE COMP "sdram_dq[10]" SITE "76" ; +LOCATE COMP "sdram_dq[11]" SITE "77" ; +LOCATE COMP "sdram_dq[12]" SITE "78" ; +LOCATE COMP "sdram_dq[13]" SITE "81" ; +LOCATE COMP "sdram_dq[14]" SITE "82" ; +LOCATE COMP "sdram_dq[15]" SITE "83" ; +LOCATE COMP "sdram_dq[2]" SITE "105" ; +LOCATE COMP "sdram_dq[3]" SITE "104" ; +LOCATE COMP "sdram_dq[4]" SITE "103" ; +LOCATE COMP "sdram_dq[5]" SITE "100" ; +LOCATE COMP "sdram_dq[6]" SITE "99" ; +LOCATE COMP "sdram_dq[7]" SITE "98" ; +LOCATE COMP "sdram_dq[8]" SITE "74" ; +LOCATE COMP "sdram_dq[9]" SITE "75" ; +LOCATE COMP "sdram_dqm[0]" SITE "97" ; +LOCATE COMP "sdram_dqm[1]" SITE "73" ; +LOCATE COMP "sdram_ras" SITE "94" ; +LOCATE COMP "sdram_we" SITE "96" ; +LOCATE COMP "usb_clk" SITE "12" ; +LOCATE COMP "usb_cs" SITE "11" ; +LOCATE COMP "usb_miosi[0]" SITE "22" ; +LOCATE COMP "usb_miosi[1]" SITE "21" ; +LOCATE COMP "usb_miosi[2]" SITE "20" ; +LOCATE COMP "usb_miosi[3]" SITE "19" ; +LOCATE COMP "usb_miosi[4]" SITE "17" ; +LOCATE COMP "usb_miosi[5]" SITE "15" ; +LOCATE COMP "usb_miosi[6]" SITE "14" ; +LOCATE COMP "usb_miosi[7]" SITE "13" ; +LOCATE COMP "usb_miso" SITE "10" ; +LOCATE COMP "usb_pwrsav" SITE "2" ; +SYSCONFIG SDM_PORT=DISABLE ; +VOLTAGE 3.300 V; diff --git a/fw/project/lcmxo2/sc64.sty b/fw/project/lcmxo2/sc64.sty new file mode 100644 index 0000000..ee5086b --- /dev/null +++ b/fw/project/lcmxo2/sc64.sty @@ -0,0 +1,205 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/fw/rtl/SummerCart64.sv b/fw/rtl/SummerCart64.sv deleted file mode 100644 index ac2b36f..0000000 --- a/fw/rtl/SummerCart64.sv +++ /dev/null @@ -1,138 +0,0 @@ -module SummerCart64 ( - input i_clk, - - input i_n64_reset, - input i_n64_nmi, - output o_n64_irq, - - input i_n64_pi_alel, - input i_n64_pi_aleh, - input i_n64_pi_read, - input i_n64_pi_write, - inout [15:0] io_n64_pi_ad, - - input i_n64_si_clk, - inout io_n64_si_dq, - - output o_sdram_clk, - output o_sdram_cs, - output o_sdram_ras, - output o_sdram_cas, - output o_sdram_we, - output [1:0] o_sdram_ba, - output [12:0] o_sdram_a, - inout [15:0] io_sdram_dq, - - output o_rtc_scl, - inout io_rtc_sda, - - output o_usb_clk, - output o_usb_cs, - input i_usb_miso, - inout [7:0] io_usb_miosi, - - input i_uart_rxd, - output o_uart_txd, - - output o_sd_clk, - inout io_sd_cmd, - inout [3:0] io_sd_dat, - - output o_led -); - - logic dd_interrupt; - - if_system sys ( - .in_clk(i_clk), - .n64_reset(i_n64_reset), - .n64_nmi(i_n64_nmi) - ); - - if_config cfg (); - - if_memory_dma usb_dma (); - - if_sdram sdram (); - - if_flashram flashram (); - - if_si si (); - - if_flash flash (); - - if_dd dd ( - .dd_interrupt(dd_interrupt) - ); - - - system system_inst ( - .sys(sys) - ); - - intel_gpio_ddro sdram_clk_ddro ( - .outclock(sys.sdram.sdram_clk), - .din({1'b0, 1'b1}), - .pad_out(o_sdram_clk) - ); - - n64_soc n64_soc_inst ( - .sys(sys), - .cfg(cfg), - .usb_dma(usb_dma), - .sdram(sdram), - .flashram(flashram), - .si(si), - .flash(flash), - .dd(dd), - - .n64_pi_alel(i_n64_pi_alel), - .n64_pi_aleh(i_n64_pi_aleh), - .n64_pi_read(i_n64_pi_read), - .n64_pi_write(i_n64_pi_write), - .n64_pi_ad(io_n64_pi_ad), - - .n64_si_clk(i_n64_si_clk), - .n64_si_dq(io_n64_si_dq), - - .sdram_cs(o_sdram_cs), - .sdram_ras(o_sdram_ras), - .sdram_cas(o_sdram_cas), - .sdram_we(o_sdram_we), - .sdram_ba(o_sdram_ba), - .sdram_a(o_sdram_a), - .sdram_dq(io_sdram_dq) - ); - - cpu_soc cpu_soc_inst ( - .sys(sys), - .cfg(cfg), - .usb_dma(usb_dma), - .sdram(sdram), - .flashram(flashram), - .si(si), - .flash(flash), - .dd(dd), - - .i2c_scl(o_rtc_scl), - .i2c_sda(io_rtc_sda), - - .usb_clk(o_usb_clk), - .usb_cs(o_usb_cs), - .usb_miso(i_usb_miso), - .usb_miosi(io_usb_miosi), - - .uart_rxd(i_uart_rxd), - .uart_txd(o_uart_txd), - - .sd_clk(o_sd_clk), - .sd_cmd(io_sd_cmd), - .sd_dat(io_sd_dat) - ); - - always_comb begin - o_n64_irq = dd_interrupt ? 1'b0 : 1'bZ; - o_led = 1'bZ; - end - -endmodule diff --git a/fw/rtl/cpu/cpu_bus.sv b/fw/rtl/cpu/cpu_bus.sv deleted file mode 100644 index b2b1e14..0000000 --- a/fw/rtl/cpu/cpu_bus.sv +++ /dev/null @@ -1,54 +0,0 @@ -interface if_cpu_bus #( - parameter bit [3:0] NUM_DEVICES -) (); - - logic request; - logic ack; - logic [3:0] wmask; - logic [31:0] address; - logic [31:0] wdata; - logic [31:0] rdata; - - logic device_ack [(NUM_DEVICES - 1):0]; - logic [31:0] device_rdata [(NUM_DEVICES - 1):0]; - - always_comb begin - ack = 1'b0; - rdata = 32'd0; - - for (integer i = 0; i < NUM_DEVICES; i++) begin - ack = ack | device_ack[i]; - rdata = rdata | device_rdata[i]; - end - end - - modport cpu ( - output request, - input ack, - output wmask, - output address, - output wdata, - input rdata - ); - - genvar n; - generate - for (n = 0; n < NUM_DEVICES; n++) begin : at - logic device_request; - - always_comb begin - device_request = request && address[31:28] == n[3:0]; - end - - modport device ( - input .request(device_request), - output .ack(device_ack[n]), - input .wmask(wmask), - input .address(address), - input .wdata(wdata), - output .rdata(device_rdata[n]) - ); - end - endgenerate - -endinterface diff --git a/fw/rtl/cpu/cpu_cfg.sv b/fw/rtl/cpu/cpu_cfg.sv deleted file mode 100644 index a587216..0000000 --- a/fw/rtl/cpu/cpu_cfg.sv +++ /dev/null @@ -1,142 +0,0 @@ -module cpu_cfg ( - if_system.sys sys, - if_cpu_bus bus, - if_config.cpu cfg -); - - logic skip_bootloader; - logic enable_writes_on_reset; - logic trigger_reconfiguration; - - typedef enum bit [2:0] { - R_SCR, - R_COMMAND, - R_DATA_0, - R_DATA_1, - R_VERSION, - R_RECONFIGURE - } e_reg_id; - - const logic [31:0] RECONFIGURE_MAGIC = 32'h52535446; - - always_ff @(posedge sys.clk) begin - bus.ack <= 1'b0; - if (bus.request) begin - bus.ack <= 1'b1; - end - end - - always_comb begin - bus.rdata = 32'd0; - if (bus.ack) begin - case (bus.address[4:2]) - R_SCR: bus.rdata = { - cfg.cpu_ready, - cfg.cpu_busy, - 1'b0, - cfg.cmd_error, - 2'd0, - cfg.flash_erase_busy, - 1'd0, - 16'd0, - enable_writes_on_reset, - skip_bootloader, - cfg.flashram_enabled, - cfg.sram_banked, - cfg.sram_enabled, - cfg.dd_enabled, - cfg.sdram_writable, - cfg.sdram_switch - }; - R_COMMAND: bus.rdata = {24'd0, cfg.cmd}; - R_DATA_0: bus.rdata = cfg.data[0]; - R_DATA_1: bus.rdata = cfg.data[1]; - R_VERSION: bus.rdata = sc64::SC64_VER; - R_RECONFIGURE: bus.rdata = RECONFIGURE_MAGIC; - default: bus.rdata = 32'd0; - endcase - end - end - - always_comb begin - cfg.wdata = bus.wdata; - cfg.data_write = 2'b00; - if (bus.request && (&bus.wmask)) begin - cfg.data_write[0] = bus.address[4:2] == R_DATA_0; - cfg.data_write[1] = bus.address[4:2] == R_DATA_1; - end - end - - always_ff @(posedge sys.clk) begin - cfg.flash_erase_start <= 1'b0; - cfg.flash_wp_enable <= 1'b0; - cfg.flash_wp_disable <= 1'b0; - - if (sys.reset) begin - cfg.cpu_ready <= 1'b0; - cfg.cpu_busy <= 1'b0; - cfg.cmd_error <= 1'b0; - cfg.sdram_switch <= 1'b0; - cfg.sdram_writable <= 1'b0; - cfg.dd_enabled <= 1'b0; - cfg.sram_enabled <= 1'b0; - cfg.sram_banked <= 1'b0; - cfg.flashram_enabled <= 1'b0; - skip_bootloader <= 1'b0; - enable_writes_on_reset <= 1'b0; - trigger_reconfiguration <= 1'b0; - end else begin - if (sys.n64_soft_reset) begin - cfg.sdram_switch <= skip_bootloader; - cfg.sdram_writable <= enable_writes_on_reset; - end - - if (cfg.cmd_request) begin - cfg.cpu_busy <= 1'b1; - end - - if (bus.request) begin - case (bus.address[4:2]) - R_SCR: begin - if (bus.wmask[3]) begin - { - cfg.cpu_ready, - cfg.cpu_busy, - cfg.cmd_error, - cfg.flash_wp_disable, - cfg.flash_wp_enable, - cfg.flash_erase_start - } <= {bus.wdata[31:30], bus.wdata[28:26], bus.wdata[24]}; - end - if (bus.wmask[0]) begin - { - enable_writes_on_reset, - skip_bootloader, - cfg.flashram_enabled, - cfg.sram_banked, - cfg.sram_enabled, - cfg.dd_enabled, - cfg.sdram_writable, - cfg.sdram_switch - } <= bus.wdata[7:0]; - end - end - - R_RECONFIGURE: begin - if (&bus.wmask && bus.wdata == RECONFIGURE_MAGIC) begin - trigger_reconfiguration <= 1'b1; - end - end - endcase - end - end - end - - vendor_reconfigure vendor_reconfigure_inst ( - .clk(sys.clk), - .reset(sys.reset), - - .trigger_reconfiguration(trigger_reconfiguration) - ); - -endmodule diff --git a/fw/rtl/cpu/cpu_dd.sv b/fw/rtl/cpu/cpu_dd.sv deleted file mode 100644 index f4227d2..0000000 --- a/fw/rtl/cpu/cpu_dd.sv +++ /dev/null @@ -1,141 +0,0 @@ -module cpu_dd ( - if_system.sys sys, - if_cpu_bus bus, - if_dd.cpu dd -); - - const bit [8:0] M_SECTOR_BUFFER = 9'h100; - - logic bm_ack; - logic [31:0] seek_timer; - - typedef enum bit [2:0] { - R_SCR, - R_CMD_DATA, - R_HEAD_TRACK, - R_SECTOR_INFO, - R_DRIVE_ID, - R_SEEK_TIMER - } e_reg_id; - - always_ff @(posedge sys.clk) begin - bus.ack <= 1'b0; - if (bus.request) begin - bus.ack <= 1'b1; - end - end - - always_comb begin - bus.rdata = 32'd0; - if (bus.ack) begin - if (bus.address[8] == M_SECTOR_BUFFER[8]) begin - bus.rdata = { - dd.sector_rdata[7:0], - dd.sector_rdata[15:8], - dd.sector_rdata[23:16], - dd.sector_rdata[31:24] - }; - end else begin - case (bus.address[5:2]) - R_SCR: bus.rdata = { - 14'd0, - bm_ack, - dd.bm_micro_error, - dd.bm_transfer_c2, - dd.bm_transfer_data, - dd.bm_transfer_blocks, - dd.bm_transfer_mode, - 1'b0, - dd.bm_stop_pending, - 1'b0, - dd.bm_start_pending, - dd.disk_changed, - dd.disk_inserted, - 1'b0, - dd.bm_pending, - 1'b0, - dd.cmd_pending, - 1'b0, - dd.hard_reset - }; - R_CMD_DATA: bus.rdata = {8'd0, dd.cmd, dd.data}; - R_HEAD_TRACK: bus.rdata = {18'd0, dd.index_lock, dd.head_track}; - R_SECTOR_INFO: bus.rdata = { - dd.sectors_in_block, - dd.sector_size_full, - dd.sector_size, - dd.sector_num - }; - R_DRIVE_ID: bus.rdata = {dd.drive_id}; - R_SEEK_TIMER: bus.rdata = seek_timer; - default: bus.rdata = 32'd0; - endcase - end - end - end - - always_comb begin - dd.sector_address = bus.address[7:2]; - dd.sector_address_valid = bus.request && bus.address[8] == M_SECTOR_BUFFER[8]; - dd.sector_write = (&bus.wmask) && dd.sector_address_valid; - dd.sector_wdata = {bus.wdata[7:0], bus.wdata[15:8], bus.wdata[23:16], bus.wdata[31:24]}; - end - - always_ff @(posedge sys.clk) begin - dd.hard_reset_clear <= 1'b0; - dd.cmd_ready <= 1'b0; - dd.bm_start_clear <= 1'b0; - dd.bm_stop_clear <= 1'b0; - dd.bm_clear <= 1'b0; - dd.bm_ready <= 1'b0; - - if (dd.bm_interrupt_ack) begin - bm_ack <= 1'b1; - end - - if (!(&seek_timer)) begin - seek_timer <= seek_timer + 1'd1; - end - - if (sys.reset) begin - bm_ack <= 1'b0; - end else begin - if (bus.request && (!bus.address[8])) begin - case (bus.address[4:2]) - R_SCR: if (&bus.wmask) begin - if (bus.wdata[20]) begin - seek_timer <= 32'd0; - end - dd.bm_clear <= bus.wdata[19]; - if (bus.wdata[18]) begin - bm_ack <= 1'b0; - end - dd.bm_micro_error <= bus.wdata[16]; - dd.bm_transfer_c2 <= bus.wdata[15]; - dd.bm_transfer_data <= bus.wdata[14]; - dd.bm_stop_clear <= bus.wdata[11]; - dd.bm_start_clear <= bus.wdata[9]; - dd.disk_changed <= bus.wdata[7]; - dd.disk_inserted <= bus.wdata[6]; - dd.bm_ready <= bus.wdata[5]; - dd.cmd_ready <= bus.wdata[3]; - dd.hard_reset_clear <= bus.wdata[1]; - end - - R_CMD_DATA: if (&bus.wmask[1:0]) begin - dd.cmd_data <= bus.wdata[15:0]; - end - - R_HEAD_TRACK: if (&bus.wmask[1:0]) begin - {dd.index_lock, dd.head_track} <= bus.wdata[13:0]; - end - - R_DRIVE_ID: if (&bus.wmask[1:0]) begin - dd.drive_id <= bus.wdata[15:0]; - end - endcase - end - end - end - -endmodule diff --git a/fw/rtl/cpu/cpu_flash.sv b/fw/rtl/cpu/cpu_flash.sv deleted file mode 100644 index fbc0cc3..0000000 --- a/fw/rtl/cpu/cpu_flash.sv +++ /dev/null @@ -1,61 +0,0 @@ -interface if_flash (); - - logic request; - logic ack; - logic write; - logic [31:0] address; - logic [31:0] rdata; - logic [31:0] wdata; - - modport cpu ( - output request, - input ack, - output write, - output address, - input rdata, - output wdata - ); - - modport flash ( - input request, - output ack, - input write, - input address, - output rdata, - input wdata - ); - -endinterface - - -module cpu_flash ( - if_system.sys sys, - if_cpu_bus bus, - if_flash.cpu flash -); - - logic request; - - always_comb begin - bus.ack = flash.ack; - bus.rdata = flash.rdata; - flash.request = bus.request || request; - flash.write = &bus.wmask; - flash.address = bus.address; - flash.wdata = bus.wdata; - end - - always_ff @(posedge sys.clk) begin - if (sys.reset) begin - request <= 1'b0; - end else begin - if (bus.request) begin - request <= 1'b1; - end - if (flash.ack) begin - request <= 1'b0; - end - end - end - -endmodule diff --git a/fw/rtl/cpu/cpu_flashram.sv b/fw/rtl/cpu/cpu_flashram.sv deleted file mode 100644 index 7519811..0000000 --- a/fw/rtl/cpu/cpu_flashram.sv +++ /dev/null @@ -1,77 +0,0 @@ -interface if_flashram (); - - logic [4:0] address; - logic [31:0] rdata; - logic [9:0] sector; - logic operation_pending; - logic write_or_erase; - logic sector_or_all; - logic operation_done; - - modport cpu ( - output address, - input rdata, - input sector, - input operation_pending, - input write_or_erase, - input sector_or_all, - output operation_done - ); - - modport flashram ( - input address, - output rdata, - output sector, - output operation_pending, - output write_or_erase, - output sector_or_all, - input operation_done - ); - -endinterface - - -module cpu_flashram ( - if_system.sys sys, - if_cpu_bus bus, - if_flashram.cpu flashram -); - - always_ff @(posedge sys.clk) begin - bus.ack <= 1'b0; - if (bus.request) begin - bus.ack <= 1'b1; - end - end - - always_comb begin - bus.rdata = 32'd0; - if (bus.ack) begin - bus.rdata = { - 14'd0, - flashram.sector, - 4'd0, - flashram.sector_or_all, - flashram.write_or_erase, - 1'b0, - flashram.operation_pending - }; - if (bus.address[7]) begin - bus.rdata = {flashram.rdata[7:0], flashram.rdata[15:8], flashram.rdata[23:16], flashram.rdata[31:24]}; - end - end - - flashram.address = bus.address[6:2]; - end - - always_ff @(posedge sys.clk) begin - flashram.operation_done <= 1'b0; - - if (bus.request) begin - if (!bus.address[7] && bus.wmask[0]) begin - flashram.operation_done <= bus.wdata[1]; - end - end - end - -endmodule diff --git a/fw/rtl/cpu/cpu_i2c.sv b/fw/rtl/cpu/cpu_i2c.sv deleted file mode 100644 index ab2c7e2..0000000 --- a/fw/rtl/cpu/cpu_i2c.sv +++ /dev/null @@ -1,155 +0,0 @@ -module cpu_i2c ( - if_system.sys sys, - if_cpu_bus bus, - - output i2c_scl, - inout i2c_sda -); - - reg [1:0] state; - reg mack; - reg [8:0] trx_data; - - always_comb begin - bus.rdata = 32'd0; - if (bus.ack) begin - case (bus.address[2]) - 0: bus.rdata = {27'd0, |state, ~trx_data[0], mack, 2'b00}; - 1: bus.rdata = {23'd0, trx_data[0], trx_data[8:1]}; - default: bus.rdata = 32'd0; - endcase - end - end - - always_ff @(posedge sys.clk) begin - bus.ack <= 1'b0; - if (bus.request) begin - bus.ack <= 1'b1; - end - - if (sys.reset) begin - mack <= 1'b0; - end else if (bus.request && bus.wmask[0] && !bus.address[2]) begin - mack <= bus.wdata[2]; - end - end - - reg [5:0] clock_div; - reg [3:0] clock_phase_gen; - - wire clock_tick = &clock_div; - wire [3:0] clock_phase = {4{clock_tick}} & clock_phase_gen; - - always_ff @(posedge sys.clk) begin - if (sys.reset) begin - clock_div <= 6'd0; - end else begin - clock_div <= clock_div + 1'd1; - end - - if (sys.reset || state == 2'd0) begin - clock_phase_gen <= 4'b0001; - end else if (clock_tick) begin - clock_phase_gen <= {clock_phase_gen[2:0], clock_phase_gen[3]}; - end - end - - reg [3:0] bit_counter; - - reg sda_i_ff1, sda_i_ff2; - reg scl_o; - reg sda_o; - - assign i2c_scl = scl_o ? 1'bZ : 1'b0; - assign i2c_sda = sda_o ? 1'bZ : 1'b0; - - always_ff @(posedge sys.clk) begin - {sda_i_ff2, sda_i_ff1} <= {sda_i_ff1, i2c_sda}; - - if (sys.reset) begin - state <= 2'd0; - scl_o <= 1'b1; - sda_o <= 1'b1; - end else begin - case (state) - 2'd0: begin - bit_counter <= 4'd0; - - if (bus.request && bus.wmask[0]) begin - case (bus.address[2]) - 0: begin - if (bus.wdata[1]) state <= 2'd2; - if (bus.wdata[0]) state <= 2'd1; - end - - 1: begin - state <= 2'd3; - trx_data <= {bus.wdata[7:0], ~mack}; - end - endcase - end - end - - 2'd1: begin - if (clock_phase[0]) begin - scl_o <= 1'b1; - sda_o <= 1'b1; - end - - if (clock_phase[1]) begin - sda_o <= 1'b0; - end - - if (clock_phase[3]) begin - state <= 2'd0; - scl_o <= 1'b0; - end - end - - 2'd2: begin - if (clock_phase[0]) begin - scl_o <= 1'b0; - sda_o <= 1'b0; - end - - if (clock_phase[1]) begin - scl_o <= 1'b1; - end - - if (clock_phase[3]) begin - state <= 2'd0; - sda_o <= 1'b1; - end - end - - 2'd3: begin - if (clock_phase[0]) begin - bit_counter <= bit_counter + 1'd1; - scl_o <= 1'b0; - sda_o <= trx_data[8]; - end - - if (clock_phase[1]) begin - scl_o <= 1'b1; - end - - if (clock_phase[3]) begin - trx_data <= {trx_data[7:0], sda_i_ff2}; - scl_o <= 1'b0; - end - - if (bit_counter == 4'b1010) begin - state <= 2'd0; - end - end - - default: begin - state <= 2'd0; - scl_o <= 1'b1; - sda_o <= 1'b1; - end - endcase - end - end - -endmodule diff --git a/fw/rtl/cpu/cpu_ram.sv b/fw/rtl/cpu/cpu_ram.sv deleted file mode 100644 index 30dff79..0000000 --- a/fw/rtl/cpu/cpu_ram.sv +++ /dev/null @@ -1,33 +0,0 @@ -module cpu_ram ( - if_system.sys sys, - if_cpu_bus bus -); - - logic [3:0][7:0] ram [0:4095]; - logic [31:0] q; - - always_ff @(posedge sys.clk) begin - bus.ack <= 1'b0; - if (bus.request) begin - bus.ack <= 1'b1; - end - end - - always_comb begin - bus.rdata = 32'd0; - if (bus.ack) begin - bus.rdata = q; - end - end - - always_ff @(posedge sys.clk) begin - q <= ram[bus.address[13:2]]; - if (bus.request) begin - if (bus.wmask[0]) ram[bus.address[13:2]][0] <= bus.wdata[7:0]; - if (bus.wmask[1]) ram[bus.address[13:2]][1] <= bus.wdata[15:8]; - if (bus.wmask[2]) ram[bus.address[13:2]][2] <= bus.wdata[23:16]; - if (bus.wmask[3]) ram[bus.address[13:2]][3] <= bus.wdata[31:24]; - end - end - -endmodule diff --git a/fw/rtl/cpu/cpu_sdram.sv b/fw/rtl/cpu/cpu_sdram.sv deleted file mode 100644 index 6f01317..0000000 --- a/fw/rtl/cpu/cpu_sdram.sv +++ /dev/null @@ -1,76 +0,0 @@ -interface if_sdram (); - - logic request; - logic ack; - logic write; - logic [31:0] address; - logic [15:0] rdata; - logic [15:0] wdata; - - modport cpu ( - output request, - input ack, - output write, - output address, - input rdata, - output wdata - ); - - modport memory ( - input request, - output ack, - input write, - input address, - output rdata, - input wdata - ); - -endinterface - - -module cpu_sdram ( - if_system.sys sys, - if_cpu_bus bus, - if_sdram.cpu sdram -); - - logic request; - logic current_word; - logic [31:0] rdata; - - always_comb begin - bus.rdata = 32'd0; - if (bus.ack) begin - bus.rdata = {rdata[7:0], rdata[15:8], rdata[23:16], rdata[31:24]}; - end - - sdram.write = current_word ? &bus.wmask[1:0] : &bus.wmask[3:2]; - sdram.address = {1'b0, bus.address[30:2], current_word, 1'b0}; - sdram.wdata = current_word ? {bus.wdata[23:16], bus.wdata[31:24]} : {bus.wdata[7:0], bus.wdata[15:8]}; - end - - always_ff @(posedge sys.clk) begin - bus.ack <= 1'b0; - - if (sys.reset) begin - sdram.request <= 1'b0; - end else begin - if (bus.request) begin - sdram.request <= 1'b1; - current_word <= 1'b0; - end - - if (sdram.ack) begin - if (!current_word) begin - current_word <= 1'b1; - rdata[31:16] <= sdram.rdata; - end else begin - bus.ack <= 1'b1; - sdram.request <= 1'b0; - rdata[15:0] <= sdram.rdata; - end - end - end - end - -endmodule diff --git a/fw/rtl/cpu/cpu_si.sv b/fw/rtl/cpu/cpu_si.sv deleted file mode 100644 index 2197d68..0000000 --- a/fw/rtl/cpu/cpu_si.sv +++ /dev/null @@ -1,59 +0,0 @@ -module cpu_si ( - if_system.sys sys, - if_cpu_bus bus, - if_si.cpu si -); - always_ff @(posedge sys.clk) begin - bus.ack <= 1'b0; - if (bus.request) begin - bus.ack <= 1'b1; - end - end - - always_comb begin - bus.rdata = 32'd0; - if (bus.ack) begin - case (bus.address[3:2]) - 0: bus.rdata = { - 20'd0, - si.rx_length[6:3], - 4'd0, - si.tx_busy, - 1'b0, - si.rx_data[0], - si.rx_ready - }; - 1: bus.rdata = {si.rx_data[56:49], si.rx_data[64:57], si.rx_data[72:65], si.rx_data[80:73]}; - 2: bus.rdata = {si.rx_data[24:17], si.rx_data[32:25], si.rx_data[40:33], si.rx_data[48:41]}; - 3: bus.rdata = {16'd0, si.rx_data[8:1], si.rx_data[16:9]}; - default: bus.rdata = 32'd0; - endcase - end - end - - always_comb begin - si.tx_data = {bus.wdata[7:0], bus.wdata[15:8], bus.wdata[23:16], bus.wdata[31:24]}; - si.tx_length = bus.wdata[22:16]; - end - - always_ff @(posedge sys.clk) begin - si.tx_reset <= 1'b0; - si.rx_reset <= 1'b0; - si.tx_start <= 1'b0; - si.tx_wmask <= 3'b000; - - if (bus.request && (&bus.wmask)) begin - case (bus.address[3:2]) - 0: begin - si.tx_reset <= bus.wdata[7]; - si.rx_reset <= bus.wdata[6]; - si.tx_start <= bus.wdata[2]; - end - 1: si.tx_wmask[0] <= 1'b1; - 2: si.tx_wmask[1] <= 1'b1; - 3: si.tx_wmask[2] <= 1'b1; - endcase - end - end - -endmodule diff --git a/fw/rtl/cpu/cpu_soc.sv b/fw/rtl/cpu/cpu_soc.sv deleted file mode 100644 index 36eac9b..0000000 --- a/fw/rtl/cpu/cpu_soc.sv +++ /dev/null @@ -1,123 +0,0 @@ -module cpu_soc ( - if_system.sys sys, - if_config.cpu cfg, - if_memory_dma usb_dma, - if_sdram.cpu sdram, - if_flashram.cpu flashram, - if_si.cpu si, - if_flash flash, - if_dd.cpu dd, - - output i2c_scl, - inout i2c_sda, - - output usb_clk, - output usb_cs, - input usb_miso, - inout [7:0] usb_miosi, - - input uart_rxd, - output uart_txd, - - output sd_clk, - inout sd_cmd, - inout [3:0] sd_dat -); - - typedef enum bit [3:0] { - DEV_FLASH, - DEV_RAM, - DEV_CFG, - DEV_I2C, - DEV_USB, - DEV_UART, - DEV_DD, - DEV_SDRAM, - DEV_FLASHRAM, - DEV_SI, - __NUM_DEVICES - } e_bus_id; - - if_cpu_bus #( - .NUM_DEVICES(__NUM_DEVICES) - ) bus (); - - cpu_wrapper cpu_wrapper_inst ( - .sys(sys), - .bus(bus) - ); - - cpu_flash cpu_flash_inst ( - .sys(sys), - .bus(bus.at[DEV_FLASH].device), - .flash(flash) - ); - - cpu_ram cpu_ram_inst ( - .sys(sys), - .bus(bus.at[DEV_RAM].device) - ); - - cpu_cfg cpu_cfg_inst ( - .sys(sys), - .bus(bus.at[DEV_CFG].device), - .cfg(cfg) - ); - - cpu_i2c cpu_i2c_inst ( - .sys(sys), - .bus(bus.at[DEV_I2C].device), - .i2c_scl(i2c_scl), - .i2c_sda(i2c_sda) - ); - - cpu_usb cpu_usb_inst ( - .sys(sys), - .bus(bus.at[DEV_USB].device), - .dma(usb_dma), - .usb_clk(usb_clk), - .usb_cs(usb_cs), - .usb_miso(usb_miso), - .usb_miosi(usb_miosi) - ); - - generate - if (sc64::CPU_HAS_UART) begin - cpu_uart cpu_uart_inst ( - .sys(sys), - .bus(bus.at[DEV_UART].device), - .uart_rxd(uart_rxd), - .uart_txd(uart_txd) - ); - end - endgenerate - - cpu_dd cpu_dd_inst ( - .sys(sys), - .bus(bus.at[DEV_DD].device), - .dd(dd) - ); - - cpu_sdram cpu_sdram_inst ( - .sys(sys), - .bus(bus.at[DEV_SDRAM].device), - .sdram(sdram) - ); - - cpu_flashram cpu_flashram_inst ( - .sys(sys), - .bus(bus.at[DEV_FLASHRAM].device), - .flashram(flashram) - ); - - cpu_si cpu_si_inst ( - .sys(sys), - .bus(bus.at[DEV_SI].device), - .si(si) - ); - - assign sd_clk = 1'bZ; - assign sd_cmd = 1'bZ; - assign sd_dat = 4'bZZZZ; - -endmodule diff --git a/fw/rtl/cpu/cpu_uart.sv b/fw/rtl/cpu/cpu_uart.sv deleted file mode 100644 index 6da1ed1..0000000 --- a/fw/rtl/cpu/cpu_uart.sv +++ /dev/null @@ -1,153 +0,0 @@ -module cpu_uart ( - if_system.sys sys, - if_cpu_bus bus, - - input uart_rxd, - output uart_txd -); - - localparam BAUD_GEN_VALUE = int'(sc64::CLOCK_FREQUENCY / sc64::UART_BAUD_RATE) - 1'd1; - - typedef enum bit [1:0] { - S_TRX_IDLE, - S_TRX_DATA, - S_TRX_SAMPLING_OFFSET - } e_trx_state; - - - // CPU bus controller - - e_trx_state tx_state; - e_trx_state rx_state; - logic [7:0] rx_data; - logic rx_available; - logic rx_overrun; - - always_ff @(posedge sys.clk) begin - bus.ack <= 1'b0; - if (bus.request) begin - bus.ack <= 1'b1; - end - end - - always_comb begin - bus.rdata = 32'd0; - if (bus.ack) begin - case (bus.address[2:2]) - 0: bus.rdata = {29'd0, rx_overrun, tx_state == S_TRX_IDLE, rx_available}; - 1: bus.rdata = {24'd0, rx_data}; - default: bus.rdata = 32'd0; - endcase - end - end - - - // TX path - - logic [6:0] tx_baud_counter; - logic [3:0] tx_bit_counter; - logic [9:0] tx_shifter; - - always_ff @(posedge sys.clk) begin - tx_baud_counter <= tx_baud_counter + 1'd1; - uart_txd <= tx_shifter[0]; - - if (sys.reset) begin - tx_state <= S_TRX_IDLE; - tx_shifter <= 10'h3FF; - end else begin - case (tx_state) - S_TRX_IDLE: begin - if (bus.request && bus.wmask[0] && bus.address[2]) begin - tx_state <= S_TRX_DATA; - tx_baud_counter <= 7'd0; - tx_bit_counter <= 4'd0; - tx_shifter <= {1'b1, bus.wdata[7:0], 1'b0}; - end - end - - S_TRX_DATA: begin - if (tx_baud_counter == BAUD_GEN_VALUE) begin - tx_baud_counter <= 7'd0; - tx_bit_counter <= tx_bit_counter + 1'd1; - tx_shifter <= {1'b1, tx_shifter[9:1]}; - if (tx_bit_counter == 4'd9) begin - tx_state <= S_TRX_IDLE; - end - end - end - - default: begin - tx_state <= S_TRX_IDLE; - tx_shifter <= 10'h3FF; - end - endcase - end - end - - - // RX path - - logic [6:0] rx_baud_counter; - logic [3:0] rx_bit_counter; - logic [7:0] rx_shifter; - logic [1:0] rxd_ff; - - always_ff @(posedge sys.clk) begin - rx_baud_counter <= rx_baud_counter + 1'd1; - rxd_ff <= {rxd_ff[0], uart_rxd}; - - if (bus.request && bus.wmask[0] && !bus.address[2]) begin - rx_overrun <= bus.wdata[2]; - end - if (bus.request && !bus.wmask[0] && bus.address[2]) begin - rx_available <= 1'b0; - end - - if (sys.reset) begin - rx_state <= S_TRX_IDLE; - rx_available <= 1'b0; - rx_overrun <= 1'b0; - end else begin - case (rx_state) - S_TRX_IDLE: begin - if (!rxd_ff[1]) begin - rx_state <= S_TRX_SAMPLING_OFFSET; - rx_baud_counter <= 7'd0; - rx_bit_counter <= 4'd0; - end - end - - S_TRX_SAMPLING_OFFSET: begin - if (rx_baud_counter == (BAUD_GEN_VALUE / 2)) begin - rx_state <= S_TRX_DATA; - rx_baud_counter <= 7'd0; - end - end - - S_TRX_DATA: begin - if (rx_baud_counter == BAUD_GEN_VALUE) begin - rx_baud_counter <= 7'd0; - rx_bit_counter <= rx_bit_counter + 1'd1; - rx_shifter <= {rxd_ff[1], rx_shifter[7:1]}; - if (rx_bit_counter == 4'd8) begin - rx_state <= S_TRX_IDLE; - if (rxd_ff[1]) begin - rx_data <= rx_shifter[7:0]; - rx_available <= 1'b1; - rx_overrun <= rx_available; - end - end - end - end - - default: begin - rx_state <= S_TRX_IDLE; - rx_available <= 1'b0; - rx_overrun <= 1'b0; - end - endcase - end - end - -endmodule diff --git a/fw/rtl/cpu/cpu_usb.sv b/fw/rtl/cpu/cpu_usb.sv deleted file mode 100644 index f832483..0000000 --- a/fw/rtl/cpu/cpu_usb.sv +++ /dev/null @@ -1,126 +0,0 @@ -module cpu_usb ( - if_system sys, - if_cpu_bus bus, - if_memory_dma dma, - - output usb_clk, - output usb_cs, - input usb_miso, - inout [7:0] usb_miosi -); - - logic rx_flush; - logic tx_flush; - logic usb_enable; - logic reset_pending; - logic reset_ack; - logic write_buffer_flush; - - typedef enum bit [1:0] { - R_SCR, - R_DATA, - R_ADDR, - R_LEN - } e_reg_id; - - always_ff @(posedge sys.clk) begin - bus.ack <= 1'b0; - if (bus.request) begin - bus.ack <= 1'b1; - end - end - - always_comb begin - bus.rdata = 32'd0; - if (bus.ack) begin - case (bus.address[3:2]) - R_SCR: bus.rdata = { - 23'd0, - dma.busy, - 1'b0, - reset_pending, - 1'b0, - usb_enable, - 2'b00, - ~dma.tx_full, - ~dma.rx_empty - }; - R_DATA: bus.rdata = {24'd0, dma.rx_rdata}; - default: bus.rdata = 32'd0; - endcase - end - end - - always_ff @(posedge sys.clk) begin - dma.start <= 1'b0; - dma.stop <= 1'b0; - dma.cpu_rx_read <= 1'b0; - dma.cpu_tx_write <= 1'b0; - rx_flush <= 1'b0; - tx_flush <= 1'b0; - reset_ack <= 1'b0; - write_buffer_flush <= 1'b0; - - if (sys.reset) begin - usb_enable <= 1'b0; - end else begin - if (bus.request) begin - case (bus.address[3:2]) - R_SCR: if (&bus.wmask) begin - {dma.direction, dma.stop, dma.start} <= bus.wdata[11:9]; - reset_ack <= bus.wdata[7]; - {write_buffer_flush, usb_enable, tx_flush, rx_flush} <= bus.wdata[5:2]; - end - - R_DATA: if (bus.wmask == 4'b0000) begin - dma.cpu_rx_read <= 1'b1; - end else if (bus.wmask == 4'b0001) begin - dma.cpu_tx_write <= 1'b1; - dma.cpu_tx_wdata <= bus.wdata[7:0]; - end - - R_ADDR: if (&bus.wmask) begin - dma.starting_address <= bus.wdata; - end - - R_LEN: if (&bus.wmask) begin - dma.transfer_length <= bus.wdata; - end - endcase - end - end - end - - memory_dma usb_memory_dma_inst ( - .clk(sys.clk), - .reset(~usb_enable), - .dma(dma) - ); - - usb_ft1248 usb_ft1248_inst ( - .clk(sys.clk), - .reset(~usb_enable), - - .usb_clk(usb_clk), - .usb_cs(usb_cs), - .usb_miso(usb_miso), - .usb_miosi(usb_miosi), - - .reset_pending(reset_pending), - .reset_ack(reset_ack), - .write_buffer_flush(write_buffer_flush), - - .rx_flush(rx_flush), - .rx_empty(dma.rx_empty), - .rx_almost_empty(dma.rx_almost_empty), - .rx_read(dma.rx_read), - .rx_rdata(dma.rx_rdata), - - .tx_flush(tx_flush), - .tx_full(dma.tx_full), - .tx_almost_full(dma.tx_almost_full), - .tx_write(dma.tx_write), - .tx_wdata(dma.tx_wdata) - ); - -endmodule diff --git a/fw/rtl/cpu/cpu_wrapper.sv b/fw/rtl/cpu/cpu_wrapper.sv deleted file mode 100644 index e248db0..0000000 --- a/fw/rtl/cpu/cpu_wrapper.sv +++ /dev/null @@ -1,85 +0,0 @@ -module cpu_wrapper ( - if_system.sys sys, - if_cpu_bus.cpu bus -); - - typedef enum bit [0:0] { - S_IDLE, - S_WAITING - } e_bus_state; - - e_bus_state state; - - logic mem_la_read; - logic mem_la_write; - - always_ff @(posedge sys.clk) begin - bus.request <= 1'b0; - if (sys.reset) begin - state <= S_IDLE; - end else begin - if (state == S_IDLE && (mem_la_read || mem_la_write)) begin - state <= S_WAITING; - bus.request <= 1'b1; - end - if (state == S_WAITING && bus.ack) begin - state <= S_IDLE; - end - end - end - - logic trap; - logic mem_valid; - logic mem_instr; - logic [31:0] mem_la_addr; - logic [31:0] mem_la_wdata; - logic [3:0] mem_la_wstrb; - logic pcpi_valid; - logic [31:0] pcpi_insn; - logic [31:0] pcpi_rs1; - logic [31:0] pcpi_rs2; - logic [31:0] eoi; - logic trace_valid; - logic [35:0] trace_data; - - picorv32 #( - .ENABLE_COUNTERS(0), - .ENABLE_COUNTERS64(0), - .TWO_STAGE_SHIFT(0), - .TWO_CYCLE_COMPARE(1), - .TWO_CYCLE_ALU(1), - .CATCH_MISALIGN(0), - .CATCH_ILLINSN(0), - .PROGADDR_RESET(32'h0001_0000) - ) cpu_inst ( - .clk(sys.clk), - .resetn(~sys.reset), - .mem_addr(bus.address), - .mem_wdata(bus.wdata), - .mem_wstrb(bus.wmask), - .mem_ready(bus.ack), - .mem_rdata(bus.rdata), - .mem_la_read(mem_la_read), - .mem_la_write(mem_la_write), - - .trap(trap), - .mem_valid(mem_valid), - .mem_instr(mem_instr), - .mem_la_addr(mem_la_addr), - .mem_la_wdata(mem_la_wdata), - .mem_la_wstrb(mem_la_wstrb), - .pcpi_valid(pcpi_valid), - .pcpi_insn(pcpi_insn), - .pcpi_rs1(pcpi_rs1), - .pcpi_rs2(pcpi_rs2), - .pcpi_wr(1'b0), - .pcpi_rd(32'd0), - .pcpi_wait(1'b0), - .pcpi_ready(1'b0), - .irq(32'd0), - .eoi(eoi), - .trace_valid(trace_valid), - .trace_data(trace_data) - ); - -endmodule diff --git a/fw/rtl/fifo/fifo_bus.sv b/fw/rtl/fifo/fifo_bus.sv new file mode 100644 index 0000000..80ca607 --- /dev/null +++ b/fw/rtl/fifo/fifo_bus.sv @@ -0,0 +1,37 @@ +interface fifo_bus (); + + logic rx_empty; + logic rx_almost_empty; + logic rx_read; + logic [7:0] rx_rdata; + + logic tx_full; + logic tx_almost_full; + logic tx_write; + logic [7:0] tx_wdata; + + modport controller ( + input rx_empty, + input rx_almost_empty, + output rx_read, + input rx_rdata, + + input tx_full, + input tx_almost_full, + output tx_write, + output tx_wdata + ); + + modport fifo ( + output rx_empty, + output rx_almost_empty, + input rx_read, + output rx_rdata, + + output tx_full, + output tx_almost_full, + input tx_write, + input tx_wdata + ); + +endinterface diff --git a/fw/rtl/fifo/fifo_junction.sv b/fw/rtl/fifo/fifo_junction.sv new file mode 100644 index 0000000..cd3ffdc --- /dev/null +++ b/fw/rtl/fifo/fifo_junction.sv @@ -0,0 +1,26 @@ +module fifo_junction ( + fifo_bus.controller dev_bus, + + fifo_bus.fifo cfg_bus, + fifo_bus.fifo dma_bus +); + + always_comb begin + dev_bus.rx_read = cfg_bus.rx_read || dma_bus.rx_read; + dev_bus.tx_write = cfg_bus.tx_write || dma_bus.tx_write; + dev_bus.tx_wdata = cfg_bus.tx_write ? cfg_bus.tx_wdata : dma_bus.tx_wdata; + + cfg_bus.rx_empty = dev_bus.rx_empty; + cfg_bus.rx_almost_empty = dev_bus.rx_almost_empty; + cfg_bus.rx_rdata = dev_bus.rx_rdata; + cfg_bus.tx_full = dev_bus.tx_full; + cfg_bus.tx_almost_full = dev_bus.tx_almost_full; + + dma_bus.rx_empty = dev_bus.rx_empty; + dma_bus.rx_almost_empty = dev_bus.rx_almost_empty; + dma_bus.rx_rdata = dev_bus.rx_rdata; + dma_bus.tx_full = dev_bus.tx_full; + dma_bus.tx_almost_full = dev_bus.tx_almost_full; + end + +endmodule diff --git a/fw/rtl/intel/fifo/intel_fifo_8.qip b/fw/rtl/intel/fifo/intel_fifo_8.qip deleted file mode 100644 index f252a4d..0000000 --- a/fw/rtl/intel/fifo/intel_fifo_8.qip +++ /dev/null @@ -1,4 +0,0 @@ -set_global_assignment -name IP_TOOL_NAME "FIFO" -set_global_assignment -name IP_TOOL_VERSION "21.1" -set_global_assignment -name IP_GENERATED_DEVICE_FAMILY "{MAX 10}" -set_global_assignment -name VERILOG_FILE [file join $::quartus(qip_path) "intel_fifo_8.v"] diff --git a/fw/rtl/intel/fifo/intel_fifo_8.v b/fw/rtl/intel/fifo/intel_fifo_8.v deleted file mode 100644 index bf49b7b..0000000 --- a/fw/rtl/intel/fifo/intel_fifo_8.v +++ /dev/null @@ -1,179 +0,0 @@ -// megafunction wizard: %FIFO% -// GENERATION: STANDARD -// VERSION: WM1.0 -// MODULE: scfifo - -// ============================================================ -// File Name: intel_fifo_8.v -// Megafunction Name(s): -// scfifo -// -// Simulation Library Files(s): -// altera_mf -// ============================================================ -// ************************************************************ -// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! -// -// 21.1.0 Build 842 10/21/2021 SJ Lite Edition -// ************************************************************ - - -//Copyright (C) 2021 Intel Corporation. All rights reserved. -//Your use of Intel Corporation's design tools, logic functions -//and other software and tools, and any partner logic -//functions, and any output files from any of the foregoing -//(including device programming or simulation files), and any -//associated documentation or information are expressly subject -//to the terms and conditions of the Intel Program License -//Subscription Agreement, the Intel Quartus Prime License Agreement, -//the Intel FPGA IP License Agreement, or other applicable license -//agreement, including, without limitation, that your use is for -//the sole purpose of programming logic devices manufactured by -//Intel and sold by Intel or its authorized distributors. Please -//refer to the applicable agreement for further details, at -//https://fpgasoftware.intel.com/eula. - - -// synopsys translate_off -`timescale 1 ps / 1 ps -// synopsys translate_on -module intel_fifo_8 ( - clock, - data, - rdreq, - sclr, - wrreq, - almost_empty, - almost_full, - empty, - full, - q); - - input clock; - input [7:0] data; - input rdreq; - input sclr; - input wrreq; - output almost_empty; - output almost_full; - output empty; - output full; - output [7:0] q; - - wire sub_wire0; - wire sub_wire1; - wire sub_wire2; - wire sub_wire3; - wire [7:0] sub_wire4; - wire almost_empty = sub_wire0; - wire almost_full = sub_wire1; - wire empty = sub_wire2; - wire full = sub_wire3; - wire [7:0] q = sub_wire4[7:0]; - - scfifo scfifo_component ( - .clock (clock), - .data (data), - .rdreq (rdreq), - .sclr (sclr), - .wrreq (wrreq), - .almost_empty (sub_wire0), - .almost_full (sub_wire1), - .empty (sub_wire2), - .full (sub_wire3), - .q (sub_wire4), - .aclr (), - .eccstatus (), - .usedw ()); - defparam - scfifo_component.add_ram_output_register = "OFF", - scfifo_component.almost_empty_value = 2, - scfifo_component.almost_full_value = 1023, - scfifo_component.intended_device_family = "MAX 10", - scfifo_component.lpm_numwords = 1024, - scfifo_component.lpm_showahead = "ON", - scfifo_component.lpm_type = "scfifo", - scfifo_component.lpm_width = 8, - scfifo_component.lpm_widthu = 10, - scfifo_component.overflow_checking = "ON", - scfifo_component.underflow_checking = "ON", - scfifo_component.use_eab = "ON"; - - -endmodule - -// ============================================================ -// CNX file retrieval info -// ============================================================ -// Retrieval info: PRIVATE: AlmostEmpty NUMERIC "1" -// Retrieval info: PRIVATE: AlmostEmptyThr NUMERIC "2" -// Retrieval info: PRIVATE: AlmostFull NUMERIC "1" -// Retrieval info: PRIVATE: AlmostFullThr NUMERIC "1023" -// Retrieval info: PRIVATE: CLOCKS_ARE_SYNCHRONIZED NUMERIC "1" -// Retrieval info: PRIVATE: Clock NUMERIC "0" -// Retrieval info: PRIVATE: Depth NUMERIC "1024" -// Retrieval info: PRIVATE: Empty NUMERIC "1" -// Retrieval info: PRIVATE: Full NUMERIC "1" -// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "MAX 10" -// Retrieval info: PRIVATE: LE_BasedFIFO NUMERIC "0" -// Retrieval info: PRIVATE: LegacyRREQ NUMERIC "0" -// Retrieval info: PRIVATE: MAX_DEPTH_BY_9 NUMERIC "0" -// Retrieval info: PRIVATE: OVERFLOW_CHECKING NUMERIC "0" -// Retrieval info: PRIVATE: Optimize NUMERIC "2" -// Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0" -// Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" -// Retrieval info: PRIVATE: UNDERFLOW_CHECKING NUMERIC "0" -// Retrieval info: PRIVATE: UsedW NUMERIC "0" -// Retrieval info: PRIVATE: Width NUMERIC "8" -// Retrieval info: PRIVATE: dc_aclr NUMERIC "0" -// Retrieval info: PRIVATE: diff_widths NUMERIC "0" -// Retrieval info: PRIVATE: msb_usedw NUMERIC "0" -// Retrieval info: PRIVATE: output_width NUMERIC "8" -// Retrieval info: PRIVATE: rsEmpty NUMERIC "1" -// Retrieval info: PRIVATE: rsFull NUMERIC "0" -// Retrieval info: PRIVATE: rsUsedW NUMERIC "0" -// Retrieval info: PRIVATE: sc_aclr NUMERIC "0" -// Retrieval info: PRIVATE: sc_sclr NUMERIC "1" -// Retrieval info: PRIVATE: wsEmpty NUMERIC "0" -// Retrieval info: PRIVATE: wsFull NUMERIC "1" -// Retrieval info: PRIVATE: wsUsedW NUMERIC "0" -// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all -// Retrieval info: CONSTANT: ADD_RAM_OUTPUT_REGISTER STRING "OFF" -// Retrieval info: CONSTANT: ALMOST_EMPTY_VALUE NUMERIC "2" -// Retrieval info: CONSTANT: ALMOST_FULL_VALUE NUMERIC "1023" -// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "MAX 10" -// Retrieval info: CONSTANT: LPM_NUMWORDS NUMERIC "1024" -// Retrieval info: CONSTANT: LPM_SHOWAHEAD STRING "ON" -// Retrieval info: CONSTANT: LPM_TYPE STRING "scfifo" -// Retrieval info: CONSTANT: LPM_WIDTH NUMERIC "8" -// Retrieval info: CONSTANT: LPM_WIDTHU NUMERIC "10" -// Retrieval info: CONSTANT: OVERFLOW_CHECKING STRING "ON" -// Retrieval info: CONSTANT: UNDERFLOW_CHECKING STRING "ON" -// Retrieval info: CONSTANT: USE_EAB STRING "ON" -// Retrieval info: USED_PORT: almost_empty 0 0 0 0 OUTPUT NODEFVAL "almost_empty" -// Retrieval info: USED_PORT: almost_full 0 0 0 0 OUTPUT NODEFVAL "almost_full" -// Retrieval info: USED_PORT: clock 0 0 0 0 INPUT NODEFVAL "clock" -// Retrieval info: USED_PORT: data 0 0 8 0 INPUT NODEFVAL "data[7..0]" -// Retrieval info: USED_PORT: empty 0 0 0 0 OUTPUT NODEFVAL "empty" -// Retrieval info: USED_PORT: full 0 0 0 0 OUTPUT NODEFVAL "full" -// Retrieval info: USED_PORT: q 0 0 8 0 OUTPUT NODEFVAL "q[7..0]" -// Retrieval info: USED_PORT: rdreq 0 0 0 0 INPUT NODEFVAL "rdreq" -// Retrieval info: USED_PORT: sclr 0 0 0 0 INPUT NODEFVAL "sclr" -// Retrieval info: USED_PORT: wrreq 0 0 0 0 INPUT NODEFVAL "wrreq" -// Retrieval info: CONNECT: @clock 0 0 0 0 clock 0 0 0 0 -// Retrieval info: CONNECT: @data 0 0 8 0 data 0 0 8 0 -// Retrieval info: CONNECT: @rdreq 0 0 0 0 rdreq 0 0 0 0 -// Retrieval info: CONNECT: @sclr 0 0 0 0 sclr 0 0 0 0 -// Retrieval info: CONNECT: @wrreq 0 0 0 0 wrreq 0 0 0 0 -// Retrieval info: CONNECT: almost_empty 0 0 0 0 @almost_empty 0 0 0 0 -// Retrieval info: CONNECT: almost_full 0 0 0 0 @almost_full 0 0 0 0 -// Retrieval info: CONNECT: empty 0 0 0 0 @empty 0 0 0 0 -// Retrieval info: CONNECT: full 0 0 0 0 @full 0 0 0 0 -// Retrieval info: CONNECT: q 0 0 8 0 @q 0 0 8 0 -// Retrieval info: GEN_FILE: TYPE_NORMAL intel_fifo_8.v TRUE -// Retrieval info: GEN_FILE: TYPE_NORMAL intel_fifo_8.inc FALSE -// Retrieval info: GEN_FILE: TYPE_NORMAL intel_fifo_8.cmp FALSE -// Retrieval info: GEN_FILE: TYPE_NORMAL intel_fifo_8.bsf FALSE -// Retrieval info: GEN_FILE: TYPE_NORMAL intel_fifo_8_inst.v FALSE -// Retrieval info: GEN_FILE: TYPE_NORMAL intel_fifo_8_bb.v FALSE -// Retrieval info: LIB_FILE: altera_mf diff --git a/fw/rtl/mcu/mcu_spi.sv b/fw/rtl/mcu/mcu_spi.sv new file mode 100644 index 0000000..9cebb5f --- /dev/null +++ b/fw/rtl/mcu/mcu_spi.sv @@ -0,0 +1,92 @@ +module mcu_spi ( + input clk, + input reset, + + output logic frame_start, + output logic data_ready, + output logic [7:0] rx_data, + input [7:0] tx_data, + + input mcu_clk, + input mcu_cs, + input mcu_mosi, + output logic mcu_miso +); + + logic [2:0] mcu_clk_ff; + logic [2:0] mcu_cs_ff; + + always_ff @(posedge clk) begin + mcu_clk_ff <= {mcu_clk_ff[1:0], mcu_clk}; + mcu_cs_ff <= {mcu_cs_ff[1:0], mcu_cs}; + end + + logic mcu_clk_falling; + logic mcu_clk_rising; + logic mcu_cs_falling; + logic mcu_cs_rising; + + always_comb begin + mcu_clk_falling = mcu_clk_ff[2] && !mcu_clk_ff[1]; + mcu_clk_rising = !mcu_clk_ff[2] && mcu_clk_ff[1]; + mcu_cs_falling = mcu_cs_ff[2] && !mcu_cs_ff[1]; + mcu_cs_rising = !mcu_cs_ff[2] && mcu_cs_ff[1]; + end + + logic mcu_dq_in; + logic mcu_dq_out; + logic mcu_miso_out; + + assign mcu_miso = mcu_cs_ff[1] ? 1'bZ : mcu_miso_out; + + always_ff @(posedge clk) begin + mcu_dq_in <= mcu_mosi; + mcu_miso_out <= mcu_dq_out; + end + + logic [7:0] spi_tx_shift; + + assign mcu_dq_out = spi_tx_shift[7]; + + logic spi_enabled; + logic [2:0] spi_bit_counter; + + always_ff @(posedge clk) begin + frame_start <= 1'b0; + data_ready <= 1'b0; + + if (reset) begin + spi_enabled <= 1'b0; + spi_bit_counter <= 3'd0; + end else begin + if (mcu_cs_falling) begin + spi_enabled <= 1'b1; + spi_bit_counter <= 3'd0; + frame_start <= 1'b1; + end + + if (mcu_cs_rising) begin + spi_enabled <= 1'b0; + end + + if (spi_enabled) begin + if (mcu_clk_rising) begin + if (spi_bit_counter == 3'd0) begin + spi_tx_shift <= tx_data; + end else begin + spi_tx_shift <= {spi_tx_shift[6:0], 1'b0}; + end + end + + if (mcu_clk_falling) begin + spi_bit_counter <= spi_bit_counter + 1'd1; + rx_data <= {rx_data[6:0], mcu_dq_in}; + if (spi_bit_counter == 3'd7) begin + data_ready <= 1'b1; + end + end + end + end + end + +endmodule diff --git a/fw/rtl/mcu/mcu_top.sv b/fw/rtl/mcu/mcu_top.sv new file mode 100644 index 0000000..92c75ca --- /dev/null +++ b/fw/rtl/mcu/mcu_top.sv @@ -0,0 +1,753 @@ +module mcu_top ( + input clk, + input reset, + + usb_scb.controller usb_scb, + dma_scb.controller usb_dma_scb, + sd_scb.controller sd_scb, + dma_scb.controller sd_dma_scb, + n64_scb.controller n64_scb, + flash_scb.controller flash_scb, + + fifo_bus.controller fifo_bus, + mem_bus.controller mem_bus, + + input sd_det, + input button, + + output logic mcu_int, + input mcu_clk, + input mcu_cs, + input mcu_mosi, + output mcu_miso +); + + // Button input synchronization + + logic [2:0] sd_det_ff; + logic [2:0] button_ff; + + always_ff @(posedge clk) begin + sd_det_ff <= {sd_det_ff[1:0], sd_det}; + button_ff <= {button_ff[1:0], button}; + end + + + // MCU <-> FPGA transport + + logic frame_start; + logic data_ready; + logic [7:0] rdata; + logic [7:0] wdata; + + mcu_spi mcu_spi_inst ( + .clk(clk), + .reset(reset), + + .frame_start(frame_start), + .data_ready(data_ready), + .rx_data(rdata), + .tx_data(wdata), + + .mcu_clk(mcu_clk), + .mcu_cs(mcu_cs), + .mcu_mosi(mcu_mosi), + .mcu_miso(mcu_miso) + ); + + + // Protocol controller + + const bit [7:0] FPGA_ID = 8'h64; + + typedef enum bit [1:0] { + PHASE_CMD, + PHASE_ADDRESS, + PHASE_DATA, + PHASE_NOP + } phase_e; + + typedef enum bit [7:0] { + CMD_IDENTIFY, + CMD_REG_READ, + CMD_REG_WRITE, + CMD_MEM_READ, + CMD_MEM_WRITE, + CMD_USB_STATUS, + CMD_USB_READ, + CMD_USB_WRITE, + CMD_FLASHRAM_READ, + CMD_EEPROM_READ, + CMD_EEPROM_WRITE + } cmd_e; + + phase_e phase; + cmd_e cmd; + + logic [1:0] counter; + logic [7:0] address; + + logic reg_read; + logic reg_write; + logic [31:0] reg_rdata; + logic [31:0] reg_wdata; + + logic mem_read; + logic mem_write; + logic [15:0] mem_rdata; + logic [15:0] mem_wdata; + logic mem_word_select; + + always_ff @(posedge clk) begin + fifo_bus.rx_read <= 1'b0; + fifo_bus.tx_write <= 1'b0; + + n64_scb.eeprom_write <= 1'b0; + + reg_read <= 1'b0; + reg_write <= 1'b0; + + mem_read <= 1'b0; + mem_write <= 1'b0; + + if (reset) begin + end else begin + if (frame_start) begin + counter <= 2'd0; + phase <= PHASE_CMD; + end + + if (reg_read || reg_write || (mem_word_select && (mem_read || mem_write))) begin + address <= address + 1'd1; + end + + if (n64_scb.eeprom_write) begin + n64_scb.eeprom_address <= n64_scb.eeprom_address + 1'd1; + end + + if (data_ready) begin + case (phase) + PHASE_CMD: begin + cmd <= cmd_e'(rdata); + phase <= PHASE_ADDRESS; + + if (rdata == CMD_USB_STATUS) begin + phase <= PHASE_NOP; + end + + if (rdata == CMD_USB_READ) begin + fifo_bus.rx_read <= 1'b1; + phase <= PHASE_DATA; + end + + if (rdata == CMD_USB_WRITE) begin + phase <= PHASE_DATA; + end + end + + PHASE_ADDRESS: begin + address <= rdata; + phase <= PHASE_DATA; + + if (cmd == CMD_REG_READ) begin + reg_read <= 1'b1; + end + + if (cmd == CMD_MEM_READ) begin + mem_read <= 1'b1; + mem_word_select <= 1'b0; + end + + if (cmd == CMD_FLASHRAM_READ) begin + n64_scb.flashram_buffer_address <= rdata[6:1]; + counter <= {1'b0, rdata[0]}; + end + + if ((cmd == CMD_EEPROM_READ) || (cmd == CMD_EEPROM_WRITE)) begin + n64_scb.eeprom_address <= {rdata, 3'd0}; + end + end + + PHASE_DATA: begin + counter <= counter + 1'd1; + + if (cmd == CMD_REG_READ) begin + if (counter == 2'd3) begin + reg_read <= 1'd1; + end + end + + if (cmd == CMD_REG_WRITE) begin + case (counter) + 2'd0: reg_wdata[7:0] <= rdata; + 2'd1: reg_wdata[15:8] <= rdata; + 2'd2: reg_wdata[23:16] <= rdata; + 2'd3: reg_wdata[31:24] <= rdata; + endcase + if (counter == 2'd3) begin + reg_write <= 1'd1; + end + end + + if (cmd == CMD_MEM_READ) begin + if (counter[0]) begin + mem_read <= 1'b1; + mem_word_select <= ~mem_word_select; + end + end + + if (cmd == CMD_MEM_WRITE) begin + case (counter[0]) + 1'd0: mem_wdata[15:8] <= rdata; + 1'd1: mem_wdata[7:0] <= rdata; + endcase + if (counter[0]) begin + mem_write <= 1'b1; + mem_word_select <= counter[1]; + end + end + + if (cmd == CMD_USB_READ) begin + phase <= PHASE_NOP; + end + + if (cmd == CMD_USB_WRITE) begin + fifo_bus.tx_write <= 1'b1; + fifo_bus.tx_wdata <= rdata; + phase <= PHASE_NOP; + end + + if (cmd == CMD_FLASHRAM_READ) begin + if (counter[0]) begin + n64_scb.flashram_buffer_address <= n64_scb.flashram_buffer_address + 1'd1; + end + end + + if (cmd == CMD_EEPROM_READ) begin + n64_scb.eeprom_address <= n64_scb.eeprom_address + 1'd1; + end + + if (cmd == CMD_EEPROM_WRITE) begin + n64_scb.eeprom_write <= 1'b1; + n64_scb.eeprom_wdata <= rdata; + end + end + + PHASE_NOP: begin end + endcase + end + end + end + + always_comb begin + wdata = 8'h00; + + case (cmd) + CMD_IDENTIFY: begin + wdata = FPGA_ID; + end + + CMD_REG_READ: begin + case (counter) + 2'd0: wdata = reg_rdata[7:0]; + 2'd1: wdata = reg_rdata[15:8]; + 2'd2: wdata = reg_rdata[23:16]; + 2'd3: wdata = reg_rdata[31:24]; + endcase + end + + CMD_REG_WRITE: begin + wdata = 8'h00; + end + + CMD_MEM_READ: begin + case (counter[0]) + 1'd0: wdata = mem_rdata[15:8]; + 1'd1: wdata = mem_rdata[7:0]; + endcase + end + + CMD_MEM_WRITE: begin + wdata = 8'h00; + end + + CMD_USB_STATUS: begin + wdata = {6'd0, ~fifo_bus.tx_full, ~fifo_bus.rx_empty}; + end + + CMD_USB_READ: begin + wdata = fifo_bus.rx_rdata; + end + + CMD_USB_WRITE: begin + wdata = 8'h00; + end + + CMD_FLASHRAM_READ: begin + case (counter[0]) + 1'd0: wdata = n64_scb.flashram_buffer_rdata[15:8]; + 1'd1: wdata = n64_scb.flashram_buffer_rdata[7:0]; + endcase + end + + CMD_EEPROM_READ: begin + wdata = n64_scb.eeprom_rdata; + end + + CMD_EEPROM_WRITE: begin + wdata = 8'h00; + end + endcase + end + + + // Mem bus controller + + logic [15:0] mem_buffer [0:511]; + + logic mem_start; + logic mem_stop; + logic mem_direction; + logic [8:0] mem_length; + logic [31:0] mem_address; + + logic mem_busy; + logic mem_stop_pending; + logic [8:0] mem_counter; + + always_ff @(posedge clk) begin + if (reset) begin + mem_busy <= 1'b0; + mem_stop_pending <= 1'b0; + mem_bus.request <= 1'b0; + end else begin + if (mem_read) begin + mem_rdata <= mem_buffer[{address, mem_word_select}]; + end + + if (mem_write) begin + mem_buffer[{address, mem_word_select}] <= mem_wdata; + end + + if (mem_stop) begin + mem_stop_pending <= mem_busy; + end else if (mem_start && !mem_busy) begin + mem_bus.write <= mem_direction; + mem_bus.address <= mem_address; + mem_busy <= 1'b1; + mem_counter <= 9'd0; + end + + if (mem_busy) begin + if (!mem_bus.request) begin + mem_bus.request <= 1'b1; + mem_bus.wdata <= mem_buffer[mem_counter]; + end + + if (mem_bus.ack) begin + mem_bus.request <= 1'b0; + mem_bus.address <= mem_bus.address + 2'd2; + mem_counter <= mem_counter + 1'd1; + if (!mem_bus.write) begin + mem_buffer[mem_counter] <= mem_bus.rdata; + end + if ((mem_counter == mem_length) || mem_stop_pending) begin + mem_busy <= 1'b0; + mem_stop_pending <= 1'b0; + end + end + end + end + end + + always_comb begin + mem_bus.wmask = 2'b11; + end + + + // Register list + + typedef enum bit [7:0] { + REG_STATUS, + REG_MEM_ADDRESS, + REG_MEM_SCR, + REG_USB_SCR, + REG_USB_DMA_ADDRESS, + REG_USB_DMA_LENGTH, + REG_USB_DMA_SCR, + REG_CFG_SCR, + REG_CFG_DATA_0, + REG_CFG_DATA_1, + REG_CFG_CMD, + REG_CFG_VERSION, + REG_FLASHRAM_SCR, + REG_FLASH_SCR, + REG_RTC_SCR, + REG_RTC_TIME_0, + REG_RTC_TIME_1, + REG_SD_SCR, + REG_SD_ARG, + REG_SD_CMD, + REG_SD_RSP_0, + REG_SD_RSP_1, + REG_SD_RSP_2, + REG_SD_RSP_3, + REG_SD_DAT, + REG_SD_DMA_ADDRESS, + REG_SD_DMA_LENGTH, + REG_SD_DMA_SCR + } reg_address_e; + + logic bootloader_skip; + + assign n64_scb.cfg_version = 32'h53437632; + + + // Register read logic + + always_ff @(posedge clk) begin + if (reg_read) begin + reg_rdata <= 32'd0; + + case (address) + REG_STATUS: begin + reg_rdata <= { + 24'd0, + sd_det_ff[2], + ~fifo_bus.tx_full, + ~fifo_bus.rx_empty, + n64_scb.flashram_pending, + n64_scb.cfg_pending, + usb_dma_scb.busy, + usb_scb.reset_pending, + button_ff[2] + }; + end + + REG_MEM_ADDRESS: begin + reg_rdata <= mem_address; + end + + REG_MEM_SCR: begin + reg_rdata <= { + 28'd0, + mem_busy, + 3'b000 + }; + end + + REG_USB_SCR: begin + reg_rdata <= { + 28'd0, + usb_scb.reset_pending, + ~fifo_bus.tx_full, + ~fifo_bus.rx_empty, + 1'b0 + }; + end + + REG_USB_DMA_ADDRESS: begin + reg_rdata <= { + 5'd0, + usb_dma_scb.starting_address + }; + end + + REG_USB_DMA_LENGTH: begin + reg_rdata <= { + 5'd0, + usb_dma_scb.transfer_length + }; + end + + REG_USB_DMA_SCR: begin + reg_rdata <= { + 28'd0, + usb_dma_scb.busy, + usb_dma_scb.direction, + 2'b00 + }; + end + + REG_CFG_SCR: begin + reg_rdata <= { + 22'd0, + n64_scb.eeprom_16k_mode, + n64_scb.eeprom_enabled, + n64_scb.dd_enabled, + n64_scb.flashram_enabled, + n64_scb.sram_banked, + n64_scb.sram_enabled, + n64_scb.rom_shadow_enabled, + n64_scb.rom_write_enabled, + bootloader_skip, + n64_scb.bootloader_enabled + }; + end + + REG_CFG_DATA_0: begin + reg_rdata <= n64_scb.cfg_rdata[0]; + end + + REG_CFG_DATA_1: begin + reg_rdata <= n64_scb.cfg_rdata[1]; + end + + REG_CFG_CMD: begin + reg_rdata <= { + 24'd0, + n64_scb.cfg_cmd + }; + end + + REG_CFG_VERSION: begin + reg_rdata <= n64_scb.cfg_version; + end + + REG_FLASHRAM_SCR: begin + reg_rdata <= { + 18'd0, + n64_scb.flashram_write_or_erase, + n64_scb.flashram_sector_or_all, + n64_scb.flashram_sector, + n64_scb.flashram_pending, + 1'b0 + }; + end + + REG_FLASH_SCR: begin + reg_rdata <= { + 31'd0, + flash_scb.erase_pending + }; + end + + REG_RTC_SCR: begin + reg_rdata <= { + 31'd0, + n64_scb.rtc_pending + }; + end + + REG_RTC_TIME_0: begin + reg_rdata <= { + 5'd0, n64_scb.rtc_rdata[28:26], + 2'd0, n64_scb.rtc_rdata[19:14], + 1'd0, n64_scb.rtc_rdata[13:7], + 1'd0, n64_scb.rtc_rdata[6:0] + }; + end + + REG_RTC_TIME_1: begin + reg_rdata <= { + 8'd0, + n64_scb.rtc_rdata[41:34], + 3'd0, n64_scb.rtc_rdata[33:29], + 2'd0, n64_scb.rtc_rdata[25:20] + }; + end + + REG_SD_SCR: begin + reg_rdata <= { + 30'd0, + sd_scb.clock_mode + }; + end + + REG_SD_DMA_ADDRESS: begin + reg_rdata <= { + 5'd0, + sd_dma_scb.starting_address + }; + end + + REG_SD_DMA_LENGTH: begin + reg_rdata <= { + 5'd0, + sd_dma_scb.transfer_length + }; + end + + REG_SD_DMA_SCR: begin + reg_rdata <= { + 28'd0, + sd_dma_scb.busy, + sd_dma_scb.direction, + 2'b00 + }; + end + endcase + end + end + + + // Register write logic + + logic [31:0] reg_buffer; + + always_ff @(posedge clk) begin + mem_start <= 1'b0; + mem_stop <= 1'b0; + + usb_scb.write_buffer_flush <= 1'b0; + usb_scb.reset_ack <= 1'b0; + usb_scb.fifo_flush <= 1'b0; + + usb_dma_scb.start <= 1'b0; + usb_dma_scb.stop <= 1'b0; + + sd_dma_scb.start <= 1'b0; + sd_dma_scb.stop <= 1'b0; + + n64_scb.cfg_done <= 1'b0; + n64_scb.cfg_irq <= 1'b0; + + n64_scb.flashram_done <= 1'b0; + + n64_scb.rtc_done <= 1'b0; + + if (n64_scb.n64_nmi) begin + n64_scb.bootloader_enabled <= !bootloader_skip; + end + + if (flash_scb.erase_done) begin + flash_scb.erase_pending <= 1'b0; + end + + if (reset) begin + mcu_int <= 1'b0; + sd_scb.clock_mode <= 2'd0; + n64_scb.eeprom_16k_mode <= 1'b0; + n64_scb.eeprom_enabled <= 1'b0; + n64_scb.dd_enabled <= 1'b0; + n64_scb.flashram_enabled <= 1'b0; + n64_scb.sram_banked <= 1'b0; + n64_scb.sram_enabled <= 1'b0; + n64_scb.rom_shadow_enabled <= 1'b0; + n64_scb.rom_write_enabled <= 1'b0; + bootloader_skip <= 1'b0; + n64_scb.bootloader_enabled <= 1'b1; + flash_scb.erase_pending <= 1'b0; + end else if (reg_write) begin + case (address) + REG_STATUS: begin end + + REG_MEM_ADDRESS: begin + mem_address <= reg_wdata; + end + + REG_MEM_SCR: begin + { + mem_length, + mem_direction, + mem_stop, + mem_start + } <= {(reg_wdata[14:5] - 1'd1), reg_wdata[2:0]}; + end + + REG_USB_SCR: begin + { + usb_scb.write_buffer_flush, + usb_scb.reset_ack, + usb_scb.fifo_flush + } <= {reg_wdata[5:4], reg_wdata[0]}; + end + + REG_USB_DMA_ADDRESS: begin + usb_dma_scb.starting_address <= reg_wdata[26:0]; + end + + REG_USB_DMA_LENGTH: begin + usb_dma_scb.transfer_length <= reg_wdata[26:0]; + end + + REG_USB_DMA_SCR: begin + { + usb_dma_scb.direction, + usb_dma_scb.stop, + usb_dma_scb.start + } <= reg_wdata[2:0]; + end + + REG_CFG_SCR: begin + { + n64_scb.eeprom_16k_mode, + n64_scb.eeprom_enabled, + n64_scb.dd_enabled, + n64_scb.flashram_enabled, + n64_scb.sram_banked, + n64_scb.sram_enabled, + n64_scb.rom_shadow_enabled, + n64_scb.rom_write_enabled, + bootloader_skip, + n64_scb.bootloader_enabled + } <= reg_wdata[9:0]; + end + + REG_CFG_DATA_0: begin + n64_scb.cfg_wdata[0] <= reg_wdata; + end + + REG_CFG_DATA_1: begin + n64_scb.cfg_wdata[1] <= reg_wdata; + end + + REG_CFG_CMD: begin + { + n64_scb.cfg_irq, + n64_scb.cfg_error, + n64_scb.cfg_done + } <= reg_wdata[2:0]; + end + + REG_FLASHRAM_SCR: begin + n64_scb.flashram_done <= reg_wdata[0]; + end + + REG_FLASH_SCR: begin + flash_scb.erase_pending <= 1'b1; + flash_scb.erase_block <= reg_wdata[23:16]; + end + + REG_RTC_SCR: begin + n64_scb.rtc_done <= reg_wdata[1]; + end + + REG_RTC_TIME_0: begin + reg_buffer <= reg_wdata; + end + + REG_RTC_TIME_1: begin + n64_scb.rtc_wdata[41:34] <= reg_wdata[23:16]; + n64_scb.rtc_wdata[33:29] <= reg_wdata[12:8]; + n64_scb.rtc_wdata[25:20] <= reg_wdata[5:0]; + n64_scb.rtc_wdata[28:26] <= reg_buffer[26:24]; + n64_scb.rtc_wdata[19:14] <= reg_buffer[21:16]; + n64_scb.rtc_wdata[13:7] <= reg_buffer[14:8]; + n64_scb.rtc_wdata[6:0] <= reg_buffer[6:0]; + end + + REG_SD_SCR: begin + sd_scb.clock_mode <= reg_wdata[1:0]; + end + + REG_SD_DMA_ADDRESS: begin + sd_dma_scb.starting_address <= reg_wdata[26:0]; + end + + REG_SD_DMA_LENGTH: begin + sd_dma_scb.transfer_length <= reg_wdata[26:0]; + end + + REG_SD_DMA_SCR: begin + { + sd_dma_scb.direction, + sd_dma_scb.stop, + sd_dma_scb.start + } <= reg_wdata[2:0]; + end + endcase + end + end + +endmodule diff --git a/fw/rtl/memory/mem_bus.sv b/fw/rtl/memory/mem_bus.sv new file mode 100644 index 0000000..75e92f5 --- /dev/null +++ b/fw/rtl/memory/mem_bus.sv @@ -0,0 +1,31 @@ +interface mem_bus (); + + logic request; + logic ack; + logic write; + logic [1:0] wmask; + logic [26:0] address; + logic [15:0] rdata; + logic [15:0] wdata; + + modport controller ( + output request, + input ack, + output write, + output wmask, + output address, + input rdata, + output wdata + ); + + modport memory ( + input request, + output ack, + input write, + input wmask, + input address, + output rdata, + input wdata + ); + +endinterface diff --git a/fw/rtl/memory/memory_arbiter.sv b/fw/rtl/memory/memory_arbiter.sv new file mode 100644 index 0000000..0b0453a --- /dev/null +++ b/fw/rtl/memory/memory_arbiter.sv @@ -0,0 +1,159 @@ +module memory_arbiter ( + input clk, + input reset, + + mem_bus.memory n64_bus, + mem_bus.memory cfg_bus, + mem_bus.memory usb_dma_bus, + mem_bus.memory sd_dma_bus, + + mem_bus.controller sdram_mem_bus, + mem_bus.controller flash_mem_bus +); + + typedef enum bit [1:0] { + SOURCE_N64, + SOURCE_CFG, + SOURCE_USB_DMA, + SOURCE_SD_DMA + } e_source_request; + + logic n64_sdram_request; + logic cfg_sdram_request; + logic usb_dma_sdram_request; + logic sd_dma_sdram_request; + + logic n64_flash_request; + logic cfg_flash_request; + logic usb_dma_flash_request; + logic sd_dma_flash_request; + + assign n64_sdram_request = n64_bus.request && !n64_bus.address[26]; + assign cfg_sdram_request = cfg_bus.request && !cfg_bus.address[26]; + assign usb_dma_sdram_request = usb_dma_bus.request && !usb_dma_bus.address[26]; + assign sd_dma_sdram_request = sd_dma_bus.request && !sd_dma_bus.address[26]; + + assign n64_flash_request = n64_bus.request && n64_bus.address[26]; + assign cfg_flash_request = cfg_bus.request && cfg_bus.address[26]; + assign usb_dma_flash_request = usb_dma_bus.request && usb_dma_bus.address[26]; + assign sd_dma_flash_request = sd_dma_bus.request && sd_dma_bus.address[26]; + + e_source_request sdram_source_request; + + always_ff @(posedge clk) begin + if (reset) begin + sdram_mem_bus.request <= 1'b0; + end else begin + if (!sdram_mem_bus.request) begin + sdram_mem_bus.request <= ( + n64_sdram_request || + cfg_sdram_request || + usb_dma_sdram_request || + sd_dma_sdram_request + ); + + if (n64_sdram_request) begin + sdram_mem_bus.write <= n64_bus.write; + sdram_mem_bus.wmask <= n64_bus.wmask; + sdram_mem_bus.address <= n64_bus.address; + sdram_mem_bus.wdata <= n64_bus.wdata; + sdram_source_request <= SOURCE_N64; + end else if (cfg_sdram_request) begin + sdram_mem_bus.write <= cfg_bus.write; + sdram_mem_bus.wmask <= cfg_bus.wmask; + sdram_mem_bus.address <= cfg_bus.address; + sdram_mem_bus.wdata <= cfg_bus.wdata; + sdram_source_request <= SOURCE_CFG; + end else if (usb_dma_sdram_request) begin + sdram_mem_bus.write <= usb_dma_bus.write; + sdram_mem_bus.wmask <= usb_dma_bus.wmask; + sdram_mem_bus.address <= usb_dma_bus.address; + sdram_mem_bus.wdata <= usb_dma_bus.wdata; + sdram_source_request <= SOURCE_USB_DMA; + end else if (sd_dma_sdram_request) begin + sdram_mem_bus.write <= sd_dma_bus.write; + sdram_mem_bus.wmask <= sd_dma_bus.wmask; + sdram_mem_bus.address <= sd_dma_bus.address; + sdram_mem_bus.wdata <= sd_dma_bus.wdata; + sdram_source_request <= SOURCE_SD_DMA; + end + end + + if (sdram_mem_bus.ack) begin + sdram_mem_bus.request <= 1'b0; + end + end + end + + e_source_request flash_source_request; + + always_ff @(posedge clk) begin + if (reset) begin + flash_mem_bus.request <= 1'b0; + end else begin + if (!flash_mem_bus.request) begin + flash_mem_bus.request <= ( + n64_flash_request || + cfg_flash_request || + usb_dma_flash_request || + sd_dma_flash_request + ); + + if (n64_flash_request) begin + flash_mem_bus.write <= n64_bus.write; + flash_mem_bus.wmask <= n64_bus.wmask; + flash_mem_bus.address <= n64_bus.address; + flash_mem_bus.wdata <= n64_bus.wdata; + flash_source_request <= SOURCE_N64; + end else if (cfg_flash_request) begin + flash_mem_bus.write <= cfg_bus.write; + flash_mem_bus.wmask <= cfg_bus.wmask; + flash_mem_bus.address <= cfg_bus.address; + flash_mem_bus.wdata <= cfg_bus.wdata; + flash_source_request <= SOURCE_CFG; + end else if (usb_dma_flash_request) begin + flash_mem_bus.write <= usb_dma_bus.write; + flash_mem_bus.wmask <= usb_dma_bus.wmask; + flash_mem_bus.address <= usb_dma_bus.address; + flash_mem_bus.wdata <= usb_dma_bus.wdata; + flash_source_request <= SOURCE_USB_DMA; + end else if (sd_dma_flash_request) begin + flash_mem_bus.write <= sd_dma_bus.write; + flash_mem_bus.wmask <= sd_dma_bus.wmask; + flash_mem_bus.address <= sd_dma_bus.address; + flash_mem_bus.wdata <= sd_dma_bus.wdata; + flash_source_request <= SOURCE_SD_DMA; + end + end + + if (flash_mem_bus.ack) begin + flash_mem_bus.request <= 1'b0; + end + end + end + + always_comb begin + n64_bus.ack = ( + ((sdram_source_request == SOURCE_N64) && sdram_mem_bus.ack) || + ((flash_source_request == SOURCE_N64) && flash_mem_bus.ack) + ); + cfg_bus.ack = ( + ((sdram_source_request == SOURCE_CFG) && sdram_mem_bus.ack) || + ((flash_source_request == SOURCE_CFG) && flash_mem_bus.ack) + ); + usb_dma_bus.ack = ( + ((sdram_source_request == SOURCE_USB_DMA) && sdram_mem_bus.ack) || + ((flash_source_request == SOURCE_USB_DMA) && flash_mem_bus.ack) + ); + sd_dma_bus.ack = ( + ((sdram_source_request == SOURCE_SD_DMA) && sdram_mem_bus.ack) || + ((flash_source_request == SOURCE_SD_DMA) && flash_mem_bus.ack) + ); + + n64_bus.rdata = n64_flash_request ? flash_mem_bus.rdata : sdram_mem_bus.rdata; + cfg_bus.rdata = cfg_flash_request ? flash_mem_bus.rdata : sdram_mem_bus.rdata; + usb_dma_bus.rdata = usb_dma_flash_request ? flash_mem_bus.rdata : sdram_mem_bus.rdata; + sd_dma_bus.rdata = sd_dma_flash_request ? flash_mem_bus.rdata : sdram_mem_bus.rdata; + end + +endmodule diff --git a/fw/rtl/memory/memory_dma.sv b/fw/rtl/memory/memory_dma.sv index b036f67..9b4f5b7 100644 --- a/fw/rtl/memory/memory_dma.sv +++ b/fw/rtl/memory/memory_dma.sv @@ -1,108 +1,28 @@ -interface if_memory_dma (); - - logic request; - logic ack; - logic write; - logic [1:0] wmask; - logic [31:0] address; - logic [15:0] rdata; - logic [15:0] wdata; +interface dma_scb (); logic start; logic stop; logic busy; logic direction; - logic [31:0] transfer_length; - logic [31:0] starting_address; + logic [26:0] starting_address; + logic [26:0] transfer_length; - logic dma_rx_read; - logic dma_tx_write; - logic [7:0] dma_tx_wdata; - - logic cpu_rx_read; - logic cpu_tx_write; - logic [7:0] cpu_tx_wdata; - - logic rx_empty; - logic rx_almost_empty; - logic rx_read; - logic [7:0] rx_rdata; - - logic tx_full; - logic tx_almost_full; - logic tx_write; - logic [7:0] tx_wdata; - - always_comb begin - rx_read = dma_rx_read || cpu_rx_read; - tx_write = dma_tx_write || cpu_tx_write; - tx_wdata = cpu_tx_write ? cpu_tx_wdata : dma_tx_wdata; - end + modport controller ( + output start, + output stop, + input busy, + output direction, + output starting_address, + output transfer_length + ); modport dma ( input start, input stop, output busy, input direction, - input transfer_length, input starting_address, - - output request, - input ack, - output write, - output wmask, - output address, - input rdata, - output wdata, - - input rx_empty, - input rx_almost_empty, - output dma_rx_read, - input rx_rdata, - - input tx_full, - input tx_almost_full, - output dma_tx_write, - output dma_tx_wdata - ); - - modport cpu ( - output start, - output stop, - input busy, - output direction, - output transfer_length, - output starting_address, - - input rx_empty, - output cpu_rx_read, - input rx_rdata, - - input tx_full, - output cpu_tx_write, - output cpu_tx_wdata - ); - - modport memory ( - input request, - output ack, - input write, - input wmask, - input address, - output rdata, - input wdata - ); - - modport device ( - output rx_empty, - output rx_almost_empty, - input rx_read, - output rx_rdata, - - output tx_full, - output tx_almost_full, - input tx_write, - input tx_wdata + input transfer_length ); endinterface @@ -111,7 +31,11 @@ endinterface module memory_dma ( input clk, input reset, - if_memory_dma.dma dma + + dma_scb.dma dma_scb, + + fifo_bus.controller fifo_bus, + mem_bus.controller mem_bus ); typedef enum bit [0:0] { @@ -119,73 +43,79 @@ module memory_dma ( STATE_TRANSFER } e_state; - logic [31:0] remaining; + // logic [31:0] remaining; + logic [26:0] end_address; logic [15:0] data_buffer; logic byte_counter; e_state state; + logic rx_delay; always_ff @(posedge clk) begin - dma.dma_rx_read <= 1'b0; - dma.dma_tx_write <= 1'b0; + fifo_bus.rx_read <= 1'b0; + fifo_bus.tx_write <= 1'b0; + rx_delay <= fifo_bus.rx_read; - if (dma.dma_rx_read) begin - if (dma.address[0] || (remaining == 32'd1)) begin - dma.wdata <= {dma.rx_rdata, dma.rx_rdata}; - end else begin - dma.wdata <= {dma.wdata[7:0], dma.rx_rdata}; - end + if (rx_delay) begin + // if (dma.address[0] || (remaining == 32'd1)) begin + // dma.wdata <= {dma.rx_rdata, dma.rx_rdata}; + // end else begin + mem_bus.wdata <= {mem_bus.wdata[7:0], fifo_bus.rx_rdata}; + // end end if (reset) begin - dma.busy <= 1'b0; - dma.request <= 1'b0; + dma_scb.busy <= 1'b0; + mem_bus.request <= 1'b0; end else begin - if (!dma.busy) begin - if (dma.start) begin - dma.busy <= 1'b1; - dma.write <= dma.direction; - dma.address <= dma.starting_address; - remaining <= dma.transfer_length; + if (!dma_scb.busy) begin + if (dma_scb.start) begin + dma_scb.busy <= 1'b1; + mem_bus.write <= dma_scb.direction; + mem_bus.address <= dma_scb.starting_address; + end_address <= dma_scb.starting_address + dma_scb.transfer_length; + // remaining <= dma.transfer_length; byte_counter <= 1'd0; state <= STATE_FETCH; end end else begin - if (dma.stop) begin - dma.busy <= 1'b0; - dma.request <= 1'b0; - end else if (remaining != 32'd0) begin - if (dma.write) begin + if (dma_scb.stop) begin + dma_scb.busy <= 1'b0; + mem_bus.request <= 1'b0; + end else if (mem_bus.address != end_address/* remaining != 32'd0*/) begin + if (mem_bus.write) begin case (state) STATE_FETCH: begin - if (!dma.rx_empty && !(dma.dma_rx_read && dma.rx_almost_empty)) begin - dma.dma_rx_read <= 1'b1; - if (dma.address[0]) begin - dma.wmask <= 2'b01; - state <= STATE_TRANSFER; - end else if (remaining == 32'd1) begin - dma.wmask <= 2'b10; - state <= STATE_TRANSFER; - end else begin + if (!fifo_bus.rx_empty && !(fifo_bus.rx_read && fifo_bus.rx_almost_empty)) begin + fifo_bus.rx_read <= 1'b1; + // if (dma.address[0]) begin + // dma.wmask <= 2'b01; + // state <= STATE_TRANSFER; + // end else if (dma.starting_address[0] remaining == 32'd1) begin + // dma.wmask <= 2'b10; + // state <= STATE_TRANSFER; + // end else begin byte_counter <= byte_counter + 1'd1; if (byte_counter) begin - dma.wmask <= 2'b11; + mem_bus.wmask <= 2'b11; state <= STATE_TRANSFER; end - end + // end end end STATE_TRANSFER: begin - dma.request <= 1'b1; - if (dma.ack) begin - dma.request <= 1'b0; - if (dma.wmask != 2'b11) begin - dma.address <= dma.address + 1'd1; - remaining <= remaining - 1'd1; - end else begin - dma.address <= dma.address + 2'd2; - remaining <= remaining - 2'd2; - end + if (!fifo_bus.rx_read) begin + mem_bus.request <= 1'b1; + end + if (mem_bus.ack) begin + mem_bus.request <= 1'b0; + // if (dma.wmask != 2'b11) begin + // dma.address <= dma.address + 1'd1; + // remaining <= remaining - 1'd1; + // end else begin + mem_bus.address <= mem_bus.address + 2'd2; + // remaining <= remaining - 2'd2; + // end state <= STATE_FETCH; end end @@ -193,42 +123,42 @@ module memory_dma ( end else begin case (state) STATE_FETCH: begin - dma.request <= 1'b1; - if (dma.ack) begin - dma.request <= 1'b0; - data_buffer <= dma.rdata; + mem_bus.request <= 1'b1; + if (mem_bus.ack) begin + mem_bus.request <= 1'b0; + data_buffer <= mem_bus.rdata; state <= STATE_TRANSFER; end end STATE_TRANSFER: begin - if (!dma.tx_full && !(dma.dma_tx_write && dma.tx_almost_full)) begin - dma.dma_tx_write <= 1'b1; - if (dma.address[0]) begin - dma.address <= dma.address + 1'd1; - remaining <= remaining - 1'd1; - dma.dma_tx_wdata <= data_buffer[7:0]; - state <= STATE_FETCH; - end else if (remaining == 32'd1) begin - dma.address <= dma.address + 1'd1; - remaining <= remaining - 1'd1; - dma.dma_tx_wdata <= data_buffer[15:8]; - state <= STATE_FETCH; - end else begin - dma.dma_tx_wdata <= byte_counter ? data_buffer[7:0] : data_buffer[15:8]; + if (!fifo_bus.tx_full && !(fifo_bus.tx_write && fifo_bus.tx_almost_full)) begin + fifo_bus.tx_write <= 1'b1; + // if (dma.address[0]) begin + // dma.address <= dma.address + 1'd1; + // // remaining <= remaining - 1'd1; + // dma.dma_tx_wdata <= data_buffer[7:0]; + // state <= STATE_FETCH; + // end else if (remaining == 32'd1) begin + // dma.address <= dma.address + 1'd1; + // // remaining <= remaining - 1'd1; + // dma.dma_tx_wdata <= data_buffer[15:8]; + // state <= STATE_FETCH; + // end else begin + fifo_bus.tx_wdata <= byte_counter ? data_buffer[7:0] : data_buffer[15:8]; byte_counter <= byte_counter + 1'd1; if (byte_counter) begin - dma.address <= dma.address + 2'd2; - remaining <= remaining - 2'd2; + mem_bus.address <= mem_bus.address + 2'd2; + // remaining <= remaining - 2'd2; state <= STATE_FETCH; end - end + // end end end endcase end end else begin - dma.busy <= 1'b0; + dma_scb.busy <= 1'b0; end end end diff --git a/fw/rtl/memory/memory_flash.sv b/fw/rtl/memory/memory_flash.sv new file mode 100644 index 0000000..007e06a --- /dev/null +++ b/fw/rtl/memory/memory_flash.sv @@ -0,0 +1,493 @@ +interface flash_scb (); + + logic erase_pending; + logic erase_done; + logic [7:0] erase_block; + + modport controller ( + output erase_pending, + input erase_done, + output erase_block + ); + + modport flash ( + input erase_pending, + output erase_done, + input erase_block + ); + +endinterface + + +module flash_qspi ( + input clk, + input reset, + + input start, + input finish, + output logic busy, + output logic valid, + input output_enable, + input quad_enable, + output logic [7:0] rdata, + input [7:0] wdata, + + output logic flash_clk, + output logic flash_cs, + inout [3:0] flash_dq +); + + logic flash_dq_oe_s; + logic flash_dq_oe_q; + logic [3:0] flash_dq_out; + + assign flash_dq[0] = flash_dq_oe_s ? flash_dq_out[0] : 1'bZ; + assign flash_dq[3:1] = flash_dq_oe_q ? flash_dq_out[3:1] : 3'bZZZ; + + logic ff_clk; + logic ff_cs; + logic ff_dq_oe_s; + logic ff_dq_oe_q; + logic [3:0] ff_dq_out; + logic [3:0] ff_dq_in; + + always_ff @(posedge clk) begin + flash_clk <= ff_clk; + flash_cs <= ff_cs; + flash_dq_oe_s <= ff_dq_oe_s; + flash_dq_oe_q <= ff_dq_oe_q; + flash_dq_out <= ff_dq_out; + ff_dq_in <= flash_dq; + end + + logic running; + logic exit; + logic valid_enable; + logic quad_mode; + logic [2:0] counter; + logic [7:0] output_shift; + logic [2:0] sample_s; + logic [2:0] sample_q; + logic [2:0] valid_ff; + + assign ff_dq_out = quad_mode ? output_shift[7:4] : {3'bXXX, output_shift[7]}; + + always_ff @(posedge clk) begin + sample_s <= {sample_s[1:0], 1'b0}; + sample_q <= {sample_q[1:0], 1'b0}; + valid_ff <= {valid_ff[1:0], 1'b0}; + if (reset) begin + ff_clk <= 1'b0; + ff_cs <= 1'b1; + ff_dq_oe_s <= 1'b0; + ff_dq_oe_q <= 1'b0; + busy <= 1'b0; + running <= 1'b0; + end else begin + if (running) begin + ff_clk <= ~ff_clk; + if (!ff_clk) begin + if (counter == 3'd0) begin + busy <= 1'b0; + valid_ff[0] <= valid_enable; + end + if (!quad_mode) begin + sample_s[0] <= 1'b1; + end else begin + sample_q[0] <= 1'b1; + end + end else begin + counter <= counter - 1'd1; + if (counter == 3'd0) begin + running <= 1'b0; + end + if (!quad_mode) begin + output_shift <= {output_shift[6:0], 1'bX}; + end else begin + output_shift <= {output_shift[3:0], 4'bXXXX}; + end + end + end + + if (exit) begin + ff_cs <= 1'b1; + counter <= counter - 1'd1; + if (counter == 3'd0) begin + busy <= 1'b0; + exit <= 1'b0; + end + end + + if (!busy) begin + if (start) begin + ff_clk <= 1'b0; + ff_cs <= 1'b0; + ff_dq_oe_s <= !quad_enable || (quad_enable && output_enable); + ff_dq_oe_q <= quad_enable && output_enable; + busy <= 1'b1; + running <= 1'b1; + valid_enable <= !output_enable; + quad_mode <= quad_enable; + counter <= quad_enable ? 3'd1 : 3'd7; + output_shift <= wdata; + end else if (finish) begin + busy <= 1'b1; + exit <= 1'b1; + counter <= wdata[2:0]; + end + end + end + end + + always_ff @(posedge clk) begin + valid <= 1'b0; + if (sample_s[2]) begin + rdata <= {rdata[6:0], ff_dq_in[1]}; + end + if (sample_q[2]) begin + rdata <= {rdata[3:0], ff_dq_in}; + end + if (valid_ff[2]) begin + valid <= 1'b1; + end + end + +endmodule + + +module memory_flash ( + input clk, + input reset, + + flash_scb.flash flash_scb, + + mem_bus.memory mem_bus, + + output flash_clk, + output flash_cs, + inout [3:0] flash_dq +); + + logic start; + logic finish; + logic busy; + logic valid; + logic output_enable; + logic quad_enable; + logic [7:0] rdata; + logic [7:0] wdata; + + flash_qspi flash_qspi_inst ( + .clk(clk), + .reset(reset), + + .start(start), + .finish(finish), + .busy(busy), + .valid(valid), + .output_enable(output_enable), + .quad_enable(quad_enable), + .rdata(rdata), + .wdata(wdata), + + .flash_clk(flash_clk), + .flash_cs(flash_cs), + .flash_dq(flash_dq) + ); + + typedef enum bit [7:0] { + FLASH_CMD_PAGE_PROGRAM = 8'h02, + FLASH_CMD_READ_STATUS_1 = 8'h05, + FLASH_CMD_WRITE_ENABLE = 8'h06, + FLASH_CMD_BLOCK_ERASE_64KB = 8'hD8, + FLASH_CMD_FAST_READ_QUAD_IO = 8'hEB + } e_flash_cmd; + + typedef enum { + FLASH_STATUS_1_BUSY = 0 + } e_flash_status_1; + + typedef enum bit [3:0] { + STATE_IDLE, + STATE_WRITE_ENABLE, + STATE_ERASE, + STATE_PROGRAM_START, + STATE_PROGRAM, + STATE_PROGRAM_END, + STATE_WAIT, + STATE_READ_START, + STATE_READ, + STATE_READ_END + } e_state; + + e_state state; + e_state next_state; + logic [2:0] counter; + logic valid_counter; + logic [23:0] current_address; + + always_ff @(posedge clk) begin + start <= 1'b0; + finish <= 1'b0; + flash_scb.erase_done <= 1'b0; + mem_bus.ack <= 1'b0; + + if (reset) begin + state <= STATE_IDLE; + end else begin + if (!busy && (start || finish)) begin + counter <= counter + 1'd1; + end + + case (state) + STATE_IDLE: begin + output_enable <= 1'b1; + quad_enable <= 1'b0; + counter <= 3'd0; + if (flash_scb.erase_pending) begin + state <= STATE_WRITE_ENABLE; + end else if (mem_bus.request) begin + current_address <= {mem_bus.address[23:1], 1'b0}; + if (mem_bus.write) begin + state <= STATE_WRITE_ENABLE; + end else begin + state <= STATE_READ_START; + end + end + end + + STATE_WRITE_ENABLE: begin + case (counter) + 3'd0: begin + start <= 1'b1; + wdata <= FLASH_CMD_WRITE_ENABLE; + end + 3'd1: begin + finish <= 1'b1; + wdata <= 8'd4; + if (!busy) begin + counter <= 3'd0; + if (flash_scb.erase_pending) begin + state <= STATE_ERASE; + end else begin + state <= STATE_PROGRAM_START; + end + end + end + endcase + end + + STATE_ERASE: begin + case (counter) + 3'd0: begin + start <= 1'b1; + wdata <= FLASH_CMD_BLOCK_ERASE_64KB; + end + 3'd1: begin + start <= 1'b1; + wdata <= flash_scb.erase_block; + end + 3'd2: begin + start <= 1'b1; + wdata <= 8'd0; + end + 3'd3: begin + start <= 1'b1; + wdata <= 8'd0; + end + 3'd4: begin + finish <= 1'b1; + wdata <= 8'd4; + if (!busy) begin + flash_scb.erase_done <= 1'b1; + counter <= 3'd0; + state <= STATE_WAIT; + end + end + endcase + end + + STATE_PROGRAM_START: begin + case (counter) + 3'd0: begin + start <= 1'b1; + wdata <= FLASH_CMD_PAGE_PROGRAM; + end + 3'd1: begin + start <= 1'b1; + wdata <= mem_bus.address[23:16]; + end + 3'd2: begin + start <= 1'b1; + wdata <= mem_bus.address[15:8]; + end + 3'd3: begin + start <= 1'b1; + wdata <= mem_bus.address[7:0]; + if (!busy) begin + counter <= 3'd0; + state <= STATE_PROGRAM; + end + end + endcase + end + + STATE_PROGRAM: begin + case (counter) + 3'd0: begin + start <= 1'b1; + wdata <= mem_bus.wdata[15:8]; + end + 3'd1: begin + start <= 1'b1; + wdata <= mem_bus.wdata[7:0]; + if (!busy) begin + mem_bus.ack <= 1'b1; + current_address <= current_address + 2'd2; + end + end + 3'd2: begin + if (current_address[7:0] == 8'h00) begin + state <= STATE_PROGRAM_END; + end else if (flash_scb.erase_pending) begin + state <= STATE_PROGRAM_END; + end else if (mem_bus.request && !mem_bus.ack) begin + if (!mem_bus.write || (mem_bus.address[23:0] != current_address)) begin + state <= STATE_PROGRAM_END; + end else begin + counter <= 3'd0; + end + end + end + endcase + end + + STATE_PROGRAM_END: begin + finish <= 1'b1; + wdata <= 8'd4; + if (!busy) begin + counter <= 3'd0; + state <= STATE_WAIT; + end + end + + STATE_WAIT: begin + case (counter) + 3'd0: begin + start <= 1'b1; + output_enable <= 1'b1; + wdata <= FLASH_CMD_READ_STATUS_1; + end + 3'd1: begin + start <= 1'b1; + output_enable <= 1'b0; + end + 3'd2: begin + finish <= 1'b1; + wdata <= 8'd0; + end + 3'd3: begin + counter <= counter; + end + endcase + if (valid) begin + if (rdata[FLASH_STATUS_1_BUSY]) begin + counter <= 3'd0; + end else begin + state <= STATE_IDLE; + end + end + end + + STATE_READ_START: begin + case (counter) + 3'd0: begin + start <= 1'b1; + wdata <= FLASH_CMD_FAST_READ_QUAD_IO; + end + 3'd1: begin + start <= 1'b1; + quad_enable <= 1'b1; + wdata <= mem_bus.address[23:16]; + end + 3'd2: begin + start <= 1'b1; + wdata <= mem_bus.address[15:8]; + end + 3'd3: begin + start <= 1'b1; + wdata <= mem_bus.address[7:0]; + end + 3'd4: begin + start <= 1'b1; + wdata <= 8'hFF; + end + 3'd5: begin + start <= 1'b1; + end + 3'd6: begin + start <= 1'b1; + if (!busy) begin + counter <= 3'd0; + valid_counter <= 1'b0; + state <= STATE_READ; + end + end + endcase + end + + STATE_READ: begin + case (counter) + 3'd0: begin + start <= 1'b1; + output_enable <= 1'b0; + end + 3'd1: begin + start <= 1'b1; + end + 3'd2: begin end + 3'd3: begin + if (flash_scb.erase_pending) begin + state <= STATE_READ_END; + end else if (mem_bus.request && !mem_bus.ack) begin + if (mem_bus.write || (mem_bus.address[23:0] != current_address)) begin + state <= STATE_READ_END; + end else begin + start <= 1'b1; + counter <= 3'd0; + end + end + end + endcase + if (valid) begin + valid_counter <= ~valid_counter; + if (valid_counter) begin + mem_bus.ack <= 1'b1; + counter <= counter + 1'd1; + current_address <= current_address + 2'd2; + end + end + end + + STATE_READ_END: begin + finish <= 1'b1; + wdata <= 8'd0; + if (!busy) begin + state <= STATE_IDLE; + end + end + + default: begin + state <= STATE_IDLE; + end + endcase + end + end + + always_ff @(posedge clk) begin + if (valid) begin + mem_bus.rdata <= {mem_bus.rdata[7:0], rdata}; + end + end + +endmodule diff --git a/fw/rtl/memory/memory_sdram.sv b/fw/rtl/memory/memory_sdram.sv index 06e3e51..fce983a 100644 --- a/fw/rtl/memory/memory_sdram.sv +++ b/fw/rtl/memory/memory_sdram.sv @@ -1,48 +1,41 @@ module memory_sdram ( - if_system sys, + input clk, + input reset, - input request, - output ack, - input write, - input [25:0] address, - output [15:0] rdata, - input [15:0] wdata, + mem_bus.memory mem_bus, - output sdram_cs, - output sdram_ras, - output sdram_cas, - output sdram_we, - output [1:0] sdram_ba, - output [12:0] sdram_a, + output logic sdram_cs, + output logic sdram_ras, + output logic sdram_cas, + output logic sdram_we, + output logic [1:0] sdram_ba, + output logic [12:0] sdram_a, + output logic [1:0] sdram_dqm, inout [15:0] sdram_dq ); - parameter [2:0] CAS_LATENCY = 3'd2; + localparam [2:0] CAS_LATENCY = 3'd2; - parameter real T_INIT = 100_000.0; - parameter real T_RC = 60.0; - parameter real T_RP = 15.0; - parameter real T_RCD = 15.0; - // parameter real T_RAS = 37.0; //TODO: handle this timing - // parameter real T_WR = T_RAS - T_RCD; //TODO: handle this timing - parameter real T_MRD = 14.0; - parameter real T_REF = 7_800.0; + localparam real T_INIT = 100_000.0; + localparam real T_RC = 60.0; + localparam real T_RP = 15.0; + localparam real T_RCD = 15.0; + localparam real T_MRD = 14.0; + localparam real T_REF = 7_800.0; - localparam real T_CLK = (1.0 / sc64::CLOCK_FREQUENCY) * 1_000_000_000.0; + localparam real T_CLK = (1.0 / 100_000_000) * 1_000_000_000.0; localparam int C_INIT = int'((T_INIT + T_CLK - 1) / T_CLK); localparam int C_RC = int'((T_RC + T_CLK - 1) / T_CLK); localparam int C_RP = int'((T_RP + T_CLK - 1) / T_CLK); localparam int C_RCD = int'((T_RCD + T_CLK - 1) / T_CLK); - // localparam int C_RAS = int'((T_RAS + T_CLK - 1) / T_CLK); - // localparam int C_WR = int'((T_WR + T_CLK - 1) / T_CLK); localparam int C_MRD = int'((T_MRD + T_CLK - 1) / T_CLK); localparam int C_REF = int'((T_REF + T_CLK - 1) / T_CLK); - localparam INIT_PRECHARGE = C_INIT; - localparam INIT_REFRESH_1 = C_INIT + C_RP; - localparam INIT_REFRESH_2 = C_INIT + C_RP + C_RC; - localparam INIT_MODE_REG = C_INIT + C_RP + (2 * C_RC); - localparam INIT_DONE = C_INIT + C_RP + (2 * C_RC) + C_MRD; + localparam INIT_PRECHARGE = 4'd0; + localparam INIT_REFRESH_1 = C_RP; + localparam INIT_REFRESH_2 = C_RP + C_RC; + localparam INIT_MODE_REG = C_RP + (2 * C_RC); + localparam INIT_DONE = C_RP + (2 * C_RC) + C_MRD; typedef enum bit [3:0] { CMD_DESL = 4'b1111, @@ -63,37 +56,51 @@ module memory_sdram ( logic [14:0] current_active_bank_row; logic request_in_current_active_bank_row; - always_ff @(posedge sys.clk) begin + always_ff @(posedge clk) begin {sdram_cs, sdram_ras, sdram_cas, sdram_we} <= 4'(sdram_next_cmd); {sdram_ba, sdram_a} <= 15'd0; + sdram_dqm <= 2'b00; sdram_dq_input <= sdram_dq; - sdram_dq_output <= wdata; + sdram_dq_output <= mem_bus.wdata; sdram_dq_output_enable <= 1'b0; case (sdram_next_cmd) CMD_READ, CMD_WRITE: begin - {sdram_ba, sdram_a} <= {address[25:24], 3'b000, address[10:1]}; - sdram_dq_output_enable <= sdram_next_cmd == CMD_WRITE; + {sdram_ba, sdram_a} <= {mem_bus.address[25:24], 3'b000, mem_bus.address[10:1]}; + sdram_dqm <= (sdram_next_cmd == CMD_WRITE) ? (~mem_bus.wmask) : 2'b00; + sdram_dq_output_enable <= (sdram_next_cmd == CMD_WRITE); end + CMD_ACT: begin - {sdram_ba, sdram_a} <= address[25:11]; - current_active_bank_row <= address[25:11]; + {sdram_ba, sdram_a} <= mem_bus.address[25:11]; + sdram_dqm <= 2'b00; + current_active_bank_row <= mem_bus.address[25:11]; + end + + CMD_PRE: begin + {sdram_ba, sdram_a} <= {2'b00, 2'b00, 1'b1, 10'd0}; + sdram_dqm <= 2'b00; + end + + CMD_MRS: begin + {sdram_ba, sdram_a} <= {2'b00, 1'b0, 1'b0, 2'b00, CAS_LATENCY, 1'b0, 3'b000}; + sdram_dqm <= 2'b00; end - CMD_PRE: {sdram_ba, sdram_a} <= {2'b00, 2'b00, 1'b1, 10'd0}; - CMD_MRS: {sdram_ba, sdram_a} <= {2'b00, 1'b0, 1'b0, 2'b00, CAS_LATENCY, 1'b0, 3'b000}; endcase end + assign sdram_dq = sdram_dq_output_enable ? sdram_dq_output : 16'hZZZZ; + always_comb begin - rdata = sdram_dq_input; - sdram_dq = sdram_dq_output_enable ? sdram_dq_output : 16'hZZZZ; - request_in_current_active_bank_row = address[25:11] == current_active_bank_row; + mem_bus.rdata = sdram_dq_input; + request_in_current_active_bank_row = mem_bus.address[25:11] == current_active_bank_row; end typedef enum bit [2:0] { + S_POWERUP, S_INIT, S_IDLE, S_ACTIVATING, @@ -106,44 +113,54 @@ module memory_sdram ( e_state state; e_state next_state; - always_ff @(posedge sys.clk) begin - if (sys.reset) begin - state <= S_INIT; + always_ff @(posedge clk) begin + if (reset) begin + state <= S_POWERUP; end else begin state <= next_state; end end - logic [13:0] wait_counter; + logic [13:0] powerup_coutner; + logic powerup_done; + logic [4:0] wait_counter; logic [9:0] refresh_counter; logic pending_refresh; - always_ff @(posedge sys.clk) begin - if (sys.reset || state != next_state) begin - wait_counter <= 14'd0; + always_ff @(posedge clk) begin + if (reset) begin + powerup_coutner <= 14'd0; + powerup_done <= 1'b0; + end else if (powerup_coutner < C_INIT) begin + powerup_coutner <= powerup_coutner + 1'd1; + end else begin + powerup_done <= 1'b1; + end + + if (reset || state != next_state) begin + wait_counter <= 5'd0; end else begin wait_counter <= wait_counter + 1'd1; end if (sdram_next_cmd == CMD_REF) begin refresh_counter <= 10'd0; - end else if (refresh_counter < 10'h3FF) begin + pending_refresh <= 1'b0; + end else if (refresh_counter < C_REF) begin refresh_counter <= refresh_counter + 1'd1; + end else begin + pending_refresh <= 1'b1; end end - always_comb begin - pending_refresh = refresh_counter >= C_REF; - end - logic [(CAS_LATENCY):0] read_cmd_ack_delay; - always_ff @(posedge sys.clk) begin - ack <= 1'b0; + always_ff @(posedge clk) begin + mem_bus.ack <= 1'b0; read_cmd_ack_delay <= {sdram_next_cmd == CMD_READ, read_cmd_ack_delay[(CAS_LATENCY):1]}; if (sdram_next_cmd == CMD_WRITE || read_cmd_ack_delay[0]) begin - ack <= 1'b1; + mem_bus.ack <= 1'b1; end end @@ -152,10 +169,14 @@ module memory_sdram ( next_state = state; case (state) - S_INIT: begin - if (wait_counter < INIT_PRECHARGE) begin - sdram_next_cmd = CMD_DESL; + S_POWERUP: begin + sdram_next_cmd = CMD_DESL; + if (powerup_done) begin + next_state = S_INIT; end + end + + S_INIT: begin if (wait_counter == INIT_PRECHARGE) begin sdram_next_cmd = CMD_PRE; end @@ -174,7 +195,7 @@ module memory_sdram ( if (pending_refresh) begin next_state = S_REFRESH; sdram_next_cmd = CMD_REF; - end else if (request) begin + end else if (mem_bus.request) begin next_state = S_ACTIVATING; sdram_next_cmd = CMD_ACT; end @@ -190,10 +211,10 @@ module memory_sdram ( if (pending_refresh) begin next_state = S_PRECHARGE; sdram_next_cmd = CMD_PRE; - end else if (request) begin + end else if (mem_bus.request) begin if (request_in_current_active_bank_row) begin next_state = S_BUSY; - sdram_next_cmd = write ? CMD_WRITE : CMD_READ; + sdram_next_cmd = mem_bus.write ? CMD_WRITE : CMD_READ; end else begin next_state = S_PRECHARGE; sdram_next_cmd = CMD_PRE; @@ -202,7 +223,7 @@ module memory_sdram ( end S_BUSY: begin - if (ack) begin + if (mem_bus.ack) begin next_state = S_ACTIVE; end end diff --git a/fw/rtl/n64/n64_bootloader.sv b/fw/rtl/n64/n64_bootloader.sv deleted file mode 100644 index 52cde36..0000000 --- a/fw/rtl/n64/n64_bootloader.sv +++ /dev/null @@ -1,94 +0,0 @@ -module n64_bootloader ( - if_system.sys sys, - if_n64_bus bus, - if_config.flash cfg, - if_flash.flash flash -); - - typedef enum bit [0:0] { - S_IDLE, - S_WAIT - } e_state; - - typedef enum bit [0:0] { - T_N64, - T_CPU - } e_source_request; - - e_state state; - e_source_request source_request; - - logic request; - logic ack; - logic write; - logic [31:0] address; - logic [31:0] wdata; - logic [31:0] rdata; - - always_ff @(posedge sys.clk) begin - if (sys.reset) begin - state <= S_IDLE; - request <= 1'b0; - end else begin - case (state) - S_IDLE: begin - if (bus.request || flash.request) begin - state <= S_WAIT; - request <= 1'b1; - if (bus.request) begin - write <= 1'b0; - address <= bus.address; - wdata <= bus.wdata; - source_request <= T_N64; - end else if (flash.request) begin - write <= flash.write; - address <= flash.address; - wdata <= flash.wdata; - source_request <= T_CPU; - end - end - end - - S_WAIT: begin - if (ack) begin - state <= S_IDLE; - request <= 1'b0; - end - end - endcase - end - end - - always_comb begin - bus.ack = source_request == T_N64 && ack; - bus.rdata = 16'd0; - if (bus.ack && bus.address < 32'h00010000) begin - if (bus.address[1]) bus.rdata = {rdata[23:16], rdata[31:24]}; - else bus.rdata = {rdata[7:0], rdata[15:8]}; - end - - flash.ack = source_request == T_CPU && ack; - flash.rdata = 32'd0; - if (flash.ack) begin - flash.rdata = rdata; - end - end - - vendor_flash vendor_flash_inst ( - .clk(sys.clk), - .reset(sys.reset), - - .erase_start(cfg.flash_erase_start), - .erase_busy(cfg.flash_erase_busy), - .wp_enable(cfg.flash_wp_enable), - .wp_disable(cfg.flash_wp_disable), - - .request(request), - .ack(ack), - .write(write), - .address(address), - .wdata(wdata), - .rdata(rdata) - ); - -endmodule diff --git a/fw/rtl/n64/n64_bus.sv b/fw/rtl/n64/n64_bus.sv deleted file mode 100644 index d85be7f..0000000 --- a/fw/rtl/n64/n64_bus.sv +++ /dev/null @@ -1,69 +0,0 @@ -interface if_n64_bus (); - - localparam sc64::e_n64_id NUM_DEVICES = sc64::__ID_N64_END; - - sc64::e_n64_id id; - logic request; - logic ack; - logic write; - logic [31:0] address; - logic [15:0] wdata; - logic [15:0] rdata; - logic [31:0] real_address; - logic read_op; - logic write_op; - - logic device_ack [(NUM_DEVICES - 1):0]; - logic [15:0] device_rdata [(NUM_DEVICES - 1):0]; - - always_comb begin - ack = 1'b0; - rdata = 16'd0; - - for (integer i = 0; i < NUM_DEVICES; i++) begin - ack = ack | device_ack[i]; - rdata = rdata | device_rdata[i]; - end - - if (id >= NUM_DEVICES) begin - ack = request; - end - end - - modport n64 ( - output id, - output request, - input ack, - output write, - output address, - output wdata, - input rdata, - output real_address, - output read_op, - output write_op - ); - - genvar n; - generate - for (n = 0; n < NUM_DEVICES; n++) begin : at - logic device_request; - - always_comb begin - device_request = request && id == sc64::e_n64_id'(n); - end - - modport device ( - input .request(device_request), - output .ack(device_ack[n]), - input .write(write), - input .address(address), - input .wdata(wdata), - output .rdata(device_rdata[n]), - input .real_address(real_address), - input .read_op(read_op), - input .write_op(write_op) - ); - end - endgenerate - -endinterface diff --git a/fw/rtl/n64/n64_cfg.sv b/fw/rtl/n64/n64_cfg.sv index 8f7dc3f..ea8f1d9 100644 --- a/fw/rtl/n64/n64_cfg.sv +++ b/fw/rtl/n64/n64_cfg.sv @@ -1,84 +1,68 @@ module n64_cfg ( - if_system sys, - if_n64_bus bus, - if_config.n64 cfg + input clk, + input reset, + + n64_reg_bus.cfg reg_bus, + + n64_scb.cfg n64_scb, + + output logic irq ); typedef enum bit [2:0] { - R_SR, - R_COMMAND, - R_DATA_0_H, - R_DATA_0_L, - R_DATA_1_H, - R_DATA_1_L, - R_VERSION_H, - R_VERSION_L - } e_reg_id; - - typedef enum bit [0:0] { - S_IDLE, - S_WAIT - } e_state; - - e_state state; + REG_STATUS, + REG_COMMAND, + REG_DATA_0_H, + REG_DATA_0_L, + REG_DATA_1_H, + REG_DATA_1_L, + REG_VERSION_H, + REG_VERSION_L + } e_reg; always_comb begin - bus.rdata = 16'd0; - if (bus.ack) begin - case (bus.address[3:1]) - R_SR: bus.rdata = { - cfg.cpu_ready, - cfg.cpu_busy, - 1'b0, - cfg.cmd_error, - 12'd0 - }; - R_COMMAND: bus.rdata = {8'd0, cfg.cmd}; - R_DATA_0_H: bus.rdata = cfg.data[0][31:16]; - R_DATA_0_L: bus.rdata = cfg.data[0][15:0]; - R_DATA_1_H: bus.rdata = cfg.data[1][31:16]; - R_DATA_1_L: bus.rdata = cfg.data[1][15:0]; - R_VERSION_H: bus.rdata = sc64::SC64_VER[31:16]; - R_VERSION_L: bus.rdata = sc64::SC64_VER[15:0]; - default: bus.rdata = 16'd0; - endcase - end + reg_bus.rdata = 16'd0; + case (reg_bus.address[3:1]) + REG_STATUS: reg_bus.rdata = { + n64_scb.cfg_pending, + n64_scb.cfg_error, + 14'd0 + }; + REG_DATA_0_H: reg_bus.rdata = n64_scb.cfg_wdata[0][31:16]; + REG_DATA_0_L: reg_bus.rdata = n64_scb.cfg_wdata[0][15:0]; + REG_DATA_1_H: reg_bus.rdata = n64_scb.cfg_wdata[1][31:16]; + REG_DATA_1_L: reg_bus.rdata = n64_scb.cfg_wdata[1][15:0]; + REG_VERSION_H: reg_bus.rdata = n64_scb.cfg_version[31:16]; + REG_VERSION_L: reg_bus.rdata = n64_scb.cfg_version[15:0]; + endcase end - always_ff @(posedge sys.clk) begin - bus.ack <= 1'b0; - cfg.cmd_request <= 1'b0; - - if (cfg.data_write[0]) cfg.data[0] <= cfg.wdata; - if (cfg.data_write[1]) cfg.data[1] <= cfg.wdata; - - if (sys.reset) begin - state <= S_IDLE; + always_ff @(posedge clk) begin + if (reset) begin + n64_scb.cfg_pending <= 1'b0; + irq <= 1'b0; end else begin - case (state) - S_IDLE: begin - if (bus.request) begin - state <= S_WAIT; - bus.ack <= 1'b1; - if (bus.write) begin - case (bus.address[3:1]) - R_COMMAND: begin - cfg.cmd <= bus.wdata[7:0]; - cfg.cmd_request <= 1'b1; - end - R_DATA_0_H: cfg.data[0][31:16] <= bus.wdata; - R_DATA_0_L: cfg.data[0][15:0] <= bus.wdata; - R_DATA_1_H: cfg.data[1][31:16] <= bus.wdata; - R_DATA_1_L: cfg.data[1][15:0] <= bus.wdata; - endcase - end - end - end + if (n64_scb.cfg_done) begin + n64_scb.cfg_pending <= 1'b0; + end - S_WAIT: begin - state <= S_IDLE; - end - endcase + if (n64_scb.cfg_irq) begin + irq <= 1'b1; + end + + if (reg_bus.write) begin + case (reg_bus.address[3:1]) + REG_COMMAND: begin + n64_scb.cfg_pending <= 1'b1; + n64_scb.cfg_cmd <= reg_bus.wdata[7:0]; + end + REG_DATA_0_H: n64_scb.cfg_rdata[0][31:16] <= reg_bus.wdata; + REG_DATA_0_L: n64_scb.cfg_rdata[0][15:0] <= reg_bus.wdata; + REG_DATA_1_H: n64_scb.cfg_rdata[1][31:16] <= reg_bus.wdata; + REG_DATA_1_L: n64_scb.cfg_rdata[1][15:0] <= reg_bus.wdata; + REG_VERSION_L: irq <= 1'b0; + endcase + end end end diff --git a/fw/rtl/n64/n64_dd.sv b/fw/rtl/n64/n64_dd.sv index 2c36d48..b21cba9 100644 --- a/fw/rtl/n64/n64_dd.sv +++ b/fw/rtl/n64/n64_dd.sv @@ -1,172 +1,16 @@ -interface if_dd ( - output dd_interrupt -); - - // Sector buffer regs - - logic [6:0] n64_sector_address; - logic n64_sector_address_valid; - logic n64_sector_write; - logic [15:0] n64_sector_wdata; - - logic [5:0] cpu_sector_address; - logic cpu_sector_address_valid; - logic cpu_sector_write; - logic [31:0] cpu_sector_wdata; - - logic [31:0] sector_rdata; - - - // N64 controlled regs - - logic hard_reset; - logic [15:0] data; - logic [7:0] cmd; - logic cmd_pending; - logic cmd_interrupt; - logic bm_start_pending; - logic bm_stop_pending; - logic bm_transfer_mode; - logic bm_transfer_blocks; - logic bm_pending; - logic bm_interrupt; - logic bm_interrupt_ack; - logic [7:0] sector_num; - logic [7:0] sector_size; - logic [7:0] sector_size_full; - logic [7:0] sectors_in_block; - - - // CPU controlled regs - - logic hard_reset_clear; - logic [15:0] cmd_data; - logic cmd_ready; - logic bm_start_clear; - logic bm_stop_clear; - logic bm_transfer_c2; - logic bm_transfer_data; - logic bm_micro_error; - logic bm_clear; - logic bm_ready; - logic disk_inserted; - logic disk_changed; - logic index_lock; - logic [12:0] head_track; - logic [15:0] drive_id; - - - always_comb begin - dd_interrupt = cmd_interrupt || bm_interrupt; - end - - - modport dd ( - output hard_reset, - output data, - output cmd, - output cmd_pending, - output cmd_interrupt, - output bm_start_pending, - output bm_stop_pending, - output bm_transfer_mode, - output bm_transfer_blocks, - output bm_pending, - output bm_interrupt, - output bm_interrupt_ack, - output sector_num, - output sector_size, - output sector_size_full, - output sectors_in_block, - - input hard_reset_clear, - input cmd_data, - input cmd_ready, - input bm_start_clear, - input bm_stop_clear, - input bm_transfer_c2, - input bm_transfer_data, - input bm_micro_error, - input bm_clear, - input bm_ready, - input disk_inserted, - input disk_changed, - input index_lock, - input head_track, - input drive_id, - - output .sector_address(n64_sector_address), - output .sector_address_valid(n64_sector_address_valid), - output .sector_write(n64_sector_write), - output .sector_wdata(n64_sector_wdata), - input sector_rdata - ); - - modport cpu ( - input hard_reset, - input data, - input cmd, - input cmd_pending, - input bm_start_pending, - input bm_stop_pending, - input bm_transfer_mode, - input bm_transfer_blocks, - input bm_pending, - input bm_interrupt_ack, - input sector_num, - input sector_size, - input sector_size_full, - input sectors_in_block, - - output hard_reset_clear, - output cmd_data, - output cmd_ready, - output bm_start_clear, - output bm_stop_clear, - output bm_transfer_c2, - output bm_transfer_data, - output bm_micro_error, - output bm_ready, - output bm_clear, - output disk_inserted, - output disk_changed, - output index_lock, - output head_track, - output drive_id, - - output .sector_address(cpu_sector_address), - output .sector_address_valid(cpu_sector_address_valid), - output .sector_write(cpu_sector_write), - output .sector_wdata(cpu_sector_wdata), - input sector_rdata - ); - - modport sector_buffer ( - input n64_sector_address, - input n64_sector_address_valid, - input n64_sector_write, - input n64_sector_wdata, - - input cpu_sector_address, - input cpu_sector_address_valid, - input cpu_sector_write, - input cpu_sector_wdata, - - output sector_rdata - ); - -endinterface - - module n64_dd ( - if_system.sys sys, - if_n64_bus bus, - if_dd.dd dd + input clk, + input reset, + + n64_reg_bus.dd reg_bus, + + n64_scb.dd n64_scb, + + output logic irq ); - const bit [31:0] M_BASE = 32'h0500_0000; - const bit [31:0] M_C2_BUFFER = M_BASE + 11'h000; - const bit [31:0] M_SECTOR_BUFFER = M_BASE + 11'h400; + const bit [10:0] M_C2_BUFFER = 11'h000; + const bit [10:0] M_SECTOR_BUFFER = 11'h400; typedef enum bit [10:0] { R_DATA = 11'h500, @@ -187,19 +31,19 @@ module n64_dd ( BM_CONTROL_MECHANIC_INTERRUPT_RESET = 4'd8 } e_bm_control_id; - typedef enum bit [0:0] { - S_IDLE, - S_WAIT - } e_state; + // typedef enum bit [0:0] { + // S_IDLE, + // S_WAIT + // } e_state; - e_state state; + // e_state state; - always_comb begin - dd.sector_address = bus.address[7:1]; - dd.sector_address_valid = bus.request && bus.address[11:8] == M_SECTOR_BUFFER[11:8]; - dd.sector_write = bus.write && dd.sector_address_valid; - dd.sector_wdata = bus.wdata; - end + // always_comb begin + // dd.sector_address = bus.address[7:1]; + // dd.sector_address_valid = bus.request && bus.address[11:8] == M_SECTOR_BUFFER[11:8]; + // dd.sector_write = bus.write && dd.sector_address_valid; + // dd.sector_wdata = bus.wdata; + // end always_comb begin bus.rdata = 16'd0; @@ -240,6 +84,38 @@ module n64_dd ( end end + always_comb begin + reg_bus.rdata = 16'd0; + if (reg_bus.address[10:8] == M_SECTOR_BUFFER[10:8]) begin + end else begin + case (reg_bus.address[10:0]) + R_DATA: reg_bus.rdata = dd.data; + R_CMD_SR: reg_bus.rdata = { + 1'b0, + dd.bm_transfer_data, + 1'b0, + dd.bm_transfer_c2, + 1'b0, + dd.bm_interrupt, + dd.cmd_interrupt, + dd.disk_inserted, + dd.cmd_pending, + dd.hard_reset, + 1'b0, + 1'b0, + 1'b0, + 1'b0, + 1'b0, + dd.disk_changed + }; + R_TRK_CUR: reg_bus.rdata = {1'd0, {2{dd.index_lock}}, dd.head_track}; + R_BM_SCR: reg_bus.rdata = {6'd0, dd.bm_micro_error, 9'd0}; + R_ID: reg_bus.rdata = {dd.drive_id}; + default: reg_bus.rdata = 16'd0; + endcase + end + end + always_ff @(posedge sys.clk) begin bus.ack <= 1'b0; dd.bm_interrupt_ack <= 1'b0; @@ -354,51 +230,5 @@ module n64_dd ( end end -endmodule - - -module n64_dd_sector_buffer ( - if_system.sys sys, - if_dd.sector_buffer dd -); - - logic [5:0] sector_address; - logic [31:0] sector_buffer [0:63]; - logic [15:0] sector_high_buffer; - logic sector_write; - logic [31:0] sector_wdata; - - always_comb begin - sector_address = 6'd0; - sector_write = 1'b0; - sector_wdata = 32'd0; - - if (dd.n64_sector_address_valid) begin - sector_address = dd.n64_sector_address[6:1]; - end else if (dd.cpu_sector_address_valid) begin - sector_address = dd.cpu_sector_address; - end - - if (dd.n64_sector_write && dd.n64_sector_address[0]) begin - sector_write = 1'b1; - sector_wdata = {sector_high_buffer, dd.n64_sector_wdata}; - end else if (dd.cpu_sector_write) begin - sector_write = 1'b1; - sector_wdata = dd.cpu_sector_wdata; - end - end - - always_ff @(posedge sys.clk) begin - if (dd.n64_sector_write && !dd.n64_sector_address[0]) begin - sector_high_buffer <= dd.n64_sector_wdata; - end - end - - always_ff @(posedge sys.clk) begin - dd.sector_rdata <= sector_buffer[sector_address]; - if (sector_write) begin - sector_buffer[sector_address] <= sector_wdata; - end - end endmodule diff --git a/fw/rtl/n64/n64_flashram.sv b/fw/rtl/n64/n64_flashram.sv index 8ca686f..79199c7 100644 --- a/fw/rtl/n64/n64_flashram.sv +++ b/fw/rtl/n64/n64_flashram.sv @@ -1,188 +1,153 @@ module n64_flashram ( - if_system.sys sys, - if_n64_bus bus, - if_config.flashram cfg, - if_flashram.flashram flashram + input clk, + input reset, + + n64_reg_bus.flashram reg_bus, + + n64_scb.flashram n64_scb ); localparam [31:0] FLASH_TYPE_ID = 32'h1111_8001; localparam [31:0] FLASH_MODEL_ID = 32'h00C2_001D; typedef enum bit [7:0] { - CMD_STATUS_MODE = 8'hD2, - CMD_READID_MODE = 8'hE1, - CMD_READ_MODE = 8'hF0, - CMD_ERASE_SECTOR = 8'h4B, - CMD_ERASE_CHIP = 8'h3C, - CMD_BUFFER_MODE = 8'hB4, - CMD_ERASE_START = 8'h78, - CMD_WRITE_START = 8'hA5 + CMD_STATUS_MODE = 8'hD2, + CMD_READID_MODE = 8'hE1, + CMD_READ_MODE = 8'hF0, + CMD_ERASE_SECTOR = 8'h4B, + CMD_ERASE_CHIP = 8'h3C, + CMD_BUFFER_MODE = 8'hB4, + CMD_ERASE_START = 8'h78, + CMD_WRITE_START = 8'hA5 } e_cmd; - typedef enum bit [0:0] { - S_IDLE, - S_WAIT - } e_bus_state; + typedef enum bit [1:0] { + STATE_STATUS, + STATE_ID, + STATE_READ, + STATE_BUFFER + } e_state; typedef enum bit [1:0] { - FS_STATUS, - FS_ID, - FS_READ, - FS_BUFFER - } e_flashram_state; + WRITE_BUSY, + ERASE_BUSY, + WRITE_DONE, + ERASE_DONE + } e_status_bits; - typedef enum bit [1:0] { - B_WRITE_BUSY, - B_ERASE_BUSY, - B_WRITE_DONE, - B_ERASE_DONE - } e_flashram_status; + e_state state; + logic [3:0] status; + logic [7:0] cmd; + logic erase_enabled; - e_bus_state bus_state; - e_flashram_state flashram_state; - logic [3:0] flashram_status; - logic [7:0] flashram_command; - logic flashram_erase_enabled; + logic [15:0] write_buffer [0:63]; - logic [31:0] write_buffer [0:31]; - logic [1:0] write_buffer_wmask; - logic [15:0] high_buffer; - - always_comb begin - write_buffer_wmask = 2'b00; - if (bus.request && bus.write && !bus.address[16] && flashram_state == FS_BUFFER) begin - write_buffer_wmask[0] = !bus.address[1]; - write_buffer_wmask[1] = bus.address[1]; - end - end - - always_ff @(posedge sys.clk) begin - if (write_buffer_wmask[0]) high_buffer <= bus.wdata; - end - - always_ff @(posedge sys.clk) begin - flashram.rdata <= write_buffer[flashram.address]; - if (write_buffer_wmask[1]) write_buffer[bus.address[6:2]] <= {high_buffer, bus.wdata}; + always_ff @(posedge clk) begin + n64_scb.flashram_buffer_rdata <= write_buffer[n64_scb.flashram_buffer_address]; end always_comb begin - bus.rdata = 16'd0; - if (bus.ack) begin - if (bus.address[1]) begin - bus.rdata = {12'd0, flashram_status}; - end - if (flashram_state == FS_ID) begin - case (bus.address[2:1]) - 0: bus.rdata = FLASH_TYPE_ID[31:16]; - 1: bus.rdata = FLASH_TYPE_ID[15:0]; - 2: bus.rdata = FLASH_MODEL_ID[31:16]; - 3: bus.rdata = FLASH_MODEL_ID[15:0]; - endcase - end - end + n64_scb.flashram_read_mode = (state == STATE_READ); - cfg.flashram_read_mode = flashram_state == FS_READ; + reg_bus.rdata = 16'd0; + if (state == STATE_ID) begin + case (reg_bus.address[2:1]) + 0: reg_bus.rdata = FLASH_TYPE_ID[31:16]; + 1: reg_bus.rdata = FLASH_TYPE_ID[15:0]; + 2: reg_bus.rdata = FLASH_MODEL_ID[31:16]; + 3: reg_bus.rdata = FLASH_MODEL_ID[15:0]; + endcase + end else if (reg_bus.address[1]) begin + reg_bus.rdata = {12'd0, status}; + end end - always_ff @(posedge sys.clk) begin - bus.ack <= 1'b0; - - if (sys.reset) begin - bus_state <= S_IDLE; - flashram_state <= FS_STATUS; - flashram_status <= 4'b0000; - flashram_erase_enabled <= 1'b0; - flashram.operation_pending <= 1'b0; + always_ff @(posedge clk) begin + if (reset) begin + state <= STATE_STATUS; + status <= 4'b0000; + erase_enabled <= 1'b0; + n64_scb.flashram_pending <= 1'b0; end else begin - if (flashram.operation_done) begin - flashram.operation_pending <= 1'b0; - if (flashram.write_or_erase) begin - flashram_status[B_ERASE_BUSY] <= 1'b0; - flashram_status[B_ERASE_DONE] <= 1'b1; + if (n64_scb.flashram_done) begin + n64_scb.flashram_pending <= 1'b0; + if (n64_scb.flashram_write_or_erase) begin + status[ERASE_BUSY] <= 1'b0; + status[ERASE_DONE] <= 1'b1; end else begin - flashram_status[B_WRITE_BUSY] <= 1'b0; - flashram_status[B_WRITE_DONE] <= 1'b1; + status[WRITE_BUSY] <= 1'b0; + status[WRITE_DONE] <= 1'b1; end end - case (bus_state) - S_IDLE: begin - if (bus.request) begin - bus_state <= S_WAIT; - bus.ack <= 1'b1; - if (bus.write && !flashram.operation_pending) begin - if (bus.address[16]) begin - if (!bus.address[1]) begin - flashram_command <= bus.wdata[15:8]; - end else begin - flashram_erase_enabled <= 1'b0; + if (reg_bus.write && !n64_scb.flashram_pending) begin + if (reg_bus.address[16]) begin + if (!reg_bus.address[1]) begin + cmd <= reg_bus.wdata[15:8]; + end else begin + erase_enabled <= 1'b0; - case (flashram_command) - CMD_STATUS_MODE: begin - flashram_state <= FS_STATUS; - end + case (cmd) + CMD_STATUS_MODE: begin + state <= STATE_STATUS; + end - CMD_READID_MODE: begin - flashram_state <= FS_ID; - end + CMD_READID_MODE: begin + state <= STATE_ID; + end - CMD_READ_MODE: begin - flashram_state <= FS_READ; - end + CMD_READ_MODE: begin + state <= STATE_READ; + end - CMD_ERASE_SECTOR: begin - flashram_state <= FS_STATUS; - flashram_erase_enabled <= 1'b1; - flashram.sector <= bus.wdata[9:0]; - flashram.sector_or_all <= 1'b0; - end + CMD_ERASE_SECTOR: begin + state <= STATE_STATUS; + erase_enabled <= 1'b1; + n64_scb.flashram_sector <= reg_bus.wdata[9:0]; + n64_scb.flashram_sector_or_all <= 1'b0; + end - CMD_ERASE_CHIP: begin - flashram_state <= FS_STATUS; - flashram_erase_enabled <= 1'b1; - flashram.sector <= 10'd0; - flashram.sector_or_all <= 1'b1; - end + CMD_ERASE_CHIP: begin + state <= STATE_STATUS; + erase_enabled <= 1'b1; + n64_scb.flashram_sector <= 10'd0; + n64_scb.flashram_sector_or_all <= 1'b1; + end - CMD_BUFFER_MODE: begin - flashram_state <= FS_BUFFER; - end + CMD_BUFFER_MODE: begin + state <= STATE_BUFFER; + end - CMD_ERASE_START: begin - flashram_state <= FS_STATUS; - if (flashram_erase_enabled) begin - flashram_status[B_ERASE_BUSY] <= 1'b1; - flashram_status[B_ERASE_DONE] <= 1'b0; - flashram.operation_pending <= 1'b1; - flashram.write_or_erase <= 1'b1; - end - end - - CMD_WRITE_START: begin - flashram_state <= FS_STATUS; - flashram_status[B_WRITE_BUSY] <= 1'b1; - flashram_status[B_WRITE_DONE] <= 1'b0; - flashram.sector <= bus.wdata[9:0]; - flashram.operation_pending <= 1'b1; - flashram.write_or_erase <= 1'b0; - flashram.sector_or_all <= 1'b0; - end - endcase - end - end else begin - if (bus.address[1] && flashram_state == FS_STATUS) begin - flashram_status[B_ERASE_BUSY] <= bus.wdata[B_ERASE_BUSY]; - flashram_status[B_WRITE_BUSY] <= bus.wdata[B_WRITE_BUSY]; + CMD_ERASE_START: begin + state <= STATE_STATUS; + if (erase_enabled) begin + status[ERASE_BUSY] <= 1'b1; + status[ERASE_DONE] <= 1'b0; + n64_scb.flashram_pending <= 1'b1; + n64_scb.flashram_write_or_erase <= 1'b1; end end - end + + CMD_WRITE_START: begin + state <= STATE_STATUS; + status[WRITE_BUSY] <= 1'b1; + status[WRITE_DONE] <= 1'b0; + n64_scb.flashram_sector <= reg_bus.wdata[9:0]; + n64_scb.flashram_pending <= 1'b1; + n64_scb.flashram_write_or_erase <= 1'b0; + n64_scb.flashram_sector_or_all <= 1'b0; + end + endcase + end + end else begin + if (state == STATE_BUFFER) begin + write_buffer[reg_bus.address[6:1]] <= reg_bus.wdata; + end else if (reg_bus.address[1]) begin + status[ERASE_BUSY] <= reg_bus.wdata[ERASE_BUSY]; + status[WRITE_BUSY] <= reg_bus.wdata[WRITE_BUSY]; end end - - S_WAIT: begin - bus_state <= S_IDLE; - end - endcase + end end end diff --git a/fw/rtl/n64/n64_pi.sv b/fw/rtl/n64/n64_pi.sv index 517cf77..fdd096e 100644 --- a/fw/rtl/n64/n64_pi.sv +++ b/fw/rtl/n64/n64_pi.sv @@ -1,8 +1,14 @@ module n64_pi ( - if_system.sys sys, - if_config.pi cfg, - if_n64_bus.n64 bus, + input clk, + input reset, + mem_bus.controller mem_bus, + n64_reg_bus.controller reg_bus, + + n64_scb.pi n64_scb, + + input n64_reset, + input n64_nmi, input n64_pi_alel, input n64_pi_aleh, input n64_pi_read, @@ -10,79 +16,34 @@ module n64_pi ( inout [15:0] n64_pi_ad ); - // FIFOs - - logic read_fifo_flush; - - logic read_fifo_full; - logic read_fifo_write; - logic [15:0] read_fifo_wdata; - - logic read_fifo_empty; - logic read_fifo_read; - logic [15:0] read_fifo_rdata; - - n64_pi_fifo read_fifo_inst ( - .sys(sys), - - .flush(read_fifo_flush), - - .full(read_fifo_full), - .write(read_fifo_write), - .wdata(read_fifo_wdata), - - .empty(read_fifo_empty), - .read(read_fifo_read), - .rdata(read_fifo_rdata) - ); - - logic write_fifo_flush; - - logic write_fifo_full; - logic write_fifo_write; - logic [15:0] write_fifo_wdata; - - logic write_fifo_empty; - logic write_fifo_read; - logic [15:0] write_fifo_rdata; - - n64_pi_fifo write_fifo_inst ( - .sys(sys), - - .flush(write_fifo_flush), - - .full(write_fifo_full), - .write(write_fifo_write), - .wdata(write_fifo_wdata), - - .empty(write_fifo_empty), - .read(write_fifo_read), - .rdata(write_fifo_rdata) - ); - - // Control signals and input synchronization + logic [1:0] n64_reset_ff; + logic [1:0] n64_nmi_ff; logic [2:0] n64_pi_alel_ff; logic [2:0] n64_pi_aleh_ff; - logic [2:0] n64_pi_read_ff; + logic [1:0] n64_pi_read_ff; logic [2:0] n64_pi_write_ff; - always_ff @(posedge sys.clk) begin + always_ff @(posedge clk) begin + n64_reset_ff <= {n64_reset_ff[0], n64_reset}; + n64_nmi_ff <= {n64_nmi_ff[0], n64_nmi}; n64_pi_aleh_ff <= {n64_pi_aleh_ff[1:0], n64_pi_aleh}; n64_pi_alel_ff <= {n64_pi_alel_ff[1:0], n64_pi_alel}; - n64_pi_read_ff <= {n64_pi_read_ff[1:0], n64_pi_read}; + n64_pi_read_ff <= {n64_pi_read_ff[0], n64_pi_read}; n64_pi_write_ff <= {n64_pi_write_ff[1:0], n64_pi_write}; end logic pi_reset; + logic pi_nmi; logic pi_aleh; logic pi_alel; logic pi_read; logic pi_write; always_comb begin - pi_reset = sys.n64_hard_reset; + pi_reset = n64_reset_ff[1]; + pi_nmi = n64_nmi_ff[1]; pi_aleh = n64_pi_aleh_ff[2]; pi_alel = n64_pi_alel_ff[2]; pi_read = n64_pi_read_ff[1]; @@ -99,16 +60,30 @@ module n64_pi ( PI_MODE_VALID = 2'b00 } e_pi_mode; + typedef enum bit [1:0] { + PORT_NONE, + PORT_MEM, + PORT_REG + } e_port; + e_pi_mode pi_mode; - e_pi_mode last_pi_mode; - logic last_read; - logic last_write; + + e_port read_port; + e_port write_port; always_comb begin pi_mode = e_pi_mode'({pi_aleh, pi_alel}); end - always_ff @(posedge sys.clk) begin + logic last_reset; + logic last_nmi; + e_pi_mode last_pi_mode; + logic last_read; + logic last_write; + + always_ff @(posedge clk) begin + last_reset <= pi_reset; + last_nmi <= pi_nmi; last_pi_mode <= pi_mode; last_read <= pi_read; last_write <= pi_write; @@ -121,236 +96,339 @@ module n64_pi ( logic end_op; always_comb begin - aleh_op = !pi_reset && last_pi_mode != PI_MODE_HIGH && pi_mode == PI_MODE_HIGH; - alel_op = !pi_reset && last_pi_mode == PI_MODE_HIGH && pi_mode == PI_MODE_LOW; - read_op = !pi_reset && pi_mode == PI_MODE_VALID && last_read && !pi_read; - write_op = !pi_reset && pi_mode == PI_MODE_VALID && last_write && !pi_write; - end_op = !pi_reset && last_pi_mode == PI_MODE_VALID && pi_mode != PI_MODE_VALID; + n64_scb.n64_reset = !last_reset && pi_reset; + n64_scb.n64_nmi = !last_nmi && pi_nmi; + aleh_op = pi_reset && (last_pi_mode != PI_MODE_HIGH) && (pi_mode == PI_MODE_HIGH); + alel_op = pi_reset && (last_pi_mode == PI_MODE_HIGH) && (pi_mode == PI_MODE_LOW); + read_op = pi_reset && (pi_mode == PI_MODE_VALID) && (read_port != PORT_NONE) && (last_read && !pi_read); + write_op = pi_reset && (pi_mode == PI_MODE_VALID) && (write_port != PORT_NONE) && (last_write && !pi_write); + end_op = pi_reset && (last_pi_mode == PI_MODE_VALID) && (pi_mode != PI_MODE_VALID); end // Input and output data sampling - logic [15:0] n64_pi_ad_input; - logic [15:0] n64_pi_ad_output; - logic [15:0] n64_pi_ad_output_data; - logic n64_pi_ad_output_enable; - logic n64_pi_ad_output_enable_data; - - logic n64_pi_address_valid; - logic pending_operation; - logic pending_write; + logic n64_pi_ad_oe; + logic [15:0] n64_pi_ad_out; + logic [15:0] n64_pi_dq_in; + logic [15:0] n64_pi_dq_out; - always_comb begin - n64_pi_ad = n64_pi_ad_output_enable ? n64_pi_ad_output : 16'hZZZZ; - n64_pi_ad_output_enable_data = !pi_reset && pi_mode == PI_MODE_VALID && n64_pi_address_valid && !n64_pi_read_ff[2]; - end + assign n64_pi_ad = n64_pi_ad_oe ? n64_pi_ad_out : 16'hZZZZ; - always_ff @(posedge sys.clk) begin - n64_pi_ad_input <= n64_pi_ad; - n64_pi_ad_output <= n64_pi_ad_output_data; - n64_pi_ad_output_enable <= n64_pi_ad_output_enable_data; - end - - logic wait_for_read_fifo; - logic wait_for_write_fifo; - - always_comb begin - read_fifo_write = bus.ack && !bus.write; - read_fifo_wdata = bus.rdata; - - write_fifo_wdata = n64_pi_ad_input; - end - - always_ff @(posedge sys.clk) begin - read_fifo_read <= 1'b0; - write_fifo_write <= 1'b0; - - if (sys.reset || sys.n64_hard_reset) begin - wait_for_read_fifo <= 1'b0; - wait_for_write_fifo <= 1'b0; - end else if (n64_pi_address_valid) begin - if (read_op || wait_for_read_fifo) begin - if (read_fifo_empty) begin - wait_for_read_fifo <= 1'b1; - end else begin - n64_pi_ad_output_data <= read_fifo_rdata; - read_fifo_read <= 1'b1; - wait_for_read_fifo <= 1'b0; - end - end - if (write_op || wait_for_write_fifo) begin - if (write_fifo_full) begin - wait_for_write_fifo <= 1'b1; - end else begin - write_fifo_write <= 1'b1; - wait_for_write_fifo <= 1'b0; - end - end - end - end - - always_comb begin - bus.read_op = read_op; - bus.write_op = write_op; - end - - always_ff @(posedge sys.clk) begin - if (aleh_op) begin - bus.real_address[31:16] <= n64_pi_ad_input; - end - if (alel_op) begin - bus.real_address[15:0] <= {n64_pi_ad_input[15:1], 1'b0}; - end - if (read_op || write_op) begin - bus.real_address <= bus.real_address + 2'd2; - end + always_ff @(posedge clk) begin + n64_pi_ad_oe <= pi_reset && (pi_mode == PI_MODE_VALID) && !last_read && (read_port != PORT_NONE); + n64_pi_ad_out <= n64_pi_dq_out; + n64_pi_dq_in <= n64_pi_ad; end // Address decoding - const bit [31:0] DDIPL_OFFSET = 32'h0780_0000; - const bit [31:0] BUFFERS_OFFSET = 32'h07C0_0000; - const bit [31:0] SAVE_OFFSET = 32'h07EE_0000; + const bit [31:0] DDIPL_OFFSET = 32'h03BC_0000; + const bit [31:0] SAVE_OFFSET = 32'h03FC_0000; + const bit [31:0] BUFFER_OFFSET = 32'h03FE_0000; + const bit [31:0] FLASH_OFFSET = 32'h0400_0000; + const bit [31:0] BOOTLOADER_OFFSET = 32'h04E0_0000; + const bit [31:0] SHADOW_OFFSET = 32'h04FC_0000; - sc64::e_n64_id next_id; - logic [31:0] next_offset; - logic sram_selected; + logic [31:0] mem_offset; - always_ff @(posedge sys.clk) begin - if (aleh_op) begin - n64_pi_address_valid <= 1'b0; - next_id <= sc64::__ID_N64_END; - next_offset <= 32'd0; - sram_selected <= 1'b0; - if (cfg.dd_enabled) begin - if (n64_pi_ad_input == 16'h0500) begin - n64_pi_address_valid <= 1'b1; - next_id <= sc64::ID_N64_DD; - next_offset <= (-32'h0500_0000); + always_ff @(posedge clk) begin + if (reset) begin + read_port <= PORT_NONE; + write_port <= PORT_NONE; + reg_bus.dd_select <= 1'b0; + reg_bus.flashram_select <= 1'b0; + reg_bus.cfg_select <= 1'b0; + end else if (aleh_op) begin + read_port <= PORT_NONE; + write_port <= PORT_NONE; + mem_offset <= 32'd0; + reg_bus.dd_select <= 1'b0; + reg_bus.flashram_select <= 1'b0; + reg_bus.cfg_select <= 1'b0; + + if (n64_scb.dd_enabled) begin + if (n64_pi_dq_in == 16'h0500) begin + read_port <= PORT_REG; + write_port <= PORT_REG; + reg_bus.dd_select <= 1'b1; end - if (n64_pi_ad_input >= 16'h0600 && n64_pi_ad_input < 16'h0640) begin - n64_pi_address_valid <= 1'b1; - next_id <= sc64::ID_N64_SDRAM; - next_offset <= (-32'h0600_0000) + DDIPL_OFFSET; + if (n64_pi_dq_in >= 16'h0600 && n64_pi_dq_in < 16'h0640) begin + read_port <= PORT_MEM; + write_port <= PORT_NONE; + mem_offset <= (-32'h0600_0000) + DDIPL_OFFSET; end end - if (cfg.flashram_enabled) begin - if (n64_pi_ad_input >= 16'h0800 && n64_pi_ad_input < 16'h0802) begin - n64_pi_address_valid <= 1'b1; - next_id <= sc64::ID_N64_FLASHRAM; - if (cfg.flashram_read_mode) begin - next_offset <= (-32'h0800_0000) + SAVE_OFFSET; + + if (n64_scb.flashram_enabled) begin + if (n64_pi_dq_in >= 16'h0800 && n64_pi_dq_in < 16'h0802) begin + read_port <= PORT_REG; + write_port <= PORT_REG; + mem_offset <= (-32'h0800_0000) + SAVE_OFFSET; + reg_bus.flashram_select <= 1'b1; + if (n64_scb.flashram_read_mode) begin + read_port <= PORT_MEM; end end - end else if (cfg.sram_enabled) begin - if (cfg.sram_banked) begin - if (n64_pi_ad_input >= 16'h0800 && n64_pi_ad_input < 16'h0810) begin - if (n64_pi_ad_input[3:2] != 2'b11 && n64_pi_ad_input[1:0] == 2'b00) begin - n64_pi_address_valid <= 1'b1; - next_id <= sc64::ID_N64_SDRAM; - next_offset <= (-32'h0800_0000) - {n64_pi_ad_input[3:2], 18'd0} + {n64_pi_ad_input[3:2], 15'd0} + SAVE_OFFSET; - sram_selected <= 1'b1; + end else if (n64_scb.sram_enabled) begin + if (n64_scb.sram_banked) begin + if (n64_pi_dq_in >= 16'h0800 && n64_pi_dq_in < 16'h0810) begin + if (n64_pi_dq_in[3:2] != 2'b11 && n64_pi_dq_in[1:0] == 2'b00) begin + read_port <= PORT_MEM; + write_port <= PORT_MEM; + mem_offset <= (-32'h0800_0000) - {n64_pi_dq_in[3:2], 18'd0} + {n64_pi_dq_in[3:2], 15'd0} + SAVE_OFFSET; end end end else begin - if (n64_pi_ad_input == 16'h0800) begin - n64_pi_address_valid <= 1'b1; - next_id <= sc64::ID_N64_SDRAM; - next_offset <= (-32'h0800_0000) + SAVE_OFFSET; - sram_selected <= 1'b1; + if (n64_pi_dq_in >= 16'h0800 && n64_pi_dq_in < 16'h0802) begin + read_port <= PORT_MEM; + write_port <= PORT_MEM; + mem_offset <= (-32'h0800_0000) + SAVE_OFFSET; end end end - if (n64_pi_ad_input >= 16'h1000 && n64_pi_ad_input < 16'h1800) begin - n64_pi_address_valid <= 1'b1; - next_id <= cfg.sdram_switch ? sc64::ID_N64_SDRAM : sc64::ID_N64_BOOTLOADER; - next_offset <= (-32'h1000_0000); + + if (n64_scb.bootloader_enabled) begin + if (n64_pi_dq_in >= 16'h1000 && n64_pi_dq_in < 16'h101C) begin + read_port <= PORT_MEM; + write_port <= PORT_NONE; + mem_offset <= (-32'h1000_0000) + BOOTLOADER_OFFSET; + end + end else begin + if (n64_pi_dq_in >= 16'h1000 && n64_pi_dq_in < 16'h1400) begin + read_port <= PORT_MEM; + write_port <= n64_scb.rom_write_enabled ? PORT_MEM : PORT_NONE; + mem_offset <= (-32'h1000_0000); + end end - if (n64_pi_ad_input >= 16'h1F80 && n64_pi_ad_input < 16'h1FC0) begin - n64_pi_address_valid <= 1'b1; - next_id <= sc64::ID_N64_SDRAM; - next_offset <= (-32'h1F80_0000) + BUFFERS_OFFSET; + + if (n64_scb.rom_shadow_enabled) begin + if (n64_pi_dq_in >= 16'h13FC && n64_pi_dq_in < 16'h1400) begin + read_port <= PORT_MEM; + write_port <= PORT_NONE; + mem_offset <= (-32'h13FC_0000) + SHADOW_OFFSET; + end end - if (n64_pi_ad_input == 16'h1FFF) begin - n64_pi_address_valid <= 1'b1; - next_id <= sc64::ID_N64_CFG; + + if (n64_pi_dq_in >= 16'h1400 && n64_pi_dq_in < 16'h14E0) begin + read_port <= PORT_MEM; + write_port <= PORT_NONE; + mem_offset <= (-32'h1400_0000) + FLASH_OFFSET; + end + + if (n64_pi_dq_in >= 16'h1FFC && n64_pi_dq_in < 16'h1FFE) begin + read_port <= PORT_MEM; + write_port <= PORT_MEM; + mem_offset <= (-32'h1FFC_0000) + BUFFER_OFFSET; + end + + if (n64_pi_dq_in >= 16'h1FFE && n64_pi_dq_in < 16'h2000) begin + read_port <= PORT_REG; + write_port <= PORT_REG; + reg_bus.cfg_select <= 1'b1; end end end - // Bus controller + // Mem bus read FIFO controller + + logic read_fifo_full; + logic read_fifo_write; + logic [15:0] read_fifo_wdata; + + logic read_fifo_empty; + logic read_fifo_read; + logic [15:0] read_fifo_rdata; + + logic read_fifo_wait; + + n64_pi_fifo read_fifo_inst ( + .clk(clk), + .reset(reset), + + .flush(reset || !pi_reset || alel_op), + + .full(read_fifo_full), + .write(read_fifo_write), + .wdata(read_fifo_wdata), + + .empty(read_fifo_empty), + .read(read_fifo_read), + .rdata(read_fifo_rdata) + ); + + always_ff @(posedge clk) begin + read_fifo_read <= 1'b0; + + if (reset || !pi_reset || alel_op) begin + read_fifo_wait <= 1'b0; + end + + if (read_port == PORT_MEM) begin + if (read_op) begin + if (read_fifo_empty) begin + read_fifo_wait <= 1'b1; + end else begin + read_fifo_read <= 1'b1; + n64_pi_dq_out <= read_fifo_rdata; + end + end + + if (!read_fifo_empty && read_fifo_wait) begin + read_fifo_read <= 1'b1; + read_fifo_wait <= 1'b0; + n64_pi_dq_out <= read_fifo_rdata; + end + end + + if (read_port == PORT_REG) begin + if (read_op) begin + n64_pi_dq_out <= reg_bus.rdata; + end + end + end + + + // Mem bus write FIFO controller + + logic write_fifo_full; + logic write_fifo_write; + logic [15:0] write_fifo_wdata; + + logic write_fifo_empty; + logic write_fifo_read; + logic [15:0] write_fifo_rdata; + + logic write_fifo_wait; + + n64_pi_fifo write_fifo_inst ( + .clk(clk), + .reset(reset), + + .flush(reset), + + .full(write_fifo_full), + .write(write_fifo_write), + .wdata(write_fifo_wdata), + + .empty(write_fifo_empty), + .read(write_fifo_read), + .rdata(write_fifo_rdata) + ); + + always_ff @(posedge clk) begin + write_fifo_write <= 1'b0; + + if (reset) begin + write_fifo_wait <= 1'b0; + end + + if (write_port == PORT_MEM) begin + if (write_op) begin + if (write_fifo_full) begin + write_fifo_wait <= 1'b1; + end else begin + write_fifo_write <= 1'b1; + write_fifo_wdata <= n64_pi_dq_in; + end + end + + if (!write_fifo_full && write_fifo_wait) begin + write_fifo_write <= 1'b1; + write_fifo_wait <= 1'b0; + write_fifo_wdata <= n64_pi_dq_in; + end + end + end + + + // Mem bus controller - logic can_read; - logic first_write_op; - logic load_starting_address; - sc64::e_n64_id starting_id; logic [31:0] starting_address; + logic load_starting_address; + logic read_enabled; + logic first_write_op; - always_ff @(posedge sys.clk) begin - read_fifo_flush <= 1'b0; - + always_ff @(posedge clk) begin write_fifo_read <= 1'b0; + load_starting_address <= 1'b0; - if (sys.reset || sys.n64_hard_reset) begin - bus.request <= 1'b0; - read_fifo_flush <= 1'b1; - write_fifo_flush <= 1'b1; + if (reset || !pi_reset) begin + mem_bus.request <= 1'b0; + read_enabled <= 1'b0; end else begin - write_fifo_flush <= starting_id == sc64::ID_N64_SDRAM && !cfg.sdram_writable && !sram_selected; - if (aleh_op) begin - starting_address[31:16] <= n64_pi_ad_input; + starting_address[31:16] <= n64_pi_dq_in; end if (alel_op) begin - read_fifo_flush <= 1'b1; - can_read <= 1'b1; - first_write_op <= 1'b1; + starting_address <= {starting_address[31:16], n64_pi_dq_in} + mem_offset; load_starting_address <= 1'b1; - starting_id <= next_id; - starting_address <= {starting_address[31:16], n64_pi_ad_input[15:1], 1'b0}; + read_enabled <= 1'b1; + first_write_op <= 1'b1; end - if (write_op) begin - can_read <= 1'b0; - if (first_write_op) begin - first_write_op <= 1'b0; - load_starting_address <= 1'b1; - end + if (load_starting_address) begin + mem_bus.address <= starting_address; end - if (!bus.request) begin - if (!write_fifo_empty) begin - bus.request <= 1'b1; - bus.write <= 1'b1; - if (load_starting_address) begin - bus.id <= starting_id; - bus.address <= starting_address + next_offset; - load_starting_address <= 1'b0; - end - bus.wdata <= write_fifo_rdata; + if (!mem_bus.request) begin + if ((write_port == PORT_MEM) && !write_fifo_empty) begin + mem_bus.request <= 1'b1; + mem_bus.write <= 1'b1; + mem_bus.wdata <= write_fifo_rdata; write_fifo_read <= 1'b1; - end else if (!read_fifo_full && can_read) begin - bus.request <= 1'b1; - bus.write <= 1'b0; - if (load_starting_address) begin - bus.id <= (starting_id == sc64::ID_N64_FLASHRAM && cfg.flashram_read_mode) ? sc64::ID_N64_SDRAM : starting_id; - bus.address <= starting_address + next_offset; - load_starting_address <= 1'b0; + read_enabled <= 1'b0; + if (first_write_op) begin + mem_bus.address <= starting_address; + first_write_op <= 1'b0; end + end else if ((read_port == PORT_MEM) && !read_fifo_full && read_enabled) begin + mem_bus.request <= 1'b1; + mem_bus.write <= 1'b0; end - end else if (bus.ack) begin - bus.request <= 1'b0; - bus.address <= bus.address + 2'd2; + end + + if (mem_bus.ack) begin + mem_bus.request <= 1'b0; + mem_bus.address[16:0] <= mem_bus.address[16:0] + 2'd2; end if (end_op) begin - can_read <= 1'b0; + read_enabled <= 1'b0; end end end + always_comb begin + read_fifo_write = !mem_bus.write && mem_bus.ack; + read_fifo_wdata = mem_bus.rdata; + mem_bus.wmask = 2'b11; + end + + + // Reg bus controller + + always_ff @(posedge clk) begin + if (aleh_op) begin + reg_bus.address[16] <= n64_pi_dq_in[0]; + end + + if (alel_op) begin + reg_bus.address[15:0] <= n64_pi_dq_in; + end + + if (read_op || write_op) begin + reg_bus.address <= reg_bus.address + 2'd2; + end + end + + always_comb begin + reg_bus.read = read_op && (read_port == PORT_REG); + reg_bus.write = write_op && (write_port == PORT_REG); + reg_bus.wdata = n64_pi_dq_in; + end + endmodule diff --git a/fw/rtl/n64/n64_pi_fifo.sv b/fw/rtl/n64/n64_pi_fifo.sv index 63dde9e..80c27bd 100644 --- a/fw/rtl/n64/n64_pi_fifo.sv +++ b/fw/rtl/n64/n64_pi_fifo.sv @@ -1,5 +1,6 @@ module n64_pi_fifo ( - if_system.sys sys, + input clk, + input reset, input flush, @@ -18,15 +19,13 @@ module n64_pi_fifo ( logic empty_or_full; - always_comb begin - rdata = fifo_mem[fifo_rd_ptr[1:0]]; - empty_or_full = fifo_wr_ptr[1:0] == fifo_rd_ptr[1:0]; - empty = empty_or_full && fifo_wr_ptr[2] == fifo_rd_ptr[2]; - full = empty_or_full && fifo_wr_ptr[2] != fifo_rd_ptr[2]; - end + assign rdata = fifo_mem[fifo_rd_ptr[1:0]]; + assign empty_or_full = fifo_wr_ptr[1:0] == fifo_rd_ptr[1:0]; + assign empty = empty_or_full && fifo_wr_ptr[2] == fifo_rd_ptr[2]; + assign full = empty_or_full && fifo_wr_ptr[2] != fifo_rd_ptr[2]; - always_ff @(posedge sys.clk) begin - if (sys.reset || flush) begin + always_ff @(posedge clk) begin + if (reset || flush) begin fifo_wr_ptr <= 3'd0; fifo_rd_ptr <= 3'd0; end else begin diff --git a/fw/rtl/n64/n64_reg_bus.sv b/fw/rtl/n64/n64_reg_bus.sv new file mode 100644 index 0000000..7e59f42 --- /dev/null +++ b/fw/rtl/n64/n64_reg_bus.sv @@ -0,0 +1,66 @@ +interface n64_reg_bus (); + + logic dd_select; + logic flashram_select; + logic cfg_select; + + logic read; + logic write; + logic [16:0] address; + logic [15:0] rdata; + logic [15:0] wdata; + + logic [15:0] dd_rdata; + logic [15:0] flashram_rdata; + logic [15:0] cfg_rdata; + + modport controller ( + output dd_select, + output flashram_select, + output cfg_select, + + output read, + output write, + output address, + input rdata, + output wdata + ); + + always_comb begin + rdata = 16'd0; + if (dd_select) begin + rdata = dd_rdata; + end + if (flashram_select) begin + rdata = flashram_rdata; + end + if (cfg_select) begin + rdata = cfg_rdata; + end + end + + modport dd ( + input .read(read && dd_select), + input .write(write && dd_select), + input address, + output .rdata(dd_rdata), + input wdata + ); + + modport flashram ( + input .read(read && flashram_select), + input .write(write && flashram_select), + input address, + output .rdata(flashram_rdata), + input wdata + ); + + modport cfg ( + input .read(read && cfg_select), + input .write(write && cfg_select), + input address, + output .rdata(cfg_rdata), + input wdata + ); + +endinterface diff --git a/fw/rtl/n64/n64_scb.sv b/fw/rtl/n64/n64_scb.sv new file mode 100644 index 0000000..2bed127 --- /dev/null +++ b/fw/rtl/n64/n64_scb.sv @@ -0,0 +1,141 @@ +interface n64_scb (); + + logic n64_reset; + logic n64_nmi; + + logic bootloader_enabled; + logic rom_write_enabled; + logic rom_shadow_enabled; + logic sram_enabled; + logic sram_banked; + logic flashram_enabled; + logic flashram_read_mode; + logic dd_enabled; + logic eeprom_enabled; + logic eeprom_16k_mode; + + logic flashram_pending; + logic flashram_done; + logic [9:0] flashram_sector; + logic flashram_sector_or_all; + logic flashram_write_or_erase; + logic [5:0] flashram_buffer_address; + logic [15:0] flashram_buffer_rdata; + + logic cfg_pending; + logic cfg_done; + logic cfg_error; + logic cfg_irq; + logic [7:0] cfg_cmd; + logic [31:0] cfg_rdata [0:1]; + logic [31:0] cfg_wdata [0:1]; + logic [31:0] cfg_version; + + logic eeprom_write; + logic [10:0] eeprom_address; + logic [7:0] eeprom_rdata; + logic [7:0] eeprom_wdata; + + logic rtc_pending; + logic rtc_done; + logic [41:0] rtc_rdata; + logic [41:0] rtc_wdata; + + modport controller ( + input n64_reset, + input n64_nmi, + + output bootloader_enabled, + output rom_write_enabled, + output rom_shadow_enabled, + output sram_enabled, + output sram_banked, + output flashram_enabled, + output dd_enabled, + output eeprom_enabled, + output eeprom_16k_mode, + + input flashram_pending, + output flashram_done, + input flashram_sector, + input flashram_sector_or_all, + input flashram_write_or_erase, + output flashram_buffer_address, + input flashram_buffer_rdata, + + input cfg_pending, + output cfg_done, + output cfg_error, + output cfg_irq, + input cfg_cmd, + input cfg_rdata, + output cfg_wdata, + output cfg_version, + + output eeprom_write, + output eeprom_address, + input eeprom_rdata, + output eeprom_wdata, + + input rtc_pending, + output rtc_done, + input rtc_rdata, + output rtc_wdata + ); + + modport pi ( + output n64_reset, + output n64_nmi, + + input bootloader_enabled, + input rom_write_enabled, + input rom_shadow_enabled, + input sram_enabled, + input sram_banked, + input flashram_enabled, + input flashram_read_mode, + input dd_enabled + ); + + // modport dd ( + + // ); + + modport flashram ( + output flashram_read_mode, + output flashram_pending, + input flashram_done, + output flashram_sector, + output flashram_sector_or_all, + output flashram_write_or_erase, + input flashram_buffer_address, + output flashram_buffer_rdata + ); + + modport cfg ( + output cfg_pending, + input cfg_done, + input cfg_error, + input cfg_irq, + output cfg_cmd, + output cfg_rdata, + input cfg_wdata, + input cfg_version + ); + + modport si ( + input eeprom_enabled, + input eeprom_16k_mode, + + input eeprom_write, + input eeprom_address, + output eeprom_rdata, + input eeprom_wdata, + + output rtc_pending, + input rtc_done, + output rtc_rdata, + input rtc_wdata + ); + +endinterface diff --git a/fw/rtl/n64/n64_sdram.sv b/fw/rtl/n64/n64_sdram.sv deleted file mode 100644 index e95eb2e..0000000 --- a/fw/rtl/n64/n64_sdram.sv +++ /dev/null @@ -1,90 +0,0 @@ -module n64_sdram ( - if_system sys, - if_n64_bus bus, - if_memory_dma.memory usb_dma, - if_sdram.memory sdram, - - output sdram_cs, - output sdram_ras, - output sdram_cas, - output sdram_we, - output [1:0] sdram_ba, - output [12:0] sdram_a, - inout [15:0] sdram_dq -); - - logic mem_request; - logic mem_ack; - logic mem_write; - logic [31:0] mem_address; - logic [15:0] mem_rdata; - logic [15:0] mem_wdata; - - typedef enum bit [1:0] { - T_BUS, - T_SDRAM, - T_USB_DMA - } e_source_request; - - e_source_request source_request; - - always_ff @(posedge sys.clk) begin - if (sys.reset) begin - mem_request <= 1'b0; - end else begin - if (!mem_request && (bus.request || sdram.request || usb_dma.request)) begin - mem_request <= 1'b1; - if (bus.request) begin - mem_write <= bus.write; - mem_address <= bus.address; - mem_wdata <= bus.wdata; - source_request <= T_BUS; - end else if (sdram.request) begin - mem_write <= sdram.write; - mem_address <= sdram.address; - mem_wdata <= sdram.wdata; - source_request <= T_SDRAM; - end else if (usb_dma.request) begin - mem_write <= usb_dma.write; - mem_address <= usb_dma.address; - mem_wdata <= usb_dma.wdata; - source_request <= T_USB_DMA; - end - end - if (mem_ack) begin - mem_request <= 1'b0; - end - end - end - - always_comb begin - bus.ack = source_request == T_BUS && mem_ack; - bus.rdata = bus.ack ? mem_rdata : 16'd0; - - sdram.ack = source_request == T_SDRAM && mem_ack; - sdram.rdata = mem_rdata; - - usb_dma.ack = source_request == T_USB_DMA && mem_ack; - usb_dma.rdata = mem_rdata; - end - - memory_sdram memory_sdram_inst ( - .sys(sys), - - .request(mem_request), - .ack(mem_ack), - .write(mem_write), - .address(mem_address[25:0]), - .rdata(mem_rdata), - .wdata(mem_wdata), - - .sdram_cs(sdram_cs), - .sdram_ras(sdram_ras), - .sdram_cas(sdram_cas), - .sdram_we(sdram_we), - .sdram_ba(sdram_ba), - .sdram_a(sdram_a), - .sdram_dq(sdram_dq) - ); - -endmodule diff --git a/fw/rtl/n64/n64_si.sv b/fw/rtl/n64/n64_si.sv index 6ecac5d..abac4e3 100644 --- a/fw/rtl/n64/n64_si.sv +++ b/fw/rtl/n64/n64_si.sv @@ -1,164 +1,253 @@ -interface if_si (); - - logic rx_reset; - logic rx_ready; - logic [6:0] rx_length; - logic [80:0] rx_data; - - logic tx_reset; - logic tx_start; - logic tx_busy; - logic [2:0] tx_wmask; - logic [6:0] tx_length; - logic [31:0] tx_data; - - modport si ( - input rx_reset, - output rx_ready, - output rx_length, - output rx_data, - input tx_reset, - input tx_start, - output tx_busy, - input tx_wmask, - input tx_length, - input tx_data - ); - - modport cpu ( - output rx_reset, - input rx_ready, - input rx_length, - input rx_data, - output tx_reset, - output tx_start, - input tx_busy, - output tx_wmask, - output tx_length, - output tx_data - ); - -endinterface - module n64_si ( - if_system.sys sys, - if_si.si si, + input clk, + input reset, + n64_scb.si n64_scb, + + input n64_reset, input n64_si_clk, inout n64_si_dq ); - // Control signals and input synchronization + // Input/output synchronization + logic [1:0] n64_reset_ff; logic [1:0] n64_si_clk_ff; - always_ff @(posedge sys.clk) begin + always_ff @(posedge clk) begin + n64_reset_ff <= {n64_reset_ff[0], n64_reset}; n64_si_clk_ff <= {n64_si_clk_ff[0], n64_si_clk}; end logic si_reset; logic si_clk; - logic si_dq; always_comb begin - si_reset = sys.n64_hard_reset; + si_reset = n64_reset_ff[1]; si_clk = n64_si_clk_ff[1]; - si_dq = n64_si_dq; end + logic si_dq_oe; + logic si_dq_out; + logic si_dq_in; + + assign n64_si_dq = si_dq_oe ? 1'b0 : 1'bZ; + + always_ff @(posedge clk) begin + si_dq_oe <= ~si_dq_out; + si_dq_in <= n64_si_dq; + end + + + // Clock falling/rising event generator + logic last_si_clk; - always_ff @(posedge sys.clk) begin + always_ff @(posedge clk) begin last_si_clk <= si_clk; end - logic si_clk_rising_edge; logic si_clk_falling_edge; + logic si_clk_rising_edge; always_comb begin - si_clk_rising_edge = !si_reset && !last_si_clk && si_clk; - si_clk_falling_edge = !si_reset && last_si_clk && !si_clk; - end - - logic si_dq_output_enable; - logic si_dq_output_enable_data; - - always_ff @(posedge sys.clk) begin - si_dq_output_enable <= si_dq_output_enable_data; - end - - always_comb begin - n64_si_dq = si_dq_output_enable ? 1'b0 : 1'bZ; + si_clk_falling_edge = si_reset && last_si_clk && !si_clk; + si_clk_rising_edge = si_reset && !last_si_clk && si_clk; end - // Data register and shifter + // Data falling/rising event generator - logic [80:0] trx_data; - logic rx_shift; - logic tx_shift; + logic last_si_dq_in; - always_comb begin - si.rx_data = trx_data; - end - - always_ff @(posedge sys.clk) begin - if (si.tx_wmask[0]) trx_data[80:49] <= si.tx_data; - if (si.tx_wmask[1]) trx_data[48:17] <= si.tx_data; - if (si.tx_wmask[2]) trx_data[16:0] <= si.tx_data[16:0]; - - if (rx_shift || tx_shift) begin - trx_data <= {trx_data[79:0], rx_sub_bit_counter < 2'd2}; + always_ff @(posedge clk) begin + if (si_clk_rising_edge) begin + last_si_dq_in <= si_dq_in; end end + logic si_dq_falling_edge; + logic si_dq_rising_edge; + + always_comb begin + si_dq_falling_edge = si_clk_rising_edge && last_si_dq_in && !si_dq_in; + si_dq_rising_edge = si_clk_rising_edge && !last_si_dq_in && si_dq_in; + end + + + // RX bit generator + + logic [3:0] rx_sub_bit_counter; + logic rx_timeout; + logic rx_bit_valid; + logic rx_bit_data; + + always_ff @(posedge clk) begin + if (si_clk_rising_edge && !(&rx_sub_bit_counter)) begin + rx_sub_bit_counter <= rx_sub_bit_counter + 1'd1; + end + if (si_dq_falling_edge) begin + rx_sub_bit_counter <= 4'd0; + end + end + + always_comb begin + rx_timeout = si_clk_rising_edge && si_dq_in && (&rx_sub_bit_counter); + rx_bit_valid = si_dq_rising_edge; + rx_bit_data = (rx_sub_bit_counter >= 4'd3) ? 1'b0 : 1'b1; + end + + + // RX byte generator + + logic [2:0] rx_bit_counter; + logic rx_byte_valid; + logic [7:0] rx_byte_data; + + always_ff @(posedge clk) begin + rx_byte_valid <= 1'b0; + if (rx_timeout) begin + rx_bit_counter <= 3'd0; + end + if (rx_bit_valid) begin + rx_bit_counter <= rx_bit_counter + 1'd1; + rx_byte_data <= {rx_byte_data[6:0], rx_bit_data}; + if (&rx_bit_counter) begin + rx_byte_valid <= 1'b1; + end + end + end + + + // RX stop generator + + logic rx_stop; + + always_comb begin + rx_stop = si_clk_rising_edge && si_dq_in && (rx_sub_bit_counter == 4'd7) && (rx_bit_counter == 3'd1); + end + + + // TX byte/stop generator + + logic tx_busy; + logic [2:0] tx_sub_bit_counter; + logic [2:0] tx_bit_counter; + logic [7:0] tx_shift; + logic tx_start; + logic tx_stop; + logic tx_byte_valid; + logic [7:0] tx_byte_data; + + always_ff @(posedge clk) begin + if (reset) begin + si_dq_out <= 1'b1; + tx_busy <= 1'b0; + end else begin + if (tx_busy) begin + if (si_clk_falling_edge) begin + tx_sub_bit_counter <= tx_sub_bit_counter + 1'd1; + if (&tx_sub_bit_counter) begin + tx_bit_counter <= tx_bit_counter + 1'd1; + tx_shift <= {tx_shift[6:0], 1'bX}; + if (&tx_bit_counter) begin + tx_busy <= 1'b0; + end + end + if (tx_shift[7]) begin + si_dq_out <= !(tx_sub_bit_counter < 3'd2); + end else begin + si_dq_out <= !(tx_sub_bit_counter < 3'd6); + end + end + end else begin + if (tx_byte_valid) begin + tx_busy <= 1'b1; + tx_sub_bit_counter <= 3'd0; + tx_bit_counter <= 3'd0; + tx_shift <= tx_byte_data; + end else if (tx_stop) begin + tx_busy <= 1'b1; + tx_sub_bit_counter <= 3'd0; + tx_bit_counter <= 3'd7; + tx_shift <= 8'hFF; + end + end + end + end + + + // Joybus CMDs + + typedef enum bit [7:0] { + CMD_EEPROM_STATUS = 8'h00, + CMD_EEPROM_READ = 8'h04, + CMD_EEPROM_WRITE = 8'h05, + CMD_RTC_STATUS = 8'h06, + CMD_RTC_READ = 8'h07, + CMD_RTC_WRITE = 8'h08 + } e_cmd; + + e_cmd cmd; + // RX path - typedef enum bit [0:0] { - S_RX_IDLE, - S_RX_WAITING + typedef enum bit [1:0] { + RX_STATE_IDLE, + RX_STATE_DATA, + RX_STATE_IGNORE } e_rx_state; e_rx_state rx_state; + logic [3:0] rx_byte_counter; + logic rx_data_valid; - logic [1:0] rx_sub_bit_counter; - logic [3:0] rx_timeout_counter; + always_comb begin + rx_data_valid = rx_byte_valid && (rx_state == RX_STATE_DATA); + end - always_ff @(posedge sys.clk) begin - rx_shift <= 1'b0; + always_ff @(posedge clk) begin + tx_start <= 1'b0; - if (si_clk_rising_edge) begin - if (rx_timeout_counter < 4'd8) begin - rx_timeout_counter <= rx_timeout_counter + 1'd1; - end else if (si.rx_length > 7'd0) begin - si.rx_ready <= 1'b1; - end + if (rx_byte_valid) begin + rx_byte_counter <= rx_byte_counter + 1'd1; end - if (sys.reset || si.rx_reset) begin - rx_state <= S_RX_IDLE; - si.rx_ready <= 1'b0; - si.rx_length <= 7'd0; - end else if (!si.tx_busy) begin + if (reset || rx_timeout) begin + rx_state <= RX_STATE_IDLE; + end else begin case (rx_state) - S_RX_IDLE: begin - if (si_clk_rising_edge && !si_dq) begin - rx_state <= S_RX_WAITING; - rx_sub_bit_counter <= 2'd0; - rx_timeout_counter <= 3'd0; + RX_STATE_IDLE: begin + if (rx_byte_valid) begin + cmd <= e_cmd'(rx_byte_data); + rx_byte_counter <= 4'd0; + rx_state <= RX_STATE_IGNORE; + case (rx_byte_data) + CMD_EEPROM_STATUS, + CMD_EEPROM_READ, + CMD_EEPROM_WRITE: begin + rx_state <= n64_scb.eeprom_enabled ? RX_STATE_DATA : RX_STATE_IGNORE; + end + CMD_RTC_STATUS, + CMD_RTC_READ, + CMD_RTC_WRITE: begin + rx_state <= RX_STATE_DATA; + end + endcase end end - S_RX_WAITING: begin - if (si_clk_rising_edge) begin - if (si_dq) begin - rx_state <= S_RX_IDLE; - rx_shift <= 1'b1; - si.rx_length <= si.rx_length + 1'd1; - end else if (rx_sub_bit_counter < 2'd3) begin - rx_sub_bit_counter <= rx_sub_bit_counter + 1'd1; - end + RX_STATE_DATA: begin + if (rx_stop) begin + tx_start <= 1'b1; + rx_state <= RX_STATE_IGNORE; + end + end + + RX_STATE_IGNORE: begin + if (rx_stop) begin + rx_state <= RX_STATE_IDLE; end end endcase @@ -168,57 +257,227 @@ module n64_si ( // TX path - typedef enum bit [0:0] { - S_TX_IDLE, - S_TX_SENDING + typedef enum bit [1:0] { + TX_STATE_IDLE, + TX_STATE_DATA, + TX_STATE_STOP } e_tx_state; e_tx_state tx_state; - logic [2:0] tx_sub_bit_counter; - logic [6:0] tx_bit_counter; + logic [3:0] tx_byte_counter; + logic [3:0] tx_length; - always_ff @(posedge sys.clk) begin - tx_shift <= 1'b0; + always_ff @(posedge clk) begin + tx_byte_valid <= 1'b0; + tx_stop <= 1'b0; - if (sys.reset || si.tx_reset) begin - tx_state <= S_TX_IDLE; - si_dq_output_enable_data <= 1'b0; - si.tx_busy <= 1'b0; + if (!tx_busy && tx_byte_valid) begin + tx_byte_counter <= tx_byte_counter + 1'd1; + end + + if (reset) begin + tx_state <= TX_STATE_IDLE; end else begin case (tx_state) - S_TX_IDLE: begin - if (si.tx_start) begin - tx_state <= S_TX_SENDING; - tx_sub_bit_counter <= 3'd0; - tx_bit_counter <= si.tx_length; - si.tx_busy <= 1'b1; + TX_STATE_IDLE: begin + if (tx_start) begin + tx_byte_counter <= 4'd0; + tx_state <= TX_STATE_DATA; end end - S_TX_SENDING: begin - if (si_clk_falling_edge) begin - tx_sub_bit_counter <= tx_sub_bit_counter + 1'd1; - if (tx_sub_bit_counter == 3'd7) begin - tx_shift <= 1'b1; - if (tx_bit_counter >= 7'd1) begin - tx_bit_counter <= tx_bit_counter - 1'd1; - end else begin - tx_state <= S_TX_IDLE; - si.tx_busy <= 1'b0; - end - end - if (tx_bit_counter == 7'd0) begin - si_dq_output_enable_data <= tx_sub_bit_counter < 3'd4; - end else if (trx_data[80]) begin - si_dq_output_enable_data <= tx_sub_bit_counter < 3'd2; - end else begin - si_dq_output_enable_data <= tx_sub_bit_counter < 3'd6; + TX_STATE_DATA: begin + tx_byte_valid <= 1'b1; + if (!tx_busy && tx_byte_valid) begin + if (tx_byte_counter == tx_length) begin + tx_state <= TX_STATE_STOP; end end end + + TX_STATE_STOP: begin + tx_stop <= 1'b1; + if (!tx_busy && tx_stop) begin + tx_state <= TX_STATE_IDLE; + end + end endcase end end + + // Joybus address latching + + logic [7:0] joybus_address; + logic [2:0] joybus_subaddress; + logic [10:0] joybus_full_address; + + always_comb begin + joybus_full_address = {joybus_address, joybus_subaddress}; + end + + always_ff @(posedge clk) begin + if (rx_data_valid || (!tx_busy && tx_byte_valid)) begin + joybus_subaddress <= joybus_subaddress + 1'd1; + end + if (rx_data_valid) begin + if (rx_byte_counter == 4'd0) begin + joybus_address <= rx_byte_data; + joybus_subaddress <= 3'd0; + end + end + end + + + // EEPROM controller + + logic [7:0] eeprom_memory [0:2047]; + logic [7:0] eeprom_data; + + always_ff @(posedge clk) begin + eeprom_data <= eeprom_memory[joybus_full_address]; + n64_scb.eeprom_rdata <= eeprom_memory[n64_scb.eeprom_address]; + if (rx_data_valid && (cmd == CMD_EEPROM_WRITE)) begin + if (rx_byte_counter > 4'd0) begin + eeprom_memory[joybus_full_address] <= rx_byte_data; + end + end + if (n64_scb.eeprom_write) begin + eeprom_memory[n64_scb.eeprom_address] <= n64_scb.eeprom_wdata; + end + end + + + // RTC controller + + logic rtc_backup_wp; + logic rtc_time_wp; + logic rtc_stopped; + logic [6:0] rtc_time_second; + logic [6:0] rtc_time_minute; + logic [5:0] rtc_time_hour; + logic [5:0] rtc_time_day; + logic [2:0] rtc_time_weekday; + logic [4:0] rtc_time_month; + logic [7:0] rtc_time_year; + + always_ff @(posedge clk) begin + if (reset) begin + rtc_backup_wp <= 1'b1; + rtc_time_wp <= 1'b1; + rtc_stopped <= 1'b0; + n64_scb.rtc_pending <= 1'b0; + end + + if (n64_scb.rtc_done) begin + n64_scb.rtc_pending <= 1'b0; + end + + if (!rtc_stopped && !n64_scb.rtc_pending && (tx_state != TX_STATE_DATA)) begin + { + rtc_time_year, + rtc_time_month, + rtc_time_weekday, + rtc_time_day, + rtc_time_hour, + rtc_time_minute, + rtc_time_second + } <= n64_scb.rtc_wdata; + end + + if (rx_data_valid && (cmd == CMD_RTC_WRITE)) begin + if (joybus_address[1:0] == 2'd0) begin + case (rx_byte_counter) + 4'd1: {rtc_time_wp, rtc_backup_wp} <= rx_byte_data[1:0]; + 4'd2: begin + rtc_stopped <= rx_byte_data[2]; + if (!rx_byte_data[2]) begin + n64_scb.rtc_pending <= 1'b1; + end + end + endcase + end + if ((joybus_address[1:0] == 2'd2) && !rtc_time_wp) begin + case (rx_byte_counter) + 4'd1: rtc_time_second <= rx_byte_data[6:0]; + 4'd2: rtc_time_minute <= rx_byte_data[6:0]; + 4'd3: rtc_time_hour <= rx_byte_data[5:0]; + 4'd4: rtc_time_day <= rx_byte_data[5:0]; + 4'd5: rtc_time_weekday <= rx_byte_data[2:0]; + 4'd6: rtc_time_month <= rx_byte_data[4:0]; + 4'd7: rtc_time_year <= rx_byte_data; + endcase + end + end + end + + always_comb begin + n64_scb.rtc_rdata = { + rtc_time_year, + rtc_time_month, + rtc_time_weekday, + rtc_time_day, + rtc_time_hour, + rtc_time_minute, + rtc_time_second + }; + end + + + // TX data multiplexer + + always_comb begin + tx_length = 4'd0; + tx_byte_data = 8'h00; + case (cmd) + CMD_EEPROM_STATUS: begin + tx_length = 4'd2; + case (tx_byte_counter) + 4'd1: tx_byte_data = {1'b1, n64_scb.eeprom_16k_mode, 6'd0}; + endcase + end + CMD_EEPROM_READ: begin + tx_length = 4'd7; + tx_byte_data = eeprom_data; + end + CMD_EEPROM_WRITE: begin + tx_length = 4'd0; + end + CMD_RTC_STATUS: begin + tx_length = 4'd2; + case (tx_byte_counter) + 4'd1: tx_byte_data = 8'h10; + 4'd2: tx_byte_data = {rtc_stopped, 7'd0}; + endcase + end + CMD_RTC_READ: begin + tx_length = 4'd8; + if (joybus_address[1:0] == 2'd0) begin + case (tx_byte_counter) + 4'd0: tx_byte_data = {6'd0, rtc_time_wp, rtc_backup_wp}; + 4'd1: tx_byte_data = {5'd0, rtc_stopped, 2'd0}; + 4'd8: tx_byte_data = {rtc_stopped, 7'd0}; + endcase + end else if (joybus_address[1:0] == 2'd2) begin + case (tx_byte_counter) + 4'd0: tx_byte_data = {1'd0, rtc_time_second}; + 4'd1: tx_byte_data = {1'd0, rtc_time_minute}; + 4'd2: tx_byte_data = {2'b10, rtc_time_hour}; + 4'd3: tx_byte_data = {2'd0, rtc_time_day}; + 4'd4: tx_byte_data = {5'd0, rtc_time_weekday}; + 4'd5: tx_byte_data = {3'd0, rtc_time_month}; + 4'd6: tx_byte_data = rtc_time_year; + 4'd7: tx_byte_data = 8'h01; + 4'd8: tx_byte_data = {rtc_stopped, 7'd0}; + endcase + end + end + CMD_RTC_WRITE: begin + tx_length = 4'd0; + tx_byte_data = {rtc_stopped, 7'd0}; + end + endcase + end + endmodule diff --git a/fw/rtl/n64/n64_soc.sv b/fw/rtl/n64/n64_soc.sv deleted file mode 100644 index 52447b4..0000000 --- a/fw/rtl/n64/n64_soc.sv +++ /dev/null @@ -1,97 +0,0 @@ -module n64_soc ( - if_system sys, - if_config cfg, - if_memory_dma.memory usb_dma, - if_sdram.memory sdram, - if_flashram.flashram flashram, - if_si.si si, - if_flash.flash flash, - if_dd dd, - - input n64_pi_alel, - input n64_pi_aleh, - input n64_pi_read, - input n64_pi_write, - inout [15:0] n64_pi_ad, - - input n64_si_clk, - inout n64_si_dq, - - output sdram_cs, - output sdram_ras, - output sdram_cas, - output sdram_we, - output [1:0] sdram_ba, - output [12:0] sdram_a, - inout [15:0] sdram_dq -); - - if_n64_bus bus (); - - n64_pi n64_pi_inst ( - .sys(sys), - .cfg(cfg), - .bus(bus), - - .n64_pi_alel(n64_pi_alel), - .n64_pi_aleh(n64_pi_aleh), - .n64_pi_read(n64_pi_read), - .n64_pi_write(n64_pi_write), - .n64_pi_ad(n64_pi_ad) - ); - - n64_si n64_si_inst ( - .sys(sys), - .si(si), - - .n64_si_clk(n64_si_clk), - .n64_si_dq(n64_si_dq) - ); - - n64_sdram n64_sdram_inst ( - .sys(sys), - .bus(bus.at[sc64::ID_N64_SDRAM].device), - .usb_dma(usb_dma), - .sdram(sdram), - - .sdram_cs(sdram_cs), - .sdram_ras(sdram_ras), - .sdram_cas(sdram_cas), - .sdram_we(sdram_we), - .sdram_ba(sdram_ba), - .sdram_a(sdram_a), - .sdram_dq(sdram_dq) - ); - - n64_bootloader n64_bootloader_inst ( - .sys(sys), - .bus(bus.at[sc64::ID_N64_BOOTLOADER].device), - .cfg(cfg), - .flash(flash) - ); - - n64_flashram n64_flashram_inst ( - .sys(sys), - .bus(bus.at[sc64::ID_N64_FLASHRAM].device), - .cfg(cfg), - .flashram(flashram) - ); - - n64_dd n64_dd_inst ( - .sys(sys), - .bus(bus.at[sc64::ID_N64_DD].device), - .dd(dd) - ); - - n64_dd_sector_buffer n64_dd_sector_buffer_inst ( - .sys(sys), - .dd(dd) - ); - - n64_cfg n64_cfg_inst ( - .sys(sys), - .bus(bus.at[sc64::ID_N64_CFG].device), - .cfg(cfg) - ); - -endmodule diff --git a/fw/rtl/n64/n64_top.sv b/fw/rtl/n64/n64_top.sv new file mode 100644 index 0000000..8fc6017 --- /dev/null +++ b/fw/rtl/n64/n64_top.sv @@ -0,0 +1,92 @@ +module n64_top ( + input clk, + input reset, + + mem_bus.controller mem_bus, + + n64_scb n64_scb, + + input n64_reset, + input n64_nmi, + output n64_irq, + + input n64_pi_alel, + input n64_pi_aleh, + input n64_pi_read, + input n64_pi_write, + inout [15:0] n64_pi_ad, + + input n64_si_clk, + inout n64_si_dq +); + + logic n64_dd_irq; + logic n64_cfg_irq; + + assign n64_irq = (n64_dd_irq || n64_cfg_irq) ? 1'b0 : 1'bZ; + + n64_reg_bus reg_bus (); + + n64_pi n64_pi_inst ( + .clk(clk), + .reset(reset), + + .mem_bus(mem_bus), + .reg_bus(reg_bus), + + .n64_scb(n64_scb), + + .n64_reset(n64_reset), + .n64_nmi(n64_nmi), + .n64_pi_alel(n64_pi_alel), + .n64_pi_aleh(n64_pi_aleh), + .n64_pi_read(n64_pi_read), + .n64_pi_write(n64_pi_write), + .n64_pi_ad(n64_pi_ad) + ); + + assign n64_dd_irq = 1'b0; + + // n64_dd n64_dd_inst ( + // .clk(clk), + // .reset(reset), + + // .reg_bus(reg_bus), + + // .n64_scb(n64_scb), + + // .irq(n64_dd_irq) + // ); + + n64_flashram n64_flashram_inst ( + .clk(clk), + .reset(reset), + + .reg_bus(reg_bus), + + .n64_scb(n64_scb) + ); + + n64_cfg n64_cfg_inst ( + .clk(clk), + .reset(reset), + + .reg_bus(reg_bus), + + .n64_scb(n64_scb), + + .irq(n64_cfg_irq) + ); + + n64_si n64_si_inst ( + .clk(clk), + .reset(reset), + + .n64_scb(n64_scb), + + .n64_reset(n64_reset), + .n64_si_clk(n64_si_clk), + .n64_si_dq(n64_si_dq) + ); + +endmodule diff --git a/fw/rtl/sd/sd_clk.sv b/fw/rtl/sd/sd_clk.sv new file mode 100644 index 0000000..1818bd5 --- /dev/null +++ b/fw/rtl/sd/sd_clk.sv @@ -0,0 +1,46 @@ +module sd_clk ( + input clk, + input reset, + + sd_scb.clk sd_scb, + + output logic sd_clk_rising, + output logic sd_clk_falling, + + output logic sd_clk +); + + logic [7:0] clock_divider; + + always_ff @(posedge clk) begin + clock_divider <= clock_divider + 1'd1; + end + + logic selected_clock; + + always_comb begin + selected_clock = 1'b0; + case (sd_scb.clock_mode) + 2'd0: selected_clock = 1'b0; + 2'd1: selected_clock = clock_divider[7]; + 2'd2: selected_clock = clock_divider[1]; + 2'd3: selected_clock = clock_divider[0]; + endcase + end + + logic last_selected_clock; + + always_ff @(posedge clk) begin + last_selected_clock <= selected_clock; + end + + always_comb begin + sd_clk_rising = !last_selected_clock && selected_clock; + sd_clk_falling = last_selected_clock && !selected_clock; + end + + always_ff @(posedge clk) begin + sd_clk <= last_selected_clock; + end + +endmodule diff --git a/fw/rtl/sd/sd_cmd.sv b/fw/rtl/sd/sd_cmd.sv new file mode 100644 index 0000000..661102f --- /dev/null +++ b/fw/rtl/sd/sd_cmd.sv @@ -0,0 +1,15 @@ +module sd_cmd ( + input clk, + input reset, + + sd_scb.cmd sd_scb, + + input sd_clk_rising, + input sd_clk_falling, + + inout sd_cmd +); + + assign sd_cmd = 1'bZ; + +endmodule diff --git a/fw/rtl/sd/sd_crc_7.sv b/fw/rtl/sd/sd_crc_7.sv new file mode 100644 index 0000000..bfee1a8 --- /dev/null +++ b/fw/rtl/sd/sd_crc_7.sv @@ -0,0 +1,28 @@ +module sd_crc_7 ( + input clk, + input reset, + + input enable, + input data, + + output logic [6:0] result +); + + logic crc_inv; + + assign crc_inv = result[6] ^ data; + + always_ff @(posedge clk) begin + if (reset) begin + result <= 7'd0; + end else if (enable) begin + result <= { + result[5:3], + result[2] ^ crc_inv, + result[1:0], + crc_inv + }; + end + end + +endmodule diff --git a/fw/rtl/sd/sd_scb.sv b/fw/rtl/sd/sd_scb.sv new file mode 100644 index 0000000..1a4d37a --- /dev/null +++ b/fw/rtl/sd/sd_scb.sv @@ -0,0 +1,21 @@ +interface sd_scb (); + + logic [1:0] clock_mode; + + logic [5:0] index; + + modport controller ( + output clock_mode, + + output index + ); + + modport clk ( + input clock_mode + ); + + modport cmd ( + input index + ); + +endinterface diff --git a/fw/rtl/sd/sd_top.sv b/fw/rtl/sd/sd_top.sv new file mode 100644 index 0000000..42b2e46 --- /dev/null +++ b/fw/rtl/sd/sd_top.sv @@ -0,0 +1,43 @@ +module sd_top ( + input clk, + input reset, + + sd_scb sd_scb, + + fifo_bus.fifo fifo_bus, + + output sd_clk, + inout sd_cmd, + inout [3:0] sd_dat +); + + assign sd_dat = 4'hZ; + + logic sd_clk_rising; + logic sd_clk_falling; + + sd_clk sd_clk_inst ( + .clk(clk), + .reset(reset), + + .sd_scb(sd_scb), + + .sd_clk_rising(sd_clk_rising), + .sd_clk_falling(sd_clk_falling), + + .sd_clk(sd_clk) + ); + + sd_cmd sd_cmd_inst ( + .clk(clk), + .reset(reset), + + .sd_scb(sd_scb), + + .sd_clk_rising(sd_clk_rising), + .sd_clk_falling(sd_clk_falling), + + .sd_cmd(sd_cmd) + ); + +endmodule diff --git a/fw/rtl/system/config.sv b/fw/rtl/system/config.sv deleted file mode 100644 index 01652c8..0000000 --- a/fw/rtl/system/config.sv +++ /dev/null @@ -1,76 +0,0 @@ -interface if_config (); - - logic cpu_ready; - logic cpu_busy; - logic cmd_error; - logic cmd_request; - logic [7:0] cmd; - logic [31:0] data [0:1]; - logic [1:0] data_write; - logic [31:0] wdata; - logic sdram_switch; - logic sdram_writable; - logic dd_enabled; - logic sram_enabled; - logic sram_banked; - logic flashram_enabled; - logic flashram_read_mode; - logic flash_erase_start; - logic flash_erase_busy; - logic flash_wp_enable; - logic flash_wp_disable; - - modport pi ( - input sdram_switch, - input sdram_writable, - input dd_enabled, - input sram_enabled, - input sram_banked, - input flashram_enabled, - input flashram_read_mode - ); - - modport flashram ( - output flashram_read_mode - ); - - modport flash ( - input flash_erase_start, - output flash_erase_busy, - input flash_wp_enable, - input flash_wp_disable - ); - - modport n64 ( - input cpu_ready, - input cpu_busy, - input cmd_error, - output cmd_request, - output cmd, - output data, - input data_write, - input wdata - ); - - modport cpu ( - output cpu_ready, - output cpu_busy, - output cmd_error, - input cmd_request, - input cmd, - input data, - output data_write, - output wdata, - output sdram_switch, - output sdram_writable, - output dd_enabled, - output sram_enabled, - output sram_banked, - output flashram_enabled, - output flash_erase_start, - input flash_erase_busy, - output flash_wp_enable, - output flash_wp_disable - ); - -endinterface diff --git a/fw/rtl/system/sc64.sv b/fw/rtl/system/sc64.sv deleted file mode 100644 index 1e0dd73..0000000 --- a/fw/rtl/system/sc64.sv +++ /dev/null @@ -1,22 +0,0 @@ -package sc64; - - typedef enum bit [2:0] { - ID_N64_SDRAM, - ID_N64_BOOTLOADER, - ID_N64_FLASHRAM, - ID_N64_DD, - ID_N64_CFG, - __ID_N64_END - } e_n64_id; - - parameter bit [31:0] SC64_VER = 32'h53437632; - parameter int CLOCK_FREQUENCY = 32'd100_000_000; - parameter int UART_BAUD_RATE = 32'd1_000_000; - -`ifdef DEBUG - parameter bit CPU_HAS_UART = 1'b1; -`else - parameter bit CPU_HAS_UART = 1'b0; -`endif - -endpackage diff --git a/fw/rtl/system/system.sv b/fw/rtl/system/system.sv deleted file mode 100644 index a439629..0000000 --- a/fw/rtl/system/system.sv +++ /dev/null @@ -1,62 +0,0 @@ -interface if_system ( - input in_clk, - input n64_reset, - input n64_nmi -); - - logic clk; - logic sdram_clk; - logic reset; - logic n64_soft_reset; - logic n64_hard_reset; - - modport internal ( - input in_clk, - input n64_reset, - input n64_nmi, - output clk, - output sdram_clk, - output reset, - output n64_soft_reset, - output n64_hard_reset - ); - - modport sys ( - input clk, - input reset, - input n64_soft_reset, - input n64_hard_reset - ); - - modport sdram ( - input sdram_clk - ); - -endinterface - - -module system (if_system.internal sys); - - logic locked; - logic [1:0] n64_reset_ff; - logic [1:0] n64_nmi_ff; - - intel_pll intel_pll_inst ( - .inclk0(sys.in_clk), - .c0(sys.clk), - .c1(sys.sdram_clk), - .locked(locked) - ); - - always_ff @(posedge sys.clk) begin - n64_reset_ff <= {n64_reset_ff[0], sys.n64_reset}; - n64_nmi_ff <= {n64_nmi_ff[0], sys.n64_nmi}; - end - - always_comb begin - sys.reset = ~locked; - sys.n64_hard_reset = ~n64_reset_ff[1]; - sys.n64_soft_reset = ~n64_nmi_ff[1]; - end - -endmodule diff --git a/fw/rtl/top.sv b/fw/rtl/top.sv new file mode 100644 index 0000000..d0031e1 --- /dev/null +++ b/fw/rtl/top.sv @@ -0,0 +1,240 @@ +module top ( + input inclk, + + input n64_reset, + input n64_nmi, + output n64_irq, + + input n64_pi_alel, + input n64_pi_aleh, + input n64_pi_read, + input n64_pi_write, + inout [15:0] n64_pi_ad, + + input n64_si_clk, + inout n64_si_dq, + + input usb_pwrsav, + output usb_clk, + output usb_cs, + input usb_miso, + inout [7:0] usb_miosi, + + input sd_det, + output sd_clk, + inout sd_cmd, + inout [3:0] sd_dat, + + output sdram_clk, + output sdram_cs, + output sdram_ras, + output sdram_cas, + output sdram_we, + output [1:0] sdram_ba, + output [12:0] sdram_a, + output [1:0] sdram_dqm, + inout [15:0] sdram_dq, + + output flash_clk, + output flash_cs, + inout [3:0] flash_dq, + + input button, + + output mcu_int, + input mcu_clk, + input mcu_cs, + input mcu_mosi, + output mcu_miso +); + + logic clk; + logic reset; + + n64_scb n64_scb (); + usb_scb usb_scb (); + dma_scb usb_dma_scb (); + sd_scb sd_scb (); + dma_scb sd_dma_scb (); + flash_scb flash_scb (); + + fifo_bus usb_cfg_fifo_bus (); + fifo_bus usb_dma_fifo_bus (); + fifo_bus usb_fifo_bus (); + fifo_bus sd_fifo_bus (); + + mem_bus n64_mem_bus (); + mem_bus cfg_mem_bus (); + mem_bus usb_dma_mem_bus (); + mem_bus sd_dma_mem_bus (); + mem_bus sdram_mem_bus (); + mem_bus flash_mem_bus (); + + pll pll_inst ( + .inclk(inclk), + .clk(clk), + .sdram_clk(sdram_clk), + .reset(reset) + ); + + + // MCU controller + + mcu_top mcu_top_inst ( + .clk(clk), + .reset(reset), + + .n64_scb(n64_scb), + .usb_scb(usb_scb), + .usb_dma_scb(usb_dma_scb), + .sd_scb(sd_scb), + .sd_dma_scb(sd_dma_scb), + .flash_scb(flash_scb), + + .fifo_bus(usb_cfg_fifo_bus), + .mem_bus(cfg_mem_bus), + + .sd_det(sd_det), + .button(button), + + .mcu_int(mcu_int), + .mcu_clk(mcu_clk), + .mcu_cs(mcu_cs), + .mcu_mosi(mcu_mosi), + .mcu_miso(mcu_miso) + ); + + + // N64 controller + + n64_top n64_top_inst ( + .clk(clk), + .reset(reset), + + .n64_scb(n64_scb), + + .mem_bus(n64_mem_bus), + + .n64_reset(n64_reset), + .n64_nmi(n64_nmi), + .n64_irq(n64_irq), + + .n64_pi_alel(n64_pi_alel), + .n64_pi_aleh(n64_pi_aleh), + .n64_pi_read(n64_pi_read), + .n64_pi_write(n64_pi_write), + .n64_pi_ad(n64_pi_ad), + + .n64_si_clk(n64_si_clk), + .n64_si_dq(n64_si_dq) + ); + + + // USB + + usb_ft1248 usb_ft1248_inst ( + .clk(clk), + .reset(reset), + + .usb_scb(usb_scb), + + .fifo_bus(usb_fifo_bus), + + .usb_pwrsav(usb_pwrsav), + .usb_clk(usb_clk), + .usb_cs(usb_cs), + .usb_miso(usb_miso), + .usb_miosi(usb_miosi) + ); + + memory_dma memory_usb_dma_inst ( + .clk(clk), + .reset(reset), + + .dma_scb(usb_dma_scb), + + .fifo_bus(usb_dma_fifo_bus), + .mem_bus(usb_dma_mem_bus) + ); + + fifo_junction usb_fifo_junction_inst ( + .cfg_bus(usb_cfg_fifo_bus), + .dma_bus(usb_dma_fifo_bus), + .dev_bus(usb_fifo_bus) + ); + + + // SD card + + sd_top sd_top_inst ( + .clk(clk), + .reset(reset), + + .sd_scb(sd_scb), + + .fifo_bus(sd_fifo_bus), + + .sd_clk(sd_clk), + .sd_cmd(sd_cmd), + .sd_dat(sd_dat) + ); + + memory_dma memory_sd_dma_inst ( + .clk(clk), + .reset(reset), + + .dma_scb(sd_dma_scb), + + .fifo_bus(sd_fifo_bus), + .mem_bus(sd_dma_mem_bus) + ); + + + // Memory bus arbiter + + memory_arbiter memory_arbiter_inst ( + .clk(clk), + .reset(reset), + + .n64_bus(n64_mem_bus), + .cfg_bus(cfg_mem_bus), + .usb_dma_bus(usb_dma_mem_bus), + .sd_dma_bus(sd_dma_mem_bus), + + .sdram_mem_bus(sdram_mem_bus), + .flash_mem_bus(flash_mem_bus) + ); + + + // Memory controllers + + memory_sdram memory_sdram_inst ( + .clk(clk), + .reset(reset), + + .mem_bus(sdram_mem_bus), + + .sdram_cs(sdram_cs), + .sdram_ras(sdram_ras), + .sdram_cas(sdram_cas), + .sdram_we(sdram_we), + .sdram_ba(sdram_ba), + .sdram_a(sdram_a), + .sdram_dqm(sdram_dqm), + .sdram_dq(sdram_dq) + ); + + memory_flash memory_flash_inst ( + .clk(clk), + .reset(reset), + + .flash_scb(flash_scb), + + .mem_bus(flash_mem_bus), + + .flash_clk(flash_clk), + .flash_cs(flash_cs), + .flash_dq(flash_dq) + ); + +endmodule diff --git a/fw/rtl/usb/usb_ft1248.sv b/fw/rtl/usb/usb_ft1248.sv index 9a86de8..aad5b0f 100644 --- a/fw/rtl/usb/usb_ft1248.sv +++ b/fw/rtl/usb/usb_ft1248.sv @@ -1,27 +1,40 @@ +interface usb_scb (); + + logic fifo_flush; + logic reset_pending; + logic reset_ack; + logic write_buffer_flush; + + modport controller ( + output fifo_flush, + input reset_pending, + output reset_ack, + output write_buffer_flush + ); + + modport usb ( + input fifo_flush, + output reset_pending, + input reset_ack, + input write_buffer_flush + ); + +endinterface + + module usb_ft1248 ( input clk, input reset, - output usb_clk, - output usb_cs, + usb_scb.usb usb_scb, + + fifo_bus.fifo fifo_bus, + + input usb_pwrsav, + output logic usb_clk, + output logic usb_cs, input usb_miso, - inout [7:0] usb_miosi, - - output reset_pending, - input reset_ack, - input write_buffer_flush, - - input rx_flush, - output rx_empty, - output rx_almost_empty, - input rx_read, - output [7:0] rx_rdata, - - input tx_flush, - output tx_full, - output tx_almost_full, - input tx_write, - input [7:0] tx_wdata + inout [7:0] usb_miosi ); logic rx_full; @@ -34,39 +47,41 @@ module usb_ft1248 ( logic tx_read; logic [7:0] tx_rdata; - intel_fifo_8 fifo_8_rx_inst ( - .clock(clk), - .sclr(reset || rx_flush), + fifo_8kb fifo_8kb_rx_inst ( + .clk(clk), + .reset(reset || usb_scb.fifo_flush), - .empty(rx_empty), - .almost_empty(rx_almost_empty), - .rdreq(rx_read), - .q(rx_rdata), + .empty(fifo_bus.rx_empty), + .almost_empty(fifo_bus.rx_almost_empty), + .read(fifo_bus.rx_read), + .rdata(fifo_bus.rx_rdata), .full(rx_full), .almost_full(rx_almost_full), - .wrreq(rx_write), - .data(rx_wdata) + .write(rx_write), + .wdata(rx_wdata) ); - intel_fifo_8 fifo_8_tx_inst ( - .clock(clk), - .sclr(reset || tx_flush), + fifo_8kb fifo_8kb_tx_inst ( + .clk(clk), + .reset(reset || usb_scb.fifo_flush), .empty(tx_empty), .almost_empty(tx_almost_empty), - .rdreq(tx_read), - .q(tx_rdata), + .read(tx_read), + .rdata(tx_rdata), - .full(tx_full), - .almost_full(tx_almost_full), - .wrreq(tx_write), - .data(tx_wdata) + .full(fifo_bus.tx_full), + .almost_full(fifo_bus.tx_almost_full), + .write(fifo_bus.tx_write), + .wdata(fifo_bus.tx_wdata) ); + logic [1:0] usb_pwrsav_ff; logic [7:0] usb_miosi_out; logic usb_oe; + logic ft_pwrsav; logic ft_clk; logic ft_cs; logic ft_miso; @@ -75,6 +90,8 @@ module usb_ft1248 ( logic ft_oe; always_ff @(posedge clk) begin + usb_pwrsav_ff <= {usb_pwrsav_ff[0], usb_pwrsav}; + ft_pwrsav <= usb_pwrsav_ff[1]; usb_clk <= ft_clk; usb_cs <= ft_cs; ft_miso <= usb_miso; @@ -83,9 +100,7 @@ module usb_ft1248 ( usb_oe <= ft_oe; end - always_comb begin - usb_miosi = usb_oe ? usb_miosi_out : 8'hZZ; - end + assign usb_miosi = usb_oe ? usb_miosi_out : 8'hZZ; typedef enum bit [2:0] { STATE_IDLE, @@ -102,13 +117,14 @@ module usb_ft1248 ( CMD_READ_MODEM_STATUS = 8'h20, CMD_WRITE_MODEM_STATUS = 8'h60, CMD_WRITE_BUFFER_FLUSH = 8'h08 - } e_command; + } e_cmd; e_state state; e_state next_state; - e_command cmd; - e_command next_cmd; + e_cmd cmd; + e_cmd next_cmd; logic [3:0] phase; + logic last_tx_failed; logic reset_reply; logic last_reset_status; logic [4:0] modem_status_counter; @@ -125,19 +141,20 @@ module usb_ft1248 ( end if (reset) begin - reset_pending <= 1'b0; + last_tx_failed <= 1'b0; + usb_scb.reset_pending <= 1'b0; last_reset_status <= 1'b0; modem_status_counter <= 5'd0; write_modem_status_pending <= 1'b0; write_buffer_flush_pending <= 1'b0; end else begin - if (reset_ack) begin - reset_pending <= 1'b0; + if (usb_scb.reset_ack) begin + usb_scb.reset_pending <= 1'b0; reset_reply <= 1'b1; write_modem_status_pending <= 1'b1; end - if (write_buffer_flush) begin + if (usb_scb.write_buffer_flush) begin write_buffer_flush_pending <= 1'b1; end @@ -145,11 +162,15 @@ module usb_ft1248 ( modem_status_counter <= modem_status_counter + 1'd1; end + if ((state == STATE_DATA) && (cmd == CMD_WRITE) && phase[3]) begin + last_tx_failed <= ft_miso; + end + if (!ft_miso && (state == STATE_DATA) && phase[3]) begin if (cmd == CMD_READ_MODEM_STATUS) begin last_reset_status <= ft_miosi_in[0]; if (!last_reset_status && ft_miosi_in[0]) begin - reset_pending <= 1'b1; + usb_scb.reset_pending <= 1'b1; end if (last_reset_status && !ft_miosi_in[0]) begin reset_reply <= 1'b0; @@ -214,13 +235,23 @@ module usb_ft1248 ( rx_wdata = ft_miosi_in; - if (!ft_miso && (state == STATE_DATA) && phase[3]) begin - if (cmd == CMD_READ) begin - rx_write = 1'b1; - end - if (cmd == CMD_WRITE) begin - tx_read = 1'b1; - end + if (!ft_miso && phase[3]) begin + case (state) + STATE_STATUS: begin + if (cmd == CMD_WRITE && !last_tx_failed) begin + tx_read = 1'b1; + end + end + + STATE_DATA: begin + if (cmd == CMD_READ) begin + rx_write = 1'b1; + end + if (cmd == CMD_WRITE && !tx_empty) begin + tx_read = 1'b1; + end + end + endcase end end @@ -233,21 +264,23 @@ module usb_ft1248 ( end else begin case (state) STATE_IDLE: begin - if (write_modem_status_pending) begin - next_state = STATE_SELECT; - next_cmd = CMD_WRITE_MODEM_STATUS; - end else if (&modem_status_counter) begin - next_state = STATE_SELECT; - next_cmd = CMD_READ_MODEM_STATUS; - end else if (!tx_empty) begin - next_state = STATE_SELECT; - next_cmd = CMD_WRITE; - end else if (write_buffer_flush_pending) begin - next_state = STATE_SELECT; - next_cmd = CMD_WRITE_BUFFER_FLUSH; - end else if (!rx_full) begin - next_state = STATE_SELECT; - next_cmd = CMD_READ; + if (ft_pwrsav) begin + if (write_modem_status_pending) begin + next_state = STATE_SELECT; + next_cmd = CMD_WRITE_MODEM_STATUS; + end else if (&modem_status_counter) begin + next_state = STATE_SELECT; + next_cmd = CMD_READ_MODEM_STATUS; + end else if (!tx_empty || last_tx_failed) begin + next_state = STATE_SELECT; + next_cmd = CMD_WRITE; + end else if (write_buffer_flush_pending) begin + next_state = STATE_SELECT; + next_cmd = CMD_WRITE_BUFFER_FLUSH; + end else if (!rx_full) begin + next_state = STATE_SELECT; + next_cmd = CMD_READ; + end end end @@ -282,7 +315,7 @@ module usb_ft1248 ( next_state = STATE_DESELECT; end end else if (cmd == CMD_WRITE) begin - if (tx_almost_empty) begin + if (tx_empty) begin next_state = STATE_DESELECT; end end else begin diff --git a/fw/rtl/vendor/intel/generated/intel_flash.qsys b/fw/rtl/vendor/intel/generated/intel_flash.qsys deleted file mode 100644 index 5759c65..0000000 --- a/fw/rtl/vendor/intel/generated/intel_flash.qsys +++ /dev/null @@ -1,90 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - Single Compressed Image - Internal Configuration - - - - - - Read and write,Read and write,Hidden,Read and write,Read and write - $${FILENAME}_onchip_flash_0 - - - - - - - - - - diff --git a/fw/rtl/vendor/intel/generated/intel_gpio_ddro.qip b/fw/rtl/vendor/intel/generated/intel_gpio_ddro.qip deleted file mode 100644 index 2cd6c78..0000000 --- a/fw/rtl/vendor/intel/generated/intel_gpio_ddro.qip +++ /dev/null @@ -1,77 +0,0 @@ -set_global_assignment -entity "intel_gpio_ddro" -library "intel_gpio_ddro" -name IP_TOOL_NAME "altera_gpio_lite" -set_global_assignment -entity "intel_gpio_ddro" -library "intel_gpio_ddro" -name IP_TOOL_VERSION "21.1" -set_global_assignment -entity "intel_gpio_ddro" -library "intel_gpio_ddro" -name IP_TOOL_ENV "mwpim" -set_global_assignment -library "intel_gpio_ddro" -name MISC_FILE [file join $::quartus(qip_path) "intel_gpio_ddro.cmp"] -set_global_assignment -entity "intel_gpio_ddro" -library "intel_gpio_ddro" -name IP_TARGETED_DEVICE_FAMILY "MAX 10" -set_global_assignment -entity "intel_gpio_ddro" -library "intel_gpio_ddro" -name IP_GENERATED_DEVICE_FAMILY "{MAX 10}" -set_global_assignment -entity "intel_gpio_ddro" -library "intel_gpio_ddro" -name IP_QSYS_MODE "UNKNOWN" -set_global_assignment -name SYNTHESIS_ONLY_QIP ON -set_global_assignment -entity "intel_gpio_ddro" -library "intel_gpio_ddro" -name IP_COMPONENT_NAME "aW50ZWxfZ3Bpb19kZHJv" -set_global_assignment -entity "intel_gpio_ddro" -library "intel_gpio_ddro" -name IP_COMPONENT_DISPLAY_NAME "R1BJTyBMaXRlIEludGVsIEZQR0EgSVA=" -set_global_assignment -entity "intel_gpio_ddro" -library "intel_gpio_ddro" -name IP_COMPONENT_REPORT_HIERARCHY "Off" -set_global_assignment -entity "intel_gpio_ddro" -library "intel_gpio_ddro" -name IP_COMPONENT_INTERNAL "Off" -set_global_assignment -entity "intel_gpio_ddro" -library "intel_gpio_ddro" -name IP_COMPONENT_AUTHOR "SW50ZWwgQ29ycG9yYXRpb24=" -set_global_assignment -entity "intel_gpio_ddro" -library "intel_gpio_ddro" -name IP_COMPONENT_VERSION "MjEuMQ==" -set_global_assignment -entity "intel_gpio_ddro" -library "intel_gpio_ddro" -name IP_COMPONENT_DESCRIPTION "R1BJTyBMaXRlIEludGVsIEZQR0EgSVA=" -set_global_assignment -entity "altera_gpio_lite" -library "intel_gpio_ddro" -name IP_COMPONENT_NAME "YWx0ZXJhX2dwaW9fbGl0ZQ==" -set_global_assignment -entity "altera_gpio_lite" -library "intel_gpio_ddro" -name IP_COMPONENT_DISPLAY_NAME "R1BJTyBMaXRlIEludGVsIEZQR0EgSVA=" -set_global_assignment -entity "altera_gpio_lite" -library "intel_gpio_ddro" -name IP_COMPONENT_REPORT_HIERARCHY "Off" -set_global_assignment -entity "altera_gpio_lite" -library "intel_gpio_ddro" -name IP_COMPONENT_INTERNAL "Off" -set_global_assignment -entity "altera_gpio_lite" -library "intel_gpio_ddro" -name IP_COMPONENT_AUTHOR "SW50ZWwgQ29ycG9yYXRpb24=" -set_global_assignment -entity "altera_gpio_lite" -library "intel_gpio_ddro" -name IP_COMPONENT_VERSION "MjEuMQ==" -set_global_assignment -entity "altera_gpio_lite" -library "intel_gpio_ddro" -name IP_COMPONENT_DESCRIPTION "R1BJTyBMaXRlIEludGVsIEZQR0EgSVA=" -set_global_assignment -entity "altera_gpio_lite" -library "intel_gpio_ddro" -name IP_COMPONENT_PARAMETER "REVWSUNFX0ZBTUlMWQ==::TUFYIDEw::RGV2aWNlIGZhbWlseQ==" -set_global_assignment -entity "altera_gpio_lite" -library "intel_gpio_ddro" -name IP_COMPONENT_PARAMETER "UElOX1RZUEU=::b3V0cHV0::RGF0YSBkaXJlY3Rpb24=" -set_global_assignment -entity "altera_gpio_lite" -library "intel_gpio_ddro" -name IP_COMPONENT_PARAMETER "U0laRQ==::MQ==::RGF0YSB3aWR0aA==" -set_global_assignment -entity "altera_gpio_lite" -library "intel_gpio_ddro" -name IP_COMPONENT_PARAMETER "Z3VpX3RydWVfZGlmZl9idWY=::ZmFsc2U=::VXNlIHRydWUgZGlmZmVyZW50aWFsIGJ1ZmZlcg==" -set_global_assignment -entity "altera_gpio_lite" -library "intel_gpio_ddro" -name IP_COMPONENT_PARAMETER "Z3VpX3BzZXVkb19kaWZmX2J1Zg==::ZmFsc2U=::VXNlIHBzZXVkbyBkaWZmZXJlbnRpYWwgYnVmZmVy" -set_global_assignment -entity "altera_gpio_lite" -library "intel_gpio_ddro" -name IP_COMPONENT_PARAMETER "Z3VpX2J1c19ob2xk::ZmFsc2U=::VXNlIGJ1cy1ob2xkIGNpcmN1aXRyeQ==" -set_global_assignment -entity "altera_gpio_lite" -library "intel_gpio_ddro" -name IP_COMPONENT_PARAMETER "Z3VpX29wZW5fZHJhaW4=::ZmFsc2U=::VXNlIG9wZW4gZHJhaW4gb3V0cHV0" -set_global_assignment -entity "altera_gpio_lite" -library "intel_gpio_ddro" -name IP_COMPONENT_PARAMETER "Z3VpX2VuYWJsZV9vZV9wb3J0::ZmFsc2U=::RW5hYmxlIG9lIHBvcnQ=" -set_global_assignment -entity "altera_gpio_lite" -library "intel_gpio_ddro" -name IP_COMPONENT_PARAMETER "Z3VpX2lvX3JlZ19tb2Rl::ZGRy::UmVnaXN0ZXIgbW9kZQ==" -set_global_assignment -entity "altera_gpio_lite" -library "intel_gpio_ddro" -name IP_COMPONENT_PARAMETER "Z3VpX2VuYWJsZV9hY2xyX3BvcnQ=::ZmFsc2U=::RW5hYmxlIGFjbHIgcG9ydA==" -set_global_assignment -entity "altera_gpio_lite" -library "intel_gpio_ddro" -name IP_COMPONENT_PARAMETER "Z3VpX2VuYWJsZV9hc2V0X3BvcnQ=::ZmFsc2U=::RW5hYmxlIGFzZXQgcG9ydA==" -set_global_assignment -entity "altera_gpio_lite" -library "intel_gpio_ddro" -name IP_COMPONENT_PARAMETER "Z3VpX2VuYWJsZV9zY2xyX3BvcnQ=::ZmFsc2U=::RW5hYmxlIHNjbHIgcG9ydA==" -set_global_assignment -entity "altera_gpio_lite" -library "intel_gpio_ddro" -name IP_COMPONENT_PARAMETER "Z3VpX3NldF9yZWdpc3RlcnNfdG9fcG93ZXJfdXBfaGlnaA==::ZmFsc2U=::U2V0IHJlZ2lzdGVycyB0byBwb3dlciB1cCBoaWdoICh3aGVuIGFjbHIsIHNjbHIgYW5kIGFzZXQgcG9ydHMgYXJlIG5vdCB1c2VkKQ==" -set_global_assignment -entity "altera_gpio_lite" -library "intel_gpio_ddro" -name IP_COMPONENT_PARAMETER "Z3VpX2Nsb2NrX2VuYWJsZQ==::ZmFsc2U=::RW5hYmxlIGluY2xvY2tlbi9vdXRjbG9ja2VuIHBvcnRz" -set_global_assignment -entity "altera_gpio_lite" -library "intel_gpio_ddro" -name IP_COMPONENT_PARAMETER "Z3VpX2ludmVydF9vdXRwdXQ=::ZmFsc2U=::SW52ZXJ0IGRpbg==" -set_global_assignment -entity "altera_gpio_lite" -library "intel_gpio_ddro" -name IP_COMPONENT_PARAMETER "Z3VpX3VzZV9yZWdpc3Rlcl90b19kcml2ZV9vYnVmX29l::ZmFsc2U=::VXNlIGEgc2luZ2xlIHJlZ2lzdGVyIHRvIGRyaXZlIHRoZSBvdXRwdXQgZW5hYmxlIChvZSkgc2lnbmFsIGF0IHRoZSBJL08gYnVmZmVy" -set_global_assignment -entity "altera_gpio_lite" -library "intel_gpio_ddro" -name IP_COMPONENT_PARAMETER "Z3VpX3VzZV9kZGlvX3JlZ190b19kcml2ZV9vZQ==::ZmFsc2U=::VXNlIERESU8gcmVnaXN0ZXJzIHRvIGRyaXZlIHRoZSBvdXRwdXQgZW5hYmxlIChvZSkgc2lnbmFsIGF0IHRoZSBJL08gYnVmZmVy" -set_global_assignment -entity "altera_gpio_lite" -library "intel_gpio_ddro" -name IP_COMPONENT_PARAMETER "Z3VpX3VzZV9hZHZhbmNlZF9kZHJfZmVhdHVyZXM=::ZmFsc2U=::RW5hYmxlIGFkdmFuY2VkIEREUiBmZWF0dXJlcw==" -set_global_assignment -entity "altera_gpio_lite" -library "intel_gpio_ddro" -name IP_COMPONENT_PARAMETER "Z3VpX2VuYWJsZV9waGFzZV9kZXRlY3Rvcl9mb3JfY2s=::ZmFsc2U=::RW5hYmxlIFBoYXNlIERldGVjdG9yIGZyb20gQ0sgbG9vcGJhY2sgc2lnbmFs" -set_global_assignment -entity "altera_gpio_lite" -library "intel_gpio_ddro" -name IP_COMPONENT_PARAMETER "Z3VpX2VuYWJsZV9vZV9oYWxmX2N5Y2xlX2RlbGF5::dHJ1ZQ==::QWRkIGhhbGYtY3ljbGUgZGVsYXkgdG8gT0Ugc2lnbmFs" -set_global_assignment -entity "altera_gpio_lite" -library "intel_gpio_ddro" -name IP_COMPONENT_PARAMETER "Z3VpX2VuYWJsZV9ocl9jbG9jaw==::ZmFsc2U=::RW5hYmxlIGhhbGYtcmF0ZSBjbG9jayBwb3J0" -set_global_assignment -entity "altera_gpio_lite" -library "intel_gpio_ddro" -name IP_COMPONENT_PARAMETER "Z3VpX2VuYWJsZV9pbnZlcnRfaHJfY2xvY2tfcG9ydA==::ZmFsc2U=::RW5hYmxlIGludmVydF9ocl9jbG9jayBwb3J0" -set_global_assignment -entity "altera_gpio_lite" -library "intel_gpio_ddro" -name IP_COMPONENT_PARAMETER "Z3VpX2ludmVydF9jbGtkaXZfaW5wdXRfY2xvY2s=::ZmFsc2U=::SW52ZXJ0IGNsb2NrIGRpdmlkZXIgaW5wdXQgY2xvY2s=" -set_global_assignment -entity "altera_gpio_lite" -library "intel_gpio_ddro" -name IP_COMPONENT_PARAMETER "Z3VpX2ludmVydF9vdXRwdXRfY2xvY2s=::ZmFsc2U=::SW52ZXJ0IERESU8gb3V0Y2xvY2s=" -set_global_assignment -entity "altera_gpio_lite" -library "intel_gpio_ddro" -name IP_COMPONENT_PARAMETER "Z3VpX2ludmVydF9vZV9pbmNsb2Nr::ZmFsc2U=::SW52ZXJ0IG91dHB1dCBlbmFibGUgKG9lKSByZWdpc3RlciBpbmNsb2Nr" -set_global_assignment -entity "altera_gpio_lite" -library "intel_gpio_ddro" -name IP_COMPONENT_PARAMETER "UkVHSVNURVJfTU9ERQ==::ZGRy::UkVHSVNURVJfTU9ERQ==" -set_global_assignment -entity "altera_gpio_lite" -library "intel_gpio_ddro" -name IP_COMPONENT_PARAMETER "QlVGRkVSX1RZUEU=::c2luZ2xlLWVuZGVk::QlVGRkVSX1RZUEU=" -set_global_assignment -entity "altera_gpio_lite" -library "intel_gpio_ddro" -name IP_COMPONENT_PARAMETER "QVNZTkNfTU9ERQ==::bm9uZQ==::QVNZTkNfTU9ERQ==" -set_global_assignment -entity "altera_gpio_lite" -library "intel_gpio_ddro" -name IP_COMPONENT_PARAMETER "U1lOQ19NT0RF::bm9uZQ==::U1lOQ19NT0RF" -set_global_assignment -entity "altera_gpio_lite" -library "intel_gpio_ddro" -name IP_COMPONENT_PARAMETER "QlVTX0hPTEQ=::ZmFsc2U=::QlVTX0hPTEQ=" -set_global_assignment -entity "altera_gpio_lite" -library "intel_gpio_ddro" -name IP_COMPONENT_PARAMETER "T1BFTl9EUkFJTl9PVVRQVVQ=::ZmFsc2U=::T1BFTl9EUkFJTl9PVVRQVVQ=" -set_global_assignment -entity "altera_gpio_lite" -library "intel_gpio_ddro" -name IP_COMPONENT_PARAMETER "RU5BQkxFX09FX1BPUlQ=::ZmFsc2U=::RU5BQkxFX09FX1BPUlQ=" -set_global_assignment -entity "altera_gpio_lite" -library "intel_gpio_ddro" -name IP_COMPONENT_PARAMETER "RU5BQkxFX05TTEVFUF9QT1JU::ZmFsc2U=::RU5BQkxFX05TTEVFUF9QT1JU" -set_global_assignment -entity "altera_gpio_lite" -library "intel_gpio_ddro" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0NMT0NLX0VOQV9QT1JU::ZmFsc2U=::RU5BQkxFX0NMT0NLX0VOQV9QT1JU" -set_global_assignment -entity "altera_gpio_lite" -library "intel_gpio_ddro" -name IP_COMPONENT_PARAMETER "U0VUX1JFR0lTVEVSX09VVFBVVFNfSElHSA==::ZmFsc2U=::U0VUX1JFR0lTVEVSX09VVFBVVFNfSElHSA==" -set_global_assignment -entity "altera_gpio_lite" -library "intel_gpio_ddro" -name IP_COMPONENT_PARAMETER "SU5WRVJUX09VVFBVVA==::ZmFsc2U=::SU5WRVJUX09VVFBVVA==" -set_global_assignment -entity "altera_gpio_lite" -library "intel_gpio_ddro" -name IP_COMPONENT_PARAMETER "SU5WRVJUX0lOUFVUX0NMT0NL::ZmFsc2U=::SU5WRVJUX0lOUFVUX0NMT0NL" -set_global_assignment -entity "altera_gpio_lite" -library "intel_gpio_ddro" -name IP_COMPONENT_PARAMETER "VVNFX09ORV9SRUdfVE9fRFJJVkVfT0U=::ZmFsc2U=::VVNFX09ORV9SRUdfVE9fRFJJVkVfT0U=" -set_global_assignment -entity "altera_gpio_lite" -library "intel_gpio_ddro" -name IP_COMPONENT_PARAMETER "VVNFX0RESU9fUkVHX1RPX0RSSVZFX09F::ZmFsc2U=::VVNFX0RESU9fUkVHX1RPX0RSSVZFX09F" -set_global_assignment -entity "altera_gpio_lite" -library "intel_gpio_ddro" -name IP_COMPONENT_PARAMETER "VVNFX0FEVkFOQ0VEX0REUl9GRUFUVVJFUw==::ZmFsc2U=::VVNFX0FEVkFOQ0VEX0REUl9GRUFUVVJFUw==" -set_global_assignment -entity "altera_gpio_lite" -library "intel_gpio_ddro" -name IP_COMPONENT_PARAMETER "VVNFX0FEVkFOQ0VEX0REUl9GRUFUVVJFU19GT1JfSU5QVVRfT05MWQ==::ZmFsc2U=::VVNFX0FEVkFOQ0VEX0REUl9GRUFUVVJFU19GT1JfSU5QVVRfT05MWQ==" -set_global_assignment -entity "altera_gpio_lite" -library "intel_gpio_ddro" -name IP_COMPONENT_PARAMETER "RU5BQkxFX09FX0hBTEZfQ1lDTEVfREVMQVk=::dHJ1ZQ==::RU5BQkxFX09FX0hBTEZfQ1lDTEVfREVMQVk=" -set_global_assignment -entity "altera_gpio_lite" -library "intel_gpio_ddro" -name IP_COMPONENT_PARAMETER "SU5WRVJUX0NMS0RJVl9JTlBVVF9DTE9DSw==::ZmFsc2U=::SU5WRVJUX0NMS0RJVl9JTlBVVF9DTE9DSw==" -set_global_assignment -entity "altera_gpio_lite" -library "intel_gpio_ddro" -name IP_COMPONENT_PARAMETER "RU5BQkxFX1BIQVNFX0lOVkVSVF9DVFJMX1BPUlQ=::ZmFsc2U=::RU5BQkxFX1BIQVNFX0lOVkVSVF9DVFJMX1BPUlQ=" -set_global_assignment -entity "altera_gpio_lite" -library "intel_gpio_ddro" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0hSX0NMT0NL::ZmFsc2U=::RU5BQkxFX0hSX0NMT0NL" -set_global_assignment -entity "altera_gpio_lite" -library "intel_gpio_ddro" -name IP_COMPONENT_PARAMETER "SU5WRVJUX09VVFBVVF9DTE9DSw==::ZmFsc2U=::SU5WRVJUX09VVFBVVF9DTE9DSw==" -set_global_assignment -entity "altera_gpio_lite" -library "intel_gpio_ddro" -name IP_COMPONENT_PARAMETER "SU5WRVJUX09FX0lOQ0xPQ0s=::ZmFsc2U=::SU5WRVJUX09FX0lOQ0xPQ0s=" -set_global_assignment -entity "altera_gpio_lite" -library "intel_gpio_ddro" -name IP_COMPONENT_PARAMETER "RU5BQkxFX1BIQVNFX0RFVEVDVE9SX0ZPUl9DSw==::ZmFsc2U=::RU5BQkxFX1BIQVNFX0RFVEVDVE9SX0ZPUl9DSw==" - -set_global_assignment -library "intel_gpio_ddro" -name VERILOG_FILE [file join $::quartus(qip_path) "intel_gpio_ddro.v"] -set_global_assignment -library "intel_gpio_ddro" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "intel_gpio_ddro/altera_gpio_lite.sv"] - -set_global_assignment -entity "altera_gpio_lite" -library "intel_gpio_ddro" -name IP_TOOL_NAME "altera_gpio_lite" -set_global_assignment -entity "altera_gpio_lite" -library "intel_gpio_ddro" -name IP_TOOL_VERSION "21.1" -set_global_assignment -entity "altera_gpio_lite" -library "intel_gpio_ddro" -name IP_TOOL_ENV "mwpim" diff --git a/fw/rtl/vendor/intel/generated/intel_gpio_ddro.v b/fw/rtl/vendor/intel/generated/intel_gpio_ddro.v deleted file mode 100644 index 66bf848..0000000 --- a/fw/rtl/vendor/intel/generated/intel_gpio_ddro.v +++ /dev/null @@ -1,123 +0,0 @@ -// megafunction wizard: %GPIO Lite Intel FPGA IP v21.1% -// GENERATION: XML -// intel_gpio_ddro.v - -// Generated using ACDS version 21.1 842 - -`timescale 1 ps / 1 ps -module intel_gpio_ddro ( - input wire outclock, // outclock.export - input wire [1:0] din, // din.export - output wire [0:0] pad_out // pad_out.export - ); - - altera_gpio_lite #( - .PIN_TYPE ("output"), - .SIZE (1), - .REGISTER_MODE ("ddr"), - .BUFFER_TYPE ("single-ended"), - .ASYNC_MODE ("none"), - .SYNC_MODE ("none"), - .BUS_HOLD ("false"), - .OPEN_DRAIN_OUTPUT ("false"), - .ENABLE_OE_PORT ("false"), - .ENABLE_NSLEEP_PORT ("false"), - .ENABLE_CLOCK_ENA_PORT ("false"), - .SET_REGISTER_OUTPUTS_HIGH ("false"), - .INVERT_OUTPUT ("false"), - .INVERT_INPUT_CLOCK ("false"), - .USE_ONE_REG_TO_DRIVE_OE ("false"), - .USE_DDIO_REG_TO_DRIVE_OE ("false"), - .USE_ADVANCED_DDR_FEATURES ("false"), - .USE_ADVANCED_DDR_FEATURES_FOR_INPUT_ONLY ("false"), - .ENABLE_OE_HALF_CYCLE_DELAY ("true"), - .INVERT_CLKDIV_INPUT_CLOCK ("false"), - .ENABLE_PHASE_INVERT_CTRL_PORT ("false"), - .ENABLE_HR_CLOCK ("false"), - .INVERT_OUTPUT_CLOCK ("false"), - .INVERT_OE_INCLOCK ("false"), - .ENABLE_PHASE_DETECTOR_FOR_CK ("false") - ) intel_gpio_ddro_inst ( - .outclock (outclock), // outclock.export - .din (din), // din.export - .pad_out (pad_out), // pad_out.export - .outclocken (1'b1), // (terminated) - .inclock (1'b0), // (terminated) - .inclocken (1'b0), // (terminated) - .fr_clock (), // (terminated) - .hr_clock (), // (terminated) - .invert_hr_clock (1'b0), // (terminated) - .phy_mem_clock (1'b0), // (terminated) - .mimic_clock (), // (terminated) - .dout (), // (terminated) - .pad_io (), // (terminated) - .pad_io_b (), // (terminated) - .pad_in (1'b0), // (terminated) - .pad_in_b (1'b0), // (terminated) - .pad_out_b (), // (terminated) - .aset (1'b0), // (terminated) - .aclr (1'b0), // (terminated) - .sclr (1'b0), // (terminated) - .nsleep (1'b0), // (terminated) - .oe (1'b0) // (terminated) - ); - -endmodule -// Retrieval info: -// -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// Retrieval info: -// IPFS_FILES : intel_gpio_ddro.vo -// RELATED_FILES: intel_gpio_ddro.v, altera_gpio_lite.sv diff --git a/fw/rtl/vendor/intel/generated/intel_gpio_ddro/altera_gpio_lite.sv b/fw/rtl/vendor/intel/generated/intel_gpio_ddro/altera_gpio_lite.sv deleted file mode 100644 index 4c06935..0000000 --- a/fw/rtl/vendor/intel/generated/intel_gpio_ddro/altera_gpio_lite.sv +++ /dev/null @@ -1,1200 +0,0 @@ -// (C) 2001-2021 Intel Corporation. All rights reserved. -// Your use of Intel Corporation's design tools, logic functions and other -// software and tools, and its AMPP partner logic functions, and any output -// files from any of the foregoing (including device programming or simulation -// files), and any associated documentation or information are expressly subject -// to the terms and conditions of the Intel Program License Subscription -// Agreement, Intel FPGA IP License Agreement, or other applicable -// license agreement, including, without limitation, that your use is for the -// sole purpose of programming logic devices manufactured by Intel and sold by -// Intel or its authorized distributors. Please refer to the applicable -// agreement for further details. - - -`timescale 1 ps / 1 ps - -module altgpio_one_bit( - inclock, - outclock, - phy_mem_clock, - inclocken, - outclocken, - oe, - din, - dout, - pad, - pad_b, - aset, - sclr, - hr_clock, - fr_clock, - mimic_clock, - nsleep -); - - parameter PIN_TYPE = "output"; - parameter BUFFER_TYPE = "single-ended"; - parameter REGISTER_MODE = "bypass"; - parameter ASYNC_MODE = "none"; - parameter SYNC_MODE = "none"; - parameter BUS_HOLD = "false"; - parameter SET_REGISTER_OUTPUTS_HIGH = "false"; - parameter USE_ENHANCED_DDR_HIO_REGISTER = "false"; - parameter BYPASS_THREE_QUARTER_REGISTER = "true"; - parameter INVERT_OUTPUT = "false"; - parameter INVERT_INPUT_CLOCK = "false"; - parameter INVERT_OUTPUT_CLOCK = "false"; - parameter INVERT_OE_INCLOCK = "false"; - parameter USE_ONE_REG_TO_DRIVE_OE = "false"; - parameter USE_DDIO_REG_TO_DRIVE_OE = "false"; - parameter OPEN_DRAIN_OUTPUT = "false"; - parameter ENABLE_OE_HALF_CYCLE_DELAY = "true"; - parameter USE_ADVANCED_DDR_FEATURES_FOR_INPUT_ONLY = "false"; - parameter ENABLE_CLOCK_ENA_PORT = "false"; - parameter ENABLE_HR_CLOCK = "false"; - parameter ENABLE_PHASE_DETECTOR_FOR_CK = "false"; - parameter ENABLE_NSLEEP_PORT = "false"; - - localparam DATA_SIZE = (REGISTER_MODE == "ddr") ? 2:1; - localparam DDIO_REG_POWER_UP = (ASYNC_MODE == "preset" || SET_REGISTER_OUTPUTS_HIGH == "true") ? "high" : "low"; - - input inclock; - input outclock; - input inclocken; - input outclocken; - input oe; - input nsleep; - input [DATA_SIZE - 1:0] din; - output [DATA_SIZE - 1:0] dout; - inout pad; - inout pad_b; - input aset; - input sclr; - input phy_mem_clock; - input hr_clock; - (* altera_attribute = "-name GLOBAL_SIGNAL\"OFF\"" *) output fr_clock; - output mimic_clock; - - wire din_ddr; - wire buf_in; - - wire oe_out; - wire nsleep_in; - - generate - if (PIN_TYPE == "output" || PIN_TYPE == "bidir") - begin - wire [1:0] din_fr; - if (INVERT_OUTPUT == "false") - begin - assign din_fr = din; - end - else - begin - assign din_fr = ~din; - end - - wire outclock_wire; - if (REGISTER_MODE != "bypass") - begin - if (INVERT_OUTPUT_CLOCK == "false") - begin: normal_input_clock - assign outclock_wire = outclock; - end - else - begin: inverted_output_clock - assign outclock_wire = ~outclock; - end - end - - wire outclocken_wire; - assign outclocken_wire = (ENABLE_CLOCK_ENA_PORT == "true") ? outclocken : 1'b1; - - if (REGISTER_MODE == "ddr" && USE_ENHANCED_DDR_HIO_REGISTER == "true") - begin - if (ASYNC_MODE != "none") - begin: async_mode_out_path_enhanced_ddr - fiftyfivenm_ddio_out - #( - .async_mode(ASYNC_MODE), - .sync_mode(SYNC_MODE), - .use_enhanced_ddr_hio(USE_ENHANCED_DDR_HIO_REGISTER), - .bypass_three_quarter_register(BYPASS_THREE_QUARTER_REGISTER), - .power_up(DDIO_REG_POWER_UP), - .use_new_clocking_model("true") - ) fr_out_data_ddio ( - .datainhi(din_fr[0]), - .datainlo(din_fr[1]), - .dataout(din_ddr), - .clkhi(outclock_wire), - .clklo(outclock_wire), - .muxsel(outclock_wire), - .areset(aset), - .ena(outclocken_wire), - .phymemclock(phy_mem_clock) - `ifndef ALTERA_RESERVED_QIS - , - .clk (outclock_wire), - .sreset(1'b0), - .dfflo(), - .dffhi(), - .devpor(1'b1), - .devclrn(1'b1) - `endif - ); - end - else if (SYNC_MODE != "none") - begin: sync_mode_out_path_enhanced_ddr - fiftyfivenm_ddio_out - #( - .async_mode(ASYNC_MODE), - .sync_mode(SYNC_MODE), - .use_enhanced_ddr_hio(USE_ENHANCED_DDR_HIO_REGISTER), - .bypass_three_quarter_register(BYPASS_THREE_QUARTER_REGISTER), - .power_up(DDIO_REG_POWER_UP), - .use_new_clocking_model("true") - ) fr_out_data_ddio ( - .datainhi(din_fr[0]), - .datainlo(din_fr[1]), - .dataout(din_ddr), - .clkhi(outclock_wire), - .clklo(outclock_wire), - .muxsel(outclock_wire), - .sreset(sclr), - .ena(outclocken_wire), - .phymemclock(phy_mem_clock) - `ifndef ALTERA_RESERVED_QIS - , - .clk (outclock_wire), - .areset(1'b0), - .dfflo(), - .dffhi(), - .devpor(1'b1), - .devclrn(1'b1) - `endif - ); - end - else - begin: out_path_enhanced_ddr - fiftyfivenm_ddio_out - #( - .async_mode(ASYNC_MODE), - .sync_mode(SYNC_MODE), - .use_enhanced_ddr_hio(USE_ENHANCED_DDR_HIO_REGISTER), - .bypass_three_quarter_register(BYPASS_THREE_QUARTER_REGISTER), - .power_up(DDIO_REG_POWER_UP), - .use_new_clocking_model("true") - ) fr_out_data_ddio ( - .datainhi(din_fr[0]), - .datainlo(din_fr[1]), - .dataout(din_ddr), - .clkhi(outclock_wire), - .clklo(outclock_wire), - .muxsel(outclock_wire), - .ena(outclocken_wire), - .phymemclock(phy_mem_clock) - `ifndef ALTERA_RESERVED_QIS - , - .areset(1'b0), - .clk(1'b0), - .sreset(1'b0), - .dfflo(), - .dffhi(), - .devpor(1'b1), - .devclrn(1'b1) - `endif - ); - end - end - else if (REGISTER_MODE == "ddr" && USE_ENHANCED_DDR_HIO_REGISTER == "false") - begin - if (ASYNC_MODE != "none") - begin: async_mode_out_path_ddr - fiftyfivenm_ddio_out - #( - .async_mode(ASYNC_MODE), - .sync_mode(SYNC_MODE), - .power_up(DDIO_REG_POWER_UP), - .use_new_clocking_model("true"), - .bypass_three_quarter_register(BYPASS_THREE_QUARTER_REGISTER) - ) fr_out_data_ddio ( - .datainhi(din_fr[0]), - .datainlo(din_fr[1]), - .dataout(din_ddr), - .clkhi(outclock_wire), - .clklo(outclock_wire), - .muxsel(outclock_wire), - .areset(aset), - .ena(outclocken_wire) - `ifndef ALTERA_RESERVED_QIS - , - .clk(1'b0), - .phymemclock(1'b0), - .sreset(1'b0), - .dfflo(), - .dffhi(), - .devpor(1'b1), - .devclrn(1'b1) - `endif - ); - end - else if (SYNC_MODE != "none") - begin: sync_mode_out_path_ddr - fiftyfivenm_ddio_out - #( - .async_mode(ASYNC_MODE), - .sync_mode(SYNC_MODE), - .power_up(DDIO_REG_POWER_UP), - .use_new_clocking_model("true"), - .bypass_three_quarter_register(BYPASS_THREE_QUARTER_REGISTER) - ) fr_out_data_ddio ( - .datainhi(din_fr[0]), - .datainlo(din_fr[1]), - .dataout(din_ddr), - .clkhi(outclock_wire), - .clklo(outclock_wire), - .muxsel(outclock_wire), - .sreset(sclr), - .ena(outclocken_wire) - `ifndef ALTERA_RESERVED_QIS - , - .areset(1'b0), - .clk(1'b0), - .phymemclock(1'b0), - .dfflo(), - .dffhi(), - .devpor(1'b1), - .devclrn(1'b1) - `endif - ); - end - else - begin: out_path_ddr - fiftyfivenm_ddio_out - #( - .async_mode(ASYNC_MODE), - .sync_mode(SYNC_MODE), - .power_up(DDIO_REG_POWER_UP), - .use_new_clocking_model("true"), - .bypass_three_quarter_register(BYPASS_THREE_QUARTER_REGISTER) - ) fr_out_data_ddio ( - .datainhi(din_fr[0]), - .datainlo(din_fr[1]), - .dataout(din_ddr), - .clkhi(outclock_wire), - .clklo(outclock_wire), - .muxsel(outclock_wire), - .ena(outclocken_wire) - `ifndef ALTERA_RESERVED_QIS - , - .areset(1'b0), - .clk(1'b0), - .phymemclock(1'b0), - .sreset(1'b0), - .dfflo(), - .dffhi(), - .devpor(1'b1), - .devclrn(1'b1) - `endif - ); - end - end - else if (REGISTER_MODE == "single-register") - begin: out_path_sdr - reg reg_data_out /* synthesis altera_attribute="FAST_OUTPUT_REGISTER=on" */; - always @(posedge outclock_wire) - reg_data_out <= din_fr[0]; - - assign din_ddr = reg_data_out; - end - else - begin: out_path_reg_none - assign din_ddr = din_fr[0]; - end - end - endgenerate - - generate - - if (PIN_TYPE == "bidir" || PIN_TYPE == "output") - begin - wire oe_inclk_wire; - if (USE_ONE_REG_TO_DRIVE_OE == "true" || USE_DDIO_REG_TO_DRIVE_OE == "true") - begin - if (INVERT_OE_INCLOCK == "false") - begin: normal_oe_inclock - assign oe_inclk_wire = outclock; - end - else - begin: inverted_oe_inclock - assign oe_inclk_wire = ~outclock; - end - end - - wire oe_outclocken_wire; - assign oe_outclocken_wire = (ENABLE_CLOCK_ENA_PORT == "true") ? outclocken : 1'b1; - - if (USE_DDIO_REG_TO_DRIVE_OE == "true") - begin - if (REGISTER_MODE == "ddr" && USE_ENHANCED_DDR_HIO_REGISTER == "true") - begin - if (ASYNC_MODE != "none") - begin: async_mode_oe_path_enhanced_ddr - fiftyfivenm_ddio_oe - #( - .async_mode(ASYNC_MODE), - .sync_mode(SYNC_MODE), - .use_enhanced_ddr_hio(USE_ENHANCED_DDR_HIO_REGISTER), - .bypass_three_quarter_register(BYPASS_THREE_QUARTER_REGISTER), - .enable_half_cycle_delay(ENABLE_OE_HALF_CYCLE_DELAY), - .power_up(DDIO_REG_POWER_UP) - ) fr_oe_data_ddio ( - .oe(~oe), - .dataout(oe_out), - .clk(oe_inclk_wire), - .areset(aset), - .ena(oe_outclocken_wire), - .phymemclock(phy_mem_clock) - `ifndef ALTERA_RESERVED_QIS - , - .sreset(1'b0), - .dfflo(), - .dffhi(), - .devpor(1'b1), - .devclrn(1'b1) - `endif - ); - end - else if (SYNC_MODE != "none") - begin: sync_mode_oe_path_enhanced_ddr - fiftyfivenm_ddio_oe - #( - .async_mode(ASYNC_MODE), - .sync_mode(SYNC_MODE), - .use_enhanced_ddr_hio(USE_ENHANCED_DDR_HIO_REGISTER), - .bypass_three_quarter_register(BYPASS_THREE_QUARTER_REGISTER), - .enable_half_cycle_delay(ENABLE_OE_HALF_CYCLE_DELAY), - .power_up(DDIO_REG_POWER_UP) - ) fr_oe_data_ddio ( - .oe(~oe), - .dataout(oe_out), - .clk(oe_inclk_wire), - .sreset(sclr), - .ena(oe_outclocken_wire), - .phymemclock(phy_mem_clock) - `ifndef ALTERA_RESERVED_QIS - , - .areset(1'b0), - .dfflo(), - .dffhi(), - .devpor(1'b1), - .devclrn(1'b1) - `endif - ); - end - else - begin: oe_path_enhanced_ddr - fiftyfivenm_ddio_oe - #( - .async_mode(ASYNC_MODE), - .sync_mode(SYNC_MODE), - .use_enhanced_ddr_hio(USE_ENHANCED_DDR_HIO_REGISTER), - .bypass_three_quarter_register(BYPASS_THREE_QUARTER_REGISTER), - .enable_half_cycle_delay(ENABLE_OE_HALF_CYCLE_DELAY), - .power_up(DDIO_REG_POWER_UP) - ) fr_oe_data_ddio ( - .oe(~oe), - .dataout(oe_out), - .clk(oe_inclk_wire), - .ena(oe_outclocken_wire), - .phymemclock(phy_mem_clock) - `ifndef ALTERA_RESERVED_QIS - , - .areset(1'b0), - .sreset(1'b0), - .dfflo(), - .dffhi(), - .devpor(1'b1), - .devclrn(1'b1) - `endif - ); - end - end - else if (REGISTER_MODE == "ddr" && USE_ENHANCED_DDR_HIO_REGISTER == "false") - begin - if (ASYNC_MODE != "none") - begin: async_mode_oe_path_ddr - fiftyfivenm_ddio_oe - #( - .async_mode(ASYNC_MODE), - .sync_mode(SYNC_MODE), - .enable_half_cycle_delay(ENABLE_OE_HALF_CYCLE_DELAY), - .power_up(DDIO_REG_POWER_UP), - .bypass_three_quarter_register(BYPASS_THREE_QUARTER_REGISTER) - ) fr_oe_data_ddio ( - .oe(~oe), - .dataout(oe_out), - .clk(oe_inclk_wire), - .areset(aset), - .ena(oe_outclocken_wire) - `ifndef ALTERA_RESERVED_QIS - , - .phymemclock(1'b0), - .sreset(1'b0), - .dfflo(), - .dffhi(), - .devpor(1'b1), - .devclrn(1'b1) - `endif - ); - end - else if (SYNC_MODE != "none") - begin: sync_mode_oe_path_ddr - fiftyfivenm_ddio_oe - #( - .async_mode(ASYNC_MODE), - .sync_mode(SYNC_MODE), - .enable_half_cycle_delay(ENABLE_OE_HALF_CYCLE_DELAY), - .power_up(DDIO_REG_POWER_UP), - .bypass_three_quarter_register(BYPASS_THREE_QUARTER_REGISTER) - ) fr_oe_data_ddio ( - .oe(~oe), - .dataout(oe_out), - .clk(oe_inclk_wire), - .sreset(sclr), - .ena(oe_outclocken_wire) - `ifndef ALTERA_RESERVED_QIS - , - .areset(1'b0), - .phymemclock(1'b0), - .dfflo(), - .dffhi(), - .devpor(1'b1), - .devclrn(1'b1) - `endif - ); - end - else - begin: oe_path_ddr - fiftyfivenm_ddio_oe - #( - .async_mode(ASYNC_MODE), - .sync_mode(SYNC_MODE), - .enable_half_cycle_delay(ENABLE_OE_HALF_CYCLE_DELAY), - .power_up(DDIO_REG_POWER_UP), - .bypass_three_quarter_register(BYPASS_THREE_QUARTER_REGISTER) - ) fr_oe_data_ddio ( - .oe(~oe), - .dataout(oe_out), - .clk(oe_inclk_wire), - .ena(oe_outclocken_wire) - `ifndef ALTERA_RESERVED_QIS - , - .areset(1'b0), - .phymemclock(1'b0), - .sreset(1'b0), - .dfflo(), - .dffhi(), - .devpor(1'b1), - .devclrn(1'b1) - `endif - ); - end - end - end - else if (USE_ONE_REG_TO_DRIVE_OE == "true") - begin: oe_path_sdr - fiftyfivenm_ff oe_reg ( - .clk(oe_inclk_wire), - .d(~oe), - .clrn(1'b1), - .ena(1'b1), - .q(oe_out) - ); - end - else if (USE_ONE_REG_TO_DRIVE_OE == "false" && USE_DDIO_REG_TO_DRIVE_OE == "false") - begin: oe_path_reg_none - assign oe_out = ~oe; - end - end - endgenerate - - generate - if (PIN_TYPE == "input" || PIN_TYPE == "bidir") - begin - wire [1:0] ddr_input; - wire inclock_wire; - - if (REGISTER_MODE != "bypass") - begin - if (INVERT_INPUT_CLOCK == "false") - begin: normal_input_clock - assign inclock_wire = inclock; - end - else - begin: inverted_input_clock - assign inclock_wire = ~inclock; - end - end - - wire inclocken_wire; - assign inclocken_wire = (ENABLE_CLOCK_ENA_PORT == "true") ? inclocken : 1'b1; - - if (REGISTER_MODE == "ddr") - begin - if (USE_ENHANCED_DDR_HIO_REGISTER == "true" || USE_ADVANCED_DDR_FEATURES_FOR_INPUT_ONLY == "true") - begin - if (ENABLE_HR_CLOCK == "true") - begin - if (ASYNC_MODE != "none") - begin: async_mode_in_path_enhanced_ddr_with_halfrateresyncclk - fiftyfivenm_ddio_in - #( - .async_mode(ASYNC_MODE), - .sync_mode(SYNC_MODE), - .power_up(DDIO_REG_POWER_UP), - .invert_input_clock(INVERT_INPUT_CLOCK) - ) fr_in_ddio ( - .datain(buf_in), - .clk(inclock_wire), - .ena(inclocken_wire), - .halfrateresyncclk(hr_clock), - .regouthi(ddr_input[1]), - .regoutlo(ddr_input[0]), - .clkout(fr_clock), - .areset(aset) - `ifndef ALTERA_RESERVED_QIS - , - .sreset(1'b0), - .dfflo(), - .devpor(1'b1), - .devclrn(1'b1) - `endif - ); - end - else if (SYNC_MODE != "none") - begin:sync_mode_in_path_enhanced_ddr_with_halfrateresyncclk - fiftyfivenm_ddio_in - #( - .async_mode(ASYNC_MODE), - .sync_mode(SYNC_MODE), - .power_up(DDIO_REG_POWER_UP), - .invert_input_clock(INVERT_INPUT_CLOCK) - ) fr_in_ddio ( - .datain(buf_in), - .clk (inclock_wire), - .ena(inclocken_wire), - .sreset(sclr), - .halfrateresyncclk(hr_clock), - .regouthi(ddr_input[1]), - .regoutlo(ddr_input[0]), - .clkout(fr_clock) - `ifndef ALTERA_RESERVED_QIS - , - .areset(1'b0), - .dfflo(), - .devpor(1'b1), - .devclrn(1'b1) - `endif - ); - end - else - begin:in_path_enhanced_ddr_with_halfrateresyncclk - fiftyfivenm_ddio_in - #( - .async_mode(ASYNC_MODE), - .sync_mode(SYNC_MODE), - .power_up(DDIO_REG_POWER_UP), - .invert_input_clock(INVERT_INPUT_CLOCK) - ) fr_in_ddio ( - .datain(buf_in), - .clk (inclock_wire), - .ena(inclocken_wire), - .halfrateresyncclk(hr_clock), - .regouthi(ddr_input[1]), - .regoutlo(ddr_input[0]), - .clkout(fr_clock) - `ifndef ALTERA_RESERVED_QIS - , - .sreset(1'b0), - .areset(1'b0), - .dfflo(), - .devpor(1'b1), - .devclrn(1'b1) - `endif - ); - end - end - else - begin - if (ASYNC_MODE != "none") - begin: async_mode_in_path_enhanced_ddr - fiftyfivenm_ddio_in - #( - .async_mode(ASYNC_MODE), - .sync_mode(SYNC_MODE), - .power_up(DDIO_REG_POWER_UP), - .invert_input_clock(INVERT_INPUT_CLOCK) - ) fr_in_ddio ( - .datain(buf_in), - .clk(inclock_wire), - .ena(inclocken_wire), - .regouthi(ddr_input[1]), - .regoutlo(ddr_input[0]), - .clkout(fr_clock), - .areset(aset) - `ifndef ALTERA_RESERVED_QIS - , - .sreset(1'b0), - .dfflo(), - .devpor(1'b1), - .devclrn(1'b1), - .halfrateresyncclk(1'b0) - `endif - ); - end - else if (SYNC_MODE != "none") - begin:sync_mode_in_path_enhanced_ddr - fiftyfivenm_ddio_in - #( - .async_mode(ASYNC_MODE), - .sync_mode(SYNC_MODE), - .power_up(DDIO_REG_POWER_UP), - .invert_input_clock(INVERT_INPUT_CLOCK) - ) fr_in_ddio ( - .datain(buf_in), - .clk (inclock_wire), - .ena(inclocken_wire), - .sreset(sclr), - .regouthi(ddr_input[1]), - .regoutlo(ddr_input[0]), - .clkout(fr_clock) - `ifndef ALTERA_RESERVED_QIS - , - .areset(1'b0), - .dfflo(), - .devpor(1'b1), - .devclrn(1'b1), - .halfrateresyncclk(1'b0) - `endif - ); - end - else - begin:in_path_enhanced_ddr - fiftyfivenm_ddio_in - #( - .async_mode(ASYNC_MODE), - .sync_mode(SYNC_MODE), - .power_up(DDIO_REG_POWER_UP), - .invert_input_clock(INVERT_INPUT_CLOCK) - ) fr_in_ddio ( - .datain(buf_in), - .clk (inclock_wire), - .ena(inclocken_wire), - .regouthi(ddr_input[1]), - .regoutlo(ddr_input[0]), - .clkout(fr_clock) - `ifndef ALTERA_RESERVED_QIS - , - .sreset(1'b0), - .areset(1'b0), - .dfflo(), - .devpor(1'b1), - .devclrn(1'b1), - .halfrateresyncclk(1'b0) - `endif - ); - end - end - end - else if (ENABLE_PHASE_DETECTOR_FOR_CK == "true") - begin - assign mimic_clock = buf_in; - end - else - begin: in_path_ddr - wire input_cell_l_q; - wire input_aset; - - assign input_aset = ( ASYNC_MODE == "clear") ? !aset : aset; - - fiftyfivenm_ff input_cell_l ( - .clk(inclock_wire), - .d(buf_in), - .clrn(input_aset), - .ena(inclocken_wire), - .q(input_cell_l_q) - ); - - fiftyfivenm_ff input_latch_l ( - .clk(~inclock_wire), - .d(input_cell_l_q), - .clrn(input_aset), - .ena(inclocken_wire), - .q(ddr_input[0]) - ); - - fiftyfivenm_ff input_cell_h ( - .clk(~inclock_wire), - .d(buf_in), - .clrn(input_aset), - .ena(inclocken_wire), - .q(ddr_input[1]) - ); - - end - end - else if (REGISTER_MODE == "single-register") - begin: in_path_sdr - reg reg_data_in /* synthesis altera_attribute="FAST_INPUT_REGISTER=on" */; - always @(posedge inclock_wire) begin - reg_data_in <= buf_in; - end - assign ddr_input[0] = reg_data_in; - end - else - begin: in_path_reg_none - assign ddr_input[0] = buf_in; - end - - assign dout[DATA_SIZE - 1:0] = ddr_input[DATA_SIZE - 1:0]; - - end - endgenerate - - generate - if (PIN_TYPE == "output" || PIN_TYPE == "bidir") - begin - if(BUFFER_TYPE == "pseudo_differential") - begin: pseudo_diff_output_buf - - wire wire_pseudo_diff_o; - wire wire_pseudo_diff_o_bar; - - fiftyfivenm_io_obuf - #( - .bus_hold(BUS_HOLD), - .open_drain_output(OPEN_DRAIN_OUTPUT) - ) obuf_a ( - .i(wire_pseudo_diff_o), - .oe(~oe_out), - .o(pad), - .obar() - `ifndef ALTERA_RESERVED_QIS - , - .seriesterminationcontrol(16'b0), - .devoe(1'b1) - `endif - ); - - fiftyfivenm_io_obuf - #( - .bus_hold(BUS_HOLD), - .open_drain_output(OPEN_DRAIN_OUTPUT) - ) obuf_a_bar ( - .i(wire_pseudo_diff_o_bar), - .oe(~oe_out), - .o(pad_b), - .obar() - `ifndef ALTERA_RESERVED_QIS - , - .seriesterminationcontrol(16'b0), - .devoe(1'b1) - `endif - ); - - fiftyfivenm_pseudo_diff_out pseudo_diff_a - ( - .i(din_ddr), - .o(wire_pseudo_diff_o), - .obar(wire_pseudo_diff_o_bar) - ); - - - - end - else if (BUFFER_TYPE == "true_differential") - begin: true_diff_output_buf - fiftyfivenm_io_obuf - #( - .bus_hold(BUS_HOLD), - .open_drain_output(OPEN_DRAIN_OUTPUT) - ) obuf ( - .i(din_ddr), - .oe(~oe_out), - .o(pad), - .obar(pad_b) - `ifndef ALTERA_RESERVED_QIS - , - .seriesterminationcontrol(16'b0), - .devoe(1'b1) - `endif - ); - end - else - begin: output_buf - fiftyfivenm_io_obuf - #( - .bus_hold(BUS_HOLD), - .open_drain_output(OPEN_DRAIN_OUTPUT) - ) obuf ( - .i(din_ddr), - .oe(~oe_out), - .o(pad), - .obar() - `ifndef ALTERA_RESERVED_QIS - , - .seriesterminationcontrol(16'b0), - .devoe(1'b1) - `endif - ); - end - end - endgenerate - - assign nsleep_in = (ENABLE_NSLEEP_PORT == "true") ? nsleep : 1'b1; - - generate - if (PIN_TYPE == "input" || PIN_TYPE == "bidir") - begin - if(BUFFER_TYPE == "true_differential" || BUFFER_TYPE == "pseudo_differential") - begin: diff_input_buf - if (ENABLE_NSLEEP_PORT == "true") - begin: diff_input_buf_with_nsleep - fiftyfivenm_io_ibuf - #( - .bus_hold(BUS_HOLD) - ) ibuf ( - .i(pad), - .ibar(pad_b), - .o(buf_in), - .nsleep(nsleep_in) - ); - end - else - begin: diff_input_buf_without_nsleep - fiftyfivenm_io_ibuf - #( - .bus_hold(BUS_HOLD) - ) ibuf ( - .i(pad), - .ibar(pad_b), - .o(buf_in) - ); - end - end - else - begin:input_buf - if (ENABLE_NSLEEP_PORT == "true") - begin: input_buf_with_nsleep - fiftyfivenm_io_ibuf - #( - .bus_hold(BUS_HOLD) - ) ibuf ( - .i(pad), - .o(buf_in), - .nsleep(nsleep_in) - `ifndef ALTERA_RESERVED_QIS - , - .ibar(1'b0) - `endif - ); - end - else - begin: input_buf_without_nsleep - fiftyfivenm_io_ibuf - #( - .bus_hold(BUS_HOLD) - ) ibuf ( - .i(pad), - .o(buf_in) - `ifndef ALTERA_RESERVED_QIS - , - .ibar(1'b0) - `endif - ); - end - end - end - endgenerate - - generate - if (PIN_TYPE == "output") - begin - assign dout = {DATA_SIZE{1'b0}}; - end - - if (PIN_TYPE == "output" || REGISTER_MODE != "ddr" || USE_ENHANCED_DDR_HIO_REGISTER == "false") - begin - assign fr_clock = 1'b0; - end - - if (PIN_TYPE == "input" || PIN_TYPE == "output" || REGISTER_MODE != "ddr" || ENABLE_PHASE_DETECTOR_FOR_CK == "false") - begin - assign mimic_clock = 1'b0; - end - endgenerate - -endmodule - -module altera_gpio_lite( - inclock, - outclock, - inclocken, - outclocken, - oe, - din, - dout, - pad_io, - pad_io_b, - pad_in, - pad_in_b, - pad_out, - pad_out_b, - aset, - aclr, - phy_mem_clock, - sclr, - hr_clock, - fr_clock, - invert_hr_clock, - mimic_clock, - nsleep -); - - parameter PIN_TYPE = "output"; - parameter BUFFER_TYPE = "single-ended"; - parameter REGISTER_MODE = "bypass"; - parameter SIZE = 4; - parameter ASYNC_MODE = "none"; - parameter SYNC_MODE = "none"; - parameter BUS_HOLD = "false"; - parameter SET_REGISTER_OUTPUTS_HIGH = "false"; - parameter INVERT_OUTPUT = "false"; - parameter INVERT_INPUT_CLOCK = "false"; - parameter INVERT_OUTPUT_CLOCK = "false"; - parameter INVERT_OE_INCLOCK = "false"; - parameter USE_ONE_REG_TO_DRIVE_OE = "false"; - parameter USE_DDIO_REG_TO_DRIVE_OE = "false"; - parameter OPEN_DRAIN_OUTPUT = "false"; - parameter USE_ADVANCED_DDR_FEATURES = "false"; - parameter USE_ADVANCED_DDR_FEATURES_FOR_INPUT_ONLY = "false"; - parameter INVERT_CLKDIV_INPUT_CLOCK = "false"; - parameter ENABLE_HR_CLOCK = "false"; - parameter ENABLE_OE_HALF_CYCLE_DELAY = "true"; - parameter ENABLE_OE_PORT = "false"; - parameter ENABLE_CLOCK_ENA_PORT = "false"; - parameter ENABLE_PHASE_INVERT_CTRL_PORT = "false"; - parameter ENABLE_PHASE_DETECTOR_FOR_CK = "false"; - parameter ENABLE_NSLEEP_PORT = "false"; - - localparam USE_ENHANCED_DDR_HIO_REGISTER = USE_ADVANCED_DDR_FEATURES; - localparam BYPASS_THREE_QUARTER_REGISTER = (USE_ADVANCED_DDR_FEATURES == "true") ? "false" : "true"; - localparam DATA_SIZE = (REGISTER_MODE == "ddr") ? 2 : 1; - - input inclock; - input outclock; - input inclocken; - input outclocken; - input [SIZE - 1:0] oe; - input [SIZE - 1:0] nsleep; - input [SIZE * DATA_SIZE - 1:0] din; - output [SIZE * DATA_SIZE - 1:0] dout; - inout [SIZE - 1:0] pad_io; - inout [SIZE - 1:0] pad_io_b; - input [SIZE - 1:0] pad_in; - input [SIZE - 1:0] pad_in_b; - output [SIZE - 1:0] pad_out; - output [SIZE - 1:0] pad_out_b; - input aset; - input aclr; - input sclr; - input phy_mem_clock; - input invert_hr_clock; - output [SIZE - 1:0] fr_clock; - output wire hr_clock; - output [SIZE - 1:0] mimic_clock; - - wire [SIZE * DATA_SIZE - 1:0] din_reordered; - wire [SIZE * DATA_SIZE - 1:0] dout_reordered; - wire aclr_aset_wire; - wire sclr_wire; - wire [SIZE - 1:0] pad_io; - wire [SIZE - 1:0] pad_io_b; - - - assign aclr_aset_wire = (ASYNC_MODE == "clear") ? aclr : (ASYNC_MODE == "preset") ? aset : 1'b1; - assign sclr_wire = (SYNC_MODE == "clear") ? sclr : 1'b0; - - generate - if (PIN_TYPE == "input") - begin - assign pad_io = pad_in; - assign pad_io_b = pad_in_b; - assign pad_out = {SIZE{1'b0}}; - assign pad_out_b = {SIZE{1'b0}}; - end - else if (PIN_TYPE == "output") - begin - assign pad_out = pad_io; - assign pad_out_b = pad_io_b; - end - else begin - assign pad_out = {SIZE{1'b0}}; - assign pad_out_b = {SIZE{1'b0}}; - end - endgenerate - - genvar j, k; - generate - begin : reorder - for(j = 0; j < SIZE ; j = j + 1) begin : j_loop - for(k = 0; k < DATA_SIZE; k = k + 1) begin : k_d_loop - assign din_reordered[j * DATA_SIZE + k] = din[j + k * SIZE]; - assign dout[j + k * SIZE] = dout_reordered[j * DATA_SIZE + k]; - end - end - end - endgenerate - - genvar i; - generate - begin : gpio_one_bit - for(i = 0 ; i < SIZE ; i = i + 1) begin : i_loop - wire oe_wire; - wire nsleep_wire; - - - assign oe_wire = (PIN_TYPE == "output" && ENABLE_OE_PORT == "false") ? 1'b1 : - (PIN_TYPE == "input") ? 1'b0 : oe[i]; - - - assign nsleep_wire = (PIN_TYPE == "input" && ENABLE_NSLEEP_PORT == "false") ? 1'b1 : - (PIN_TYPE == "output") ? 1'b0 : nsleep[i]; - - altgpio_one_bit #( - .PIN_TYPE(PIN_TYPE), - .BUFFER_TYPE(BUFFER_TYPE), - .REGISTER_MODE(REGISTER_MODE), - .ASYNC_MODE(ASYNC_MODE), - .SYNC_MODE(SYNC_MODE), - .BUS_HOLD(BUS_HOLD), - .SET_REGISTER_OUTPUTS_HIGH(SET_REGISTER_OUTPUTS_HIGH), - .USE_ENHANCED_DDR_HIO_REGISTER(USE_ENHANCED_DDR_HIO_REGISTER), - .USE_ADVANCED_DDR_FEATURES_FOR_INPUT_ONLY(USE_ADVANCED_DDR_FEATURES_FOR_INPUT_ONLY), - .BYPASS_THREE_QUARTER_REGISTER(BYPASS_THREE_QUARTER_REGISTER), - .INVERT_OUTPUT(INVERT_OUTPUT), - .INVERT_INPUT_CLOCK(INVERT_INPUT_CLOCK), - .INVERT_OUTPUT_CLOCK(INVERT_OUTPUT_CLOCK), - .INVERT_OE_INCLOCK(INVERT_OE_INCLOCK), - .USE_ONE_REG_TO_DRIVE_OE(USE_ONE_REG_TO_DRIVE_OE), - .USE_DDIO_REG_TO_DRIVE_OE(USE_DDIO_REG_TO_DRIVE_OE), - .OPEN_DRAIN_OUTPUT(OPEN_DRAIN_OUTPUT), - .ENABLE_OE_HALF_CYCLE_DELAY(ENABLE_OE_HALF_CYCLE_DELAY), - .ENABLE_CLOCK_ENA_PORT(ENABLE_CLOCK_ENA_PORT), - .ENABLE_HR_CLOCK(ENABLE_HR_CLOCK), - .ENABLE_PHASE_DETECTOR_FOR_CK(ENABLE_PHASE_DETECTOR_FOR_CK), - .ENABLE_NSLEEP_PORT(ENABLE_NSLEEP_PORT) - ) altgpio_bit_i ( - .inclock(inclock), - .outclock(outclock), - .phy_mem_clock(phy_mem_clock), - .inclocken(inclocken), - .outclocken(outclocken), - .oe(oe_wire), - .din(din_reordered[(i + 1) * DATA_SIZE - 1 : i * DATA_SIZE]), - .dout(dout_reordered[(i + 1) * DATA_SIZE - 1 : i * DATA_SIZE]), - .pad(pad_io[i]), - .pad_b(pad_io_b[i]), - .aset(aclr_aset_wire), - .sclr(sclr_wire), - .fr_clock(fr_clock[i]), - .hr_clock(hr_clock), - .mimic_clock(mimic_clock[i]), - .nsleep(nsleep_wire) - ); - end - end - endgenerate - - generate - if ((PIN_TYPE == "input" || PIN_TYPE == "bidir") && (ENABLE_HR_CLOCK == "true")) - begin - if (ENABLE_PHASE_INVERT_CTRL_PORT == "true") - begin - if (SYNC_MODE == "clear") - begin : clock_divider_sync_mode_invert_hr_clock - fiftyfivenm_io_clock_divider - #( - .invert_input_clock_phase(INVERT_CLKDIV_INPUT_CLOCK), - .use_phasectrlin(ENABLE_PHASE_INVERT_CTRL_PORT), - .sync_mode(SYNC_MODE) - ) io_clkdiv ( - .clk(inclock), - .phaseinvertctrl(invert_hr_clock), - .sreset(sclr_wire), - .clkout(hr_clock) - ); - end - else - begin : clock_divider_invert_hr_clock - fiftyfivenm_io_clock_divider - #( - .invert_input_clock_phase(INVERT_CLKDIV_INPUT_CLOCK), - .use_phasectrlin(ENABLE_PHASE_INVERT_CTRL_PORT), - .sync_mode(SYNC_MODE) - ) io_clkdiv ( - .clk(inclock), - .phaseinvertctrl(invert_hr_clock), - .clkout(hr_clock) - `ifndef ALTERA_RESERVED_QIS - , - .sreset(1'b0) - `endif - ); - end - end - else - begin - if (SYNC_MODE == "clear") - begin : clock_divider_sync_mode - fiftyfivenm_io_clock_divider - #( - .invert_input_clock_phase(INVERT_CLKDIV_INPUT_CLOCK), - .use_phasectrlin(ENABLE_PHASE_INVERT_CTRL_PORT), - .sync_mode(SYNC_MODE) - ) io_clkdiv ( - .clk(inclock), - .sreset(sclr_wire), - .clkout(hr_clock) - `ifndef ALTERA_RESERVED_QIS - , - .phaseinvertctrl(1'b0) - `endif - ); - end - else - begin : clock_divider - fiftyfivenm_io_clock_divider - #( - .invert_input_clock_phase(INVERT_CLKDIV_INPUT_CLOCK), - .use_phasectrlin(ENABLE_PHASE_INVERT_CTRL_PORT), - .sync_mode(SYNC_MODE) - ) io_clkdiv ( - .clk(inclock), - .clkout(hr_clock) - `ifndef ALTERA_RESERVED_QIS - , - .sreset(1'b0), - .phaseinvertctrl(1'b0) - `endif - ); - end - end - end - else begin - assign hr_clock = 1'b0; - end - endgenerate - -endmodule diff --git a/fw/rtl/vendor/intel/generated/intel_pll.ppf b/fw/rtl/vendor/intel/generated/intel_pll.ppf deleted file mode 100644 index bf13a5c..0000000 --- a/fw/rtl/vendor/intel/generated/intel_pll.ppf +++ /dev/null @@ -1,11 +0,0 @@ - - - - - - - - - - - diff --git a/fw/rtl/vendor/intel/generated/intel_pll.qip b/fw/rtl/vendor/intel/generated/intel_pll.qip deleted file mode 100644 index b7fa58d..0000000 --- a/fw/rtl/vendor/intel/generated/intel_pll.qip +++ /dev/null @@ -1,5 +0,0 @@ -set_global_assignment -name IP_TOOL_NAME "ALTPLL" -set_global_assignment -name IP_TOOL_VERSION "21.1" -set_global_assignment -name IP_GENERATED_DEVICE_FAMILY "{MAX 10}" -set_global_assignment -name VERILOG_FILE [file join $::quartus(qip_path) "intel_pll.v"] -set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "intel_pll.ppf"] diff --git a/fw/rtl/vendor/intel/generated/intel_pll.v b/fw/rtl/vendor/intel/generated/intel_pll.v deleted file mode 100644 index 3b945a9..0000000 --- a/fw/rtl/vendor/intel/generated/intel_pll.v +++ /dev/null @@ -1,341 +0,0 @@ -// megafunction wizard: %ALTPLL% -// GENERATION: STANDARD -// VERSION: WM1.0 -// MODULE: altpll - -// ============================================================ -// File Name: intel_pll.v -// Megafunction Name(s): -// altpll -// -// Simulation Library Files(s): -// altera_mf -// ============================================================ -// ************************************************************ -// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! -// -// 21.1.0 Build 842 10/21/2021 SJ Lite Edition -// ************************************************************ - - -//Copyright (C) 2021 Intel Corporation. All rights reserved. -//Your use of Intel Corporation's design tools, logic functions -//and other software and tools, and any partner logic -//functions, and any output files from any of the foregoing -//(including device programming or simulation files), and any -//associated documentation or information are expressly subject -//to the terms and conditions of the Intel Program License -//Subscription Agreement, the Intel Quartus Prime License Agreement, -//the Intel FPGA IP License Agreement, or other applicable license -//agreement, including, without limitation, that your use is for -//the sole purpose of programming logic devices manufactured by -//Intel and sold by Intel or its authorized distributors. Please -//refer to the applicable agreement for further details, at -//https://fpgasoftware.intel.com/eula. - - -// synopsys translate_off -`timescale 1 ps / 1 ps -// synopsys translate_on -module intel_pll ( - inclk0, - c0, - c1, - locked); - - input inclk0; - output c0; - output c1; - output locked; - - wire [0:0] sub_wire2 = 1'h0; - wire [4:0] sub_wire3; - wire sub_wire6; - wire sub_wire0 = inclk0; - wire [1:0] sub_wire1 = {sub_wire2, sub_wire0}; - wire [1:1] sub_wire5 = sub_wire3[1:1]; - wire [0:0] sub_wire4 = sub_wire3[0:0]; - wire c0 = sub_wire4; - wire c1 = sub_wire5; - wire locked = sub_wire6; - - altpll altpll_component ( - .inclk (sub_wire1), - .clk (sub_wire3), - .locked (sub_wire6), - .activeclock (), - .areset (1'b0), - .clkbad (), - .clkena ({6{1'b1}}), - .clkloss (), - .clkswitch (1'b0), - .configupdate (1'b0), - .enable0 (), - .enable1 (), - .extclk (), - .extclkena ({4{1'b1}}), - .fbin (1'b1), - .fbmimicbidir (), - .fbout (), - .fref (), - .icdrclk (), - .pfdena (1'b1), - .phasecounterselect ({4{1'b1}}), - .phasedone (), - .phasestep (1'b1), - .phaseupdown (1'b1), - .pllena (1'b1), - .scanaclr (1'b0), - .scanclk (1'b0), - .scanclkena (1'b1), - .scandata (1'b0), - .scandataout (), - .scandone (), - .scanread (1'b0), - .scanwrite (1'b0), - .sclkout0 (), - .sclkout1 (), - .vcooverrange (), - .vcounderrange ()); - defparam - altpll_component.bandwidth_type = "AUTO", - altpll_component.clk0_divide_by = 1, - altpll_component.clk0_duty_cycle = 50, - altpll_component.clk0_multiply_by = 2, - altpll_component.clk0_phase_shift = "0", - altpll_component.clk1_divide_by = 1, - altpll_component.clk1_duty_cycle = 50, - altpll_component.clk1_multiply_by = 2, - altpll_component.clk1_phase_shift = "-1500", - altpll_component.compensate_clock = "CLK0", - altpll_component.inclk0_input_frequency = 20000, - altpll_component.intended_device_family = "MAX 10", - altpll_component.lpm_hint = "CBX_MODULE_PREFIX=intel_pll", - altpll_component.lpm_type = "altpll", - altpll_component.operation_mode = "NORMAL", - altpll_component.pll_type = "AUTO", - altpll_component.port_activeclock = "PORT_UNUSED", - altpll_component.port_areset = "PORT_UNUSED", - altpll_component.port_clkbad0 = "PORT_UNUSED", - altpll_component.port_clkbad1 = "PORT_UNUSED", - altpll_component.port_clkloss = "PORT_UNUSED", - altpll_component.port_clkswitch = "PORT_UNUSED", - altpll_component.port_configupdate = "PORT_UNUSED", - altpll_component.port_fbin = "PORT_UNUSED", - altpll_component.port_inclk0 = "PORT_USED", - altpll_component.port_inclk1 = "PORT_UNUSED", - altpll_component.port_locked = "PORT_USED", - altpll_component.port_pfdena = "PORT_UNUSED", - altpll_component.port_phasecounterselect = "PORT_UNUSED", - altpll_component.port_phasedone = "PORT_UNUSED", - altpll_component.port_phasestep = "PORT_UNUSED", - altpll_component.port_phaseupdown = "PORT_UNUSED", - altpll_component.port_pllena = "PORT_UNUSED", - altpll_component.port_scanaclr = "PORT_UNUSED", - altpll_component.port_scanclk = "PORT_UNUSED", - altpll_component.port_scanclkena = "PORT_UNUSED", - altpll_component.port_scandata = "PORT_UNUSED", - altpll_component.port_scandataout = "PORT_UNUSED", - altpll_component.port_scandone = "PORT_UNUSED", - altpll_component.port_scanread = "PORT_UNUSED", - altpll_component.port_scanwrite = "PORT_UNUSED", - altpll_component.port_clk0 = "PORT_USED", - altpll_component.port_clk1 = "PORT_USED", - altpll_component.port_clk2 = "PORT_UNUSED", - altpll_component.port_clk3 = "PORT_UNUSED", - altpll_component.port_clk4 = "PORT_UNUSED", - altpll_component.port_clk5 = "PORT_UNUSED", - altpll_component.port_clkena0 = "PORT_UNUSED", - altpll_component.port_clkena1 = "PORT_UNUSED", - altpll_component.port_clkena2 = "PORT_UNUSED", - altpll_component.port_clkena3 = "PORT_UNUSED", - altpll_component.port_clkena4 = "PORT_UNUSED", - altpll_component.port_clkena5 = "PORT_UNUSED", - altpll_component.port_extclk0 = "PORT_UNUSED", - altpll_component.port_extclk1 = "PORT_UNUSED", - altpll_component.port_extclk2 = "PORT_UNUSED", - altpll_component.port_extclk3 = "PORT_UNUSED", - altpll_component.self_reset_on_loss_lock = "ON", - altpll_component.width_clock = 5; - - -endmodule - -// ============================================================ -// CNX file retrieval info -// ============================================================ -// Retrieval info: PRIVATE: ACTIVECLK_CHECK STRING "0" -// Retrieval info: PRIVATE: BANDWIDTH STRING "1.000" -// Retrieval info: PRIVATE: BANDWIDTH_FEATURE_ENABLED STRING "1" -// Retrieval info: PRIVATE: BANDWIDTH_FREQ_UNIT STRING "MHz" -// Retrieval info: PRIVATE: BANDWIDTH_PRESET STRING "Low" -// Retrieval info: PRIVATE: BANDWIDTH_USE_AUTO STRING "1" -// Retrieval info: PRIVATE: BANDWIDTH_USE_PRESET STRING "0" -// Retrieval info: PRIVATE: CLKBAD_SWITCHOVER_CHECK STRING "0" -// Retrieval info: PRIVATE: CLKLOSS_CHECK STRING "0" -// Retrieval info: PRIVATE: CLKSWITCH_CHECK STRING "0" -// Retrieval info: PRIVATE: CNX_NO_COMPENSATE_RADIO STRING "0" -// Retrieval info: PRIVATE: CREATE_CLKBAD_CHECK STRING "0" -// Retrieval info: PRIVATE: CREATE_INCLK1_CHECK STRING "0" -// Retrieval info: PRIVATE: CUR_DEDICATED_CLK STRING "c0" -// Retrieval info: PRIVATE: CUR_FBIN_CLK STRING "c0" -// Retrieval info: PRIVATE: DEVICE_SPEED_GRADE STRING "Any" -// Retrieval info: PRIVATE: DIV_FACTOR0 NUMERIC "1" -// Retrieval info: PRIVATE: DIV_FACTOR1 NUMERIC "1" -// Retrieval info: PRIVATE: DUTY_CYCLE0 STRING "50.00000000" -// Retrieval info: PRIVATE: DUTY_CYCLE1 STRING "50.00000000" -// Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE0 STRING "100.000000" -// Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE1 STRING "100.000000" -// Retrieval info: PRIVATE: EXPLICIT_SWITCHOVER_COUNTER STRING "0" -// Retrieval info: PRIVATE: EXT_FEEDBACK_RADIO STRING "0" -// Retrieval info: PRIVATE: GLOCKED_COUNTER_EDIT_CHANGED STRING "1" -// Retrieval info: PRIVATE: GLOCKED_FEATURE_ENABLED STRING "0" -// Retrieval info: PRIVATE: GLOCKED_MODE_CHECK STRING "0" -// Retrieval info: PRIVATE: GLOCK_COUNTER_EDIT NUMERIC "1048575" -// Retrieval info: PRIVATE: HAS_MANUAL_SWITCHOVER STRING "1" -// Retrieval info: PRIVATE: INCLK0_FREQ_EDIT STRING "50.000" -// Retrieval info: PRIVATE: INCLK0_FREQ_UNIT_COMBO STRING "MHz" -// Retrieval info: PRIVATE: INCLK1_FREQ_EDIT STRING "100.000" -// Retrieval info: PRIVATE: INCLK1_FREQ_EDIT_CHANGED STRING "1" -// Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_CHANGED STRING "1" -// Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_COMBO STRING "MHz" -// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "MAX 10" -// Retrieval info: PRIVATE: INT_FEEDBACK__MODE_RADIO STRING "1" -// Retrieval info: PRIVATE: LOCKED_OUTPUT_CHECK STRING "1" -// Retrieval info: PRIVATE: LONG_SCAN_RADIO STRING "1" -// Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE STRING "Not Available" -// Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE_DIRTY NUMERIC "0" -// Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT0 STRING "deg" -// Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT1 STRING "deg" -// Retrieval info: PRIVATE: MIG_DEVICE_SPEED_GRADE STRING "Any" -// Retrieval info: PRIVATE: MIRROR_CLK0 STRING "0" -// Retrieval info: PRIVATE: MIRROR_CLK1 STRING "0" -// Retrieval info: PRIVATE: MULT_FACTOR0 NUMERIC "1" -// Retrieval info: PRIVATE: MULT_FACTOR1 NUMERIC "1" -// Retrieval info: PRIVATE: NORMAL_MODE_RADIO STRING "1" -// Retrieval info: PRIVATE: OUTPUT_FREQ0 STRING "100.00000000" -// Retrieval info: PRIVATE: OUTPUT_FREQ1 STRING "100.00000000" -// Retrieval info: PRIVATE: OUTPUT_FREQ_MODE0 STRING "1" -// Retrieval info: PRIVATE: OUTPUT_FREQ_MODE1 STRING "1" -// Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT0 STRING "MHz" -// Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT1 STRING "MHz" -// Retrieval info: PRIVATE: PHASE_RECONFIG_FEATURE_ENABLED STRING "1" -// Retrieval info: PRIVATE: PHASE_RECONFIG_INPUTS_CHECK STRING "0" -// Retrieval info: PRIVATE: PHASE_SHIFT0 STRING "0.00000000" -// Retrieval info: PRIVATE: PHASE_SHIFT1 STRING "-54.00000000" -// Retrieval info: PRIVATE: PHASE_SHIFT_STEP_ENABLED_CHECK STRING "0" -// Retrieval info: PRIVATE: PHASE_SHIFT_UNIT0 STRING "deg" -// Retrieval info: PRIVATE: PHASE_SHIFT_UNIT1 STRING "deg" -// Retrieval info: PRIVATE: PLL_ADVANCED_PARAM_CHECK STRING "0" -// Retrieval info: PRIVATE: PLL_ARESET_CHECK STRING "0" -// Retrieval info: PRIVATE: PLL_AUTOPLL_CHECK NUMERIC "1" -// Retrieval info: PRIVATE: PLL_ENHPLL_CHECK NUMERIC "0" -// Retrieval info: PRIVATE: PLL_FASTPLL_CHECK NUMERIC "0" -// Retrieval info: PRIVATE: PLL_FBMIMIC_CHECK STRING "0" -// Retrieval info: PRIVATE: PLL_LVDS_PLL_CHECK NUMERIC "0" -// Retrieval info: PRIVATE: PLL_PFDENA_CHECK STRING "0" -// Retrieval info: PRIVATE: PLL_TARGET_HARCOPY_CHECK NUMERIC "0" -// Retrieval info: PRIVATE: PRIMARY_CLK_COMBO STRING "inclk0" -// Retrieval info: PRIVATE: RECONFIG_FILE STRING "intel_pll.mif" -// Retrieval info: PRIVATE: SACN_INPUTS_CHECK STRING "0" -// Retrieval info: PRIVATE: SCAN_FEATURE_ENABLED STRING "1" -// Retrieval info: PRIVATE: SELF_RESET_LOCK_LOSS STRING "1" -// Retrieval info: PRIVATE: SHORT_SCAN_RADIO STRING "0" -// Retrieval info: PRIVATE: SPREAD_FEATURE_ENABLED STRING "0" -// Retrieval info: PRIVATE: SPREAD_FREQ STRING "50.000" -// Retrieval info: PRIVATE: SPREAD_FREQ_UNIT STRING "KHz" -// Retrieval info: PRIVATE: SPREAD_PERCENT STRING "0.500" -// Retrieval info: PRIVATE: SPREAD_USE STRING "0" -// Retrieval info: PRIVATE: SRC_SYNCH_COMP_RADIO STRING "0" -// Retrieval info: PRIVATE: STICKY_CLK0 STRING "1" -// Retrieval info: PRIVATE: STICKY_CLK1 STRING "1" -// Retrieval info: PRIVATE: STICKY_CLK2 STRING "0" -// Retrieval info: PRIVATE: STICKY_CLK3 STRING "0" -// Retrieval info: PRIVATE: STICKY_CLK4 STRING "0" -// Retrieval info: PRIVATE: SWITCHOVER_COUNT_EDIT NUMERIC "1" -// Retrieval info: PRIVATE: SWITCHOVER_FEATURE_ENABLED STRING "1" -// Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" -// Retrieval info: PRIVATE: USE_CLK0 STRING "1" -// Retrieval info: PRIVATE: USE_CLK1 STRING "1" -// Retrieval info: PRIVATE: USE_CLKENA0 STRING "0" -// Retrieval info: PRIVATE: USE_CLKENA1 STRING "0" -// Retrieval info: PRIVATE: USE_MIL_SPEED_GRADE NUMERIC "0" -// Retrieval info: PRIVATE: ZERO_DELAY_RADIO STRING "0" -// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all -// Retrieval info: CONSTANT: BANDWIDTH_TYPE STRING "AUTO" -// Retrieval info: CONSTANT: CLK0_DIVIDE_BY NUMERIC "1" -// Retrieval info: CONSTANT: CLK0_DUTY_CYCLE NUMERIC "50" -// Retrieval info: CONSTANT: CLK0_MULTIPLY_BY NUMERIC "2" -// Retrieval info: CONSTANT: CLK0_PHASE_SHIFT STRING "0" -// Retrieval info: CONSTANT: CLK1_DIVIDE_BY NUMERIC "1" -// Retrieval info: CONSTANT: CLK1_DUTY_CYCLE NUMERIC "50" -// Retrieval info: CONSTANT: CLK1_MULTIPLY_BY NUMERIC "2" -// Retrieval info: CONSTANT: CLK1_PHASE_SHIFT STRING "-1500" -// Retrieval info: CONSTANT: COMPENSATE_CLOCK STRING "CLK0" -// Retrieval info: CONSTANT: INCLK0_INPUT_FREQUENCY NUMERIC "20000" -// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "MAX 10" -// Retrieval info: CONSTANT: LPM_TYPE STRING "altpll" -// Retrieval info: CONSTANT: OPERATION_MODE STRING "NORMAL" -// Retrieval info: CONSTANT: PLL_TYPE STRING "AUTO" -// Retrieval info: CONSTANT: PORT_ACTIVECLOCK STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_ARESET STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_CLKBAD0 STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_CLKBAD1 STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_CLKLOSS STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_CLKSWITCH STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_CONFIGUPDATE STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_FBIN STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_INCLK0 STRING "PORT_USED" -// Retrieval info: CONSTANT: PORT_INCLK1 STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_LOCKED STRING "PORT_USED" -// Retrieval info: CONSTANT: PORT_PFDENA STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_PHASECOUNTERSELECT STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_PHASEDONE STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_PHASESTEP STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_PHASEUPDOWN STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_PLLENA STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_SCANACLR STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_SCANCLK STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_SCANCLKENA STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_SCANDATA STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_SCANDATAOUT STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_SCANDONE STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_SCANREAD STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_SCANWRITE STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_clk0 STRING "PORT_USED" -// Retrieval info: CONSTANT: PORT_clk1 STRING "PORT_USED" -// Retrieval info: CONSTANT: PORT_clk2 STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_clk3 STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_clk4 STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_clk5 STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_clkena0 STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_clkena1 STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_clkena2 STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_clkena3 STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_clkena4 STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_clkena5 STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_extclk0 STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_extclk1 STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_extclk2 STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_extclk3 STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: SELF_RESET_ON_LOSS_LOCK STRING "ON" -// Retrieval info: CONSTANT: WIDTH_CLOCK NUMERIC "5" -// Retrieval info: USED_PORT: @clk 0 0 5 0 OUTPUT_CLK_EXT VCC "@clk[4..0]" -// Retrieval info: USED_PORT: c0 0 0 0 0 OUTPUT_CLK_EXT VCC "c0" -// Retrieval info: USED_PORT: c1 0 0 0 0 OUTPUT_CLK_EXT VCC "c1" -// Retrieval info: USED_PORT: inclk0 0 0 0 0 INPUT_CLK_EXT GND "inclk0" -// Retrieval info: USED_PORT: locked 0 0 0 0 OUTPUT GND "locked" -// Retrieval info: CONNECT: @inclk 0 0 1 1 GND 0 0 0 0 -// Retrieval info: CONNECT: @inclk 0 0 1 0 inclk0 0 0 0 0 -// Retrieval info: CONNECT: c0 0 0 0 0 @clk 0 0 1 0 -// Retrieval info: CONNECT: c1 0 0 0 0 @clk 0 0 1 1 -// Retrieval info: CONNECT: locked 0 0 0 0 @locked 0 0 0 0 -// Retrieval info: GEN_FILE: TYPE_NORMAL intel_pll.v TRUE -// Retrieval info: GEN_FILE: TYPE_NORMAL intel_pll.ppf TRUE -// Retrieval info: GEN_FILE: TYPE_NORMAL intel_pll.inc FALSE -// Retrieval info: GEN_FILE: TYPE_NORMAL intel_pll.cmp FALSE -// Retrieval info: GEN_FILE: TYPE_NORMAL intel_pll.bsf FALSE -// Retrieval info: GEN_FILE: TYPE_NORMAL intel_pll_inst.v FALSE -// Retrieval info: GEN_FILE: TYPE_NORMAL intel_pll_bb.v FALSE -// Retrieval info: LIB_FILE: altera_mf -// Retrieval info: CBX_MODULE_PREFIX: ON diff --git a/fw/rtl/vendor/intel/vendor_flash.sv b/fw/rtl/vendor/intel/vendor_flash.sv deleted file mode 100644 index b19f9a2..0000000 --- a/fw/rtl/vendor/intel/vendor_flash.sv +++ /dev/null @@ -1,175 +0,0 @@ -module vendor_flash ( - input clk, - input reset, - - input erase_start, - output erase_busy, - input wp_enable, - input wp_disable, - - input request, - output ack, - input write, - input [31:0] address, - input [31:0] wdata, - output [31:0] rdata -); - - const int FLASH_SECTORS = 3'd4; - - typedef enum bit [1:0] { - STATE_START, - STATE_PENDING, - STATE_ERASING - } e_erase_state; - - typedef enum bit [0:0] { - CSR_STATUS = 1'b0, - CSR_CONTROL = 1'b1 - } e_flash_csr; - - typedef enum bit [1:0] { - STATUS_IDLE = 2'b00, - STATUS_BUSY_ERASE = 2'b01, - STATUS_BUSY_WRITE = 2'b10, - STATUS_BUSY_READ = 2'b11 - } e_flash_status; - - logic csr_read; - logic csr_write; - e_flash_csr csr_address; - logic [31:0] csr_wdata; - logic [31:0] csr_rdata; - - logic wp_setting; - logic [2:0] erase_sector; - e_erase_state state; - - always_ff @(posedge clk) begin - csr_read <= 1'b0; - csr_write <= 1'b0; - csr_address <= CSR_STATUS; - - if (reset) begin - erase_busy <= 1'b0; - wp_setting <= 1'b1; - end else if (!erase_busy) begin - if (erase_start) begin - erase_busy <= 1'b1; - erase_sector <= 3'd1; - state <= STATE_START; - end else if (wp_enable) begin - csr_write <= 1'b1; - csr_address <= CSR_CONTROL; - csr_wdata <= 32'hFFFF_FFFF; - wp_setting <= 1'b1; - end else if (wp_disable) begin - csr_write <= 1'b1; - csr_address <= CSR_CONTROL; - csr_wdata <= 32'hF07F_FFFF; - wp_setting <= 1'b0; - end - end else begin - csr_read <= 1'b1; - - case (state) - STATE_START: begin - if (csr_read && (csr_rdata[1:0] == STATUS_IDLE)) begin - csr_read <= 1'b0; - csr_write <= 1'b1; - csr_address <= CSR_CONTROL; - csr_wdata <= {4'hF, {5{wp_setting}}, erase_sector, 20'hFFFFF}; - state <= STATE_PENDING; - end - end - - STATE_PENDING: begin - if (csr_read && (csr_rdata[1:0] == STATUS_BUSY_ERASE)) begin - state <= STATE_ERASING; - end - end - - STATE_ERASING: begin - if (csr_read && (csr_rdata[1:0] == STATUS_IDLE)) begin - if (erase_sector == FLASH_SECTORS) begin - erase_busy <= 1'b0; - end else begin - erase_sector <= erase_sector + 1'd1; - state <= STATE_START; - end - end - end - endcase - end - end - - logic data_read; - logic data_write; - logic data_busy; - logic data_ack; - logic [15:0] data_address; - logic [31:0] data_wdata; - logic [31:0] data_rdata; - - logic pending; - logic write_ack; - - always_ff @(posedge clk) begin - write_ack <= 1'b0; - - if (reset) begin - data_read <= 1'b0; - data_write <= 1'b0; - pending <= 1'b0; - end else begin - if (request && !pending && !erase_busy) begin - pending <= 1'b1; - if (write && !wp_setting) begin - data_write <= 1'b1; - end else begin - data_read <= 1'b1; - end - end - - if (data_read && !data_busy) begin - data_read <= 1'b0; - end - - if (data_write && !data_busy) begin - data_write <= 1'b0; - pending <= 1'b0; - write_ack <= 1'b1; - end - - if (data_ack) begin - pending <= 1'b0; - end - end - end - - always_comb begin - ack = data_ack || write_ack; - data_address = address[17:2]; - end - - intel_flash intel_flash_inst ( - .clock(clk), - .reset_n(~reset), - - .avmm_csr_read(csr_read), - .avmm_csr_write(csr_write), - .avmm_csr_addr(csr_address), - .avmm_csr_writedata(csr_wdata), - .avmm_csr_readdata(csr_rdata), - - .avmm_data_read(data_read), - .avmm_data_write(data_write), - .avmm_data_waitrequest(data_busy), - .avmm_data_readdatavalid(data_ack), - .avmm_data_addr(data_address), - .avmm_data_writedata(wdata), - .avmm_data_readdata(rdata), - .avmm_data_burstcount(2'd1) - ); - -endmodule diff --git a/fw/rtl/vendor/intel/vendor_reconfigure.sv b/fw/rtl/vendor/intel/vendor_reconfigure.sv deleted file mode 100644 index 70e3f55..0000000 --- a/fw/rtl/vendor/intel/vendor_reconfigure.sv +++ /dev/null @@ -1,42 +0,0 @@ -module vendor_reconfigure ( - input clk, - input reset, - - input trigger_reconfiguration -); - - logic [1:0] ru_clk; - logic ru_rconfig; - logic ru_regout; - - logic pending; - - always_ff @(posedge clk) begin - if (reset) begin - ru_clk <= 2'd0; - ru_rconfig <= 1'b0; - pending <= 1'b0; - end else begin - ru_clk <= ru_clk + 1'd1; - - if (trigger_reconfiguration) begin - pending <= 1'b1; - end - - if (ru_clk == 2'd1) begin - ru_rconfig <= pending; - end - end - end - - fiftyfivenm_rublock fiftyfivenm_rublock_inst ( - .clk(ru_clk[1]), - .shiftnld(1'b0), - .captnupdt(1'b0), - .regin(1'b0), - .rsttimer(1'b0), - .rconfig(ru_rconfig), - .regout(ru_regout) - ); - -endmodule diff --git a/fw/rtl/vendor/lcmxo2/fifo_8kb.sv b/fw/rtl/vendor/lcmxo2/fifo_8kb.sv new file mode 100644 index 0000000..a8ef3a7 --- /dev/null +++ b/fw/rtl/vendor/lcmxo2/fifo_8kb.sv @@ -0,0 +1,31 @@ +module fifo_8kb ( + input clk, + input reset, + + output empty, + output almost_empty, + input read, + output [7:0] rdata, + + output full, + output almost_full, + input write, + input [7:0] wdata +); + + fifo_8kb_lattice_generated fifo_8kb_lattice_generated_inst ( + .Data(wdata), + .WrClock(clk), + .RdClock(clk), + .WrEn(write), + .RdEn(read), + .Reset(reset), + .RPReset(reset), + .Q(rdata), + .Empty(empty), + .Full(full), + .AlmostEmpty(almost_empty), + .AlmostFull(almost_full) + ); + +endmodule diff --git a/fw/rtl/vendor/lcmxo2/generated/fifo_8kb_lattice_generated.v b/fw/rtl/vendor/lcmxo2/generated/fifo_8kb_lattice_generated.v new file mode 100644 index 0000000..dcf16bd --- /dev/null +++ b/fw/rtl/vendor/lcmxo2/generated/fifo_8kb_lattice_generated.v @@ -0,0 +1,66 @@ +/* Verilog netlist generated by SCUBA Diamond (64-bit) 3.12.1.454 */ +/* Module Version: 5.8 */ +/* C:\lscc\diamond\3.12\ispfpga\bin\nt64\scuba.exe -w -n fifo_8kb_lattice_generated -lang verilog -synth synplify -bus_exp 7 -bb -arch xo2c00 -type ebfifo -depth 1024 -width 8 -rwidth 8 -no_enable -pe 1 -pf 1023 */ +/* Sat Mar 19 13:53:20 2022 */ + + +`timescale 1 ns / 1 ps +module fifo_8kb_lattice_generated (Data, WrClock, RdClock, WrEn, RdEn, + Reset, RPReset, Q, Empty, Full, AlmostEmpty, AlmostFull)/* synthesis NGD_DRC_MASK=1 */; + input wire [7:0] Data; + input wire WrClock; + input wire RdClock; + input wire WrEn; + input wire RdEn; + input wire Reset; + input wire RPReset; + output wire [7:0] Q; + output wire Empty; + output wire Full; + output wire AlmostEmpty; + output wire AlmostFull; + + wire scuba_vhi; + wire Empty_int; + wire Full_int; + wire scuba_vlo; + + VHI scuba_vhi_inst (.Z(scuba_vhi)); + + VLO scuba_vlo_inst (.Z(scuba_vlo)); + + defparam fifo_8kb_lattice_generated_0_0.FULLPOINTER1 = "0b01111111111000" ; + defparam fifo_8kb_lattice_generated_0_0.FULLPOINTER = "0b10000000000000" ; + defparam fifo_8kb_lattice_generated_0_0.AFPOINTER1 = "0b01111111110000" ; + defparam fifo_8kb_lattice_generated_0_0.AFPOINTER = "0b01111111111000" ; + defparam fifo_8kb_lattice_generated_0_0.AEPOINTER1 = "0b00000000010000" ; + defparam fifo_8kb_lattice_generated_0_0.AEPOINTER = "0b00000000001000" ; + defparam fifo_8kb_lattice_generated_0_0.ASYNC_RESET_RELEASE = "SYNC" ; + defparam fifo_8kb_lattice_generated_0_0.GSR = "DISABLED" ; + defparam fifo_8kb_lattice_generated_0_0.RESETMODE = "ASYNC" ; + defparam fifo_8kb_lattice_generated_0_0.REGMODE = "NOREG" ; + defparam fifo_8kb_lattice_generated_0_0.CSDECODE_R = "0b11" ; + defparam fifo_8kb_lattice_generated_0_0.CSDECODE_W = "0b11" ; + defparam fifo_8kb_lattice_generated_0_0.DATA_WIDTH_R = 9 ; + defparam fifo_8kb_lattice_generated_0_0.DATA_WIDTH_W = 9 ; + FIFO8KB fifo_8kb_lattice_generated_0_0 (.DI0(Data[0]), .DI1(Data[1]), + .DI2(Data[2]), .DI3(Data[3]), .DI4(Data[4]), .DI5(Data[5]), .DI6(Data[6]), + .DI7(Data[7]), .DI8(scuba_vlo), .DI9(scuba_vlo), .DI10(scuba_vlo), + .DI11(scuba_vlo), .DI12(scuba_vlo), .DI13(scuba_vlo), .DI14(scuba_vlo), + .DI15(scuba_vlo), .DI16(scuba_vlo), .DI17(scuba_vlo), .CSW0(scuba_vhi), + .CSW1(scuba_vhi), .CSR0(scuba_vhi), .CSR1(scuba_vhi), .FULLI(Full_int), + .EMPTYI(Empty_int), .WE(WrEn), .RE(RdEn), .ORE(RdEn), .CLKW(WrClock), + .CLKR(RdClock), .RST(Reset), .RPRST(RPReset), .DO0(Q[0]), .DO1(Q[1]), + .DO2(Q[2]), .DO3(Q[3]), .DO4(Q[4]), .DO5(Q[5]), .DO6(Q[6]), .DO7(Q[7]), + .DO8(), .DO9(), .DO10(), .DO11(), .DO12(), .DO13(), .DO14(), .DO15(), + .DO16(), .DO17(), .EF(Empty_int), .AEF(AlmostEmpty), .AFF(AlmostFull), + .FF(Full_int)); + + assign Empty = Empty_int; + assign Full = Full_int; + + + // exemplar begin + // exemplar end + +endmodule diff --git a/fw/rtl/vendor/lcmxo2/generated/pll_lattice_generated.v b/fw/rtl/vendor/lcmxo2/generated/pll_lattice_generated.v new file mode 100644 index 0000000..5f3ad98 --- /dev/null +++ b/fw/rtl/vendor/lcmxo2/generated/pll_lattice_generated.v @@ -0,0 +1,98 @@ +/* Verilog netlist generated by SCUBA Diamond (64-bit) 3.12.1.454 */ +/* Module Version: 5.7 */ +/* C:\lscc\diamond\3.12\ispfpga\bin\nt64\scuba.exe -w -n pll_lattice_generated -lang verilog -synth synplify -arch xo2c00 -type pll -fin 50 -fclkop 100 -fclkop_tol 0.0 -fclkos 100 -fclkos_tol 0.0 -trimp 0 -phasep 0 -trimp_r -trims 0 -phases 90 -trims_r -phase_cntl STATIC -fb_mode 1 -lock */ +/* Sat Mar 19 17:10:12 2022 */ + + +`timescale 1 ns / 1 ps +module pll_lattice_generated (CLKI, CLKOP, CLKOS, LOCK)/* synthesis NGD_DRC_MASK=1 */; + input wire CLKI; + output wire CLKOP; + output wire CLKOS; + output wire LOCK; + + wire CLKOS_t; + wire CLKOP_t; + wire scuba_vlo; + + VLO scuba_vlo_inst (.Z(scuba_vlo)); + + defparam PLLInst_0.DDRST_ENA = "DISABLED" ; + defparam PLLInst_0.DCRST_ENA = "DISABLED" ; + defparam PLLInst_0.MRST_ENA = "DISABLED" ; + defparam PLLInst_0.PLLRST_ENA = "DISABLED" ; + defparam PLLInst_0.INTFB_WAKE = "DISABLED" ; + defparam PLLInst_0.STDBY_ENABLE = "DISABLED" ; + defparam PLLInst_0.DPHASE_SOURCE = "DISABLED" ; + defparam PLLInst_0.PLL_USE_WB = "DISABLED" ; + defparam PLLInst_0.CLKOS3_FPHASE = 0 ; + defparam PLLInst_0.CLKOS3_CPHASE = 0 ; + defparam PLLInst_0.CLKOS2_FPHASE = 0 ; + defparam PLLInst_0.CLKOS2_CPHASE = 0 ; + defparam PLLInst_0.CLKOS_FPHASE = 2 ; + defparam PLLInst_0.CLKOS_CPHASE = 5 ; + defparam PLLInst_0.CLKOP_FPHASE = 0 ; + defparam PLLInst_0.CLKOP_CPHASE = 4 ; + defparam PLLInst_0.PLL_LOCK_MODE = 0 ; + defparam PLLInst_0.CLKOS_TRIM_DELAY = 0 ; + defparam PLLInst_0.CLKOS_TRIM_POL = "RISING" ; + defparam PLLInst_0.CLKOP_TRIM_DELAY = 0 ; + defparam PLLInst_0.CLKOP_TRIM_POL = "RISING" ; + defparam PLLInst_0.FRACN_DIV = 0 ; + defparam PLLInst_0.FRACN_ENABLE = "DISABLED" ; + defparam PLLInst_0.OUTDIVIDER_MUXD2 = "DIVD" ; + defparam PLLInst_0.PREDIVIDER_MUXD1 = 0 ; + defparam PLLInst_0.VCO_BYPASS_D0 = "DISABLED" ; + defparam PLLInst_0.CLKOS3_ENABLE = "DISABLED" ; + defparam PLLInst_0.OUTDIVIDER_MUXC2 = "DIVC" ; + defparam PLLInst_0.PREDIVIDER_MUXC1 = 0 ; + defparam PLLInst_0.VCO_BYPASS_C0 = "DISABLED" ; + defparam PLLInst_0.CLKOS2_ENABLE = "DISABLED" ; + defparam PLLInst_0.OUTDIVIDER_MUXB2 = "DIVB" ; + defparam PLLInst_0.PREDIVIDER_MUXB1 = 0 ; + defparam PLLInst_0.VCO_BYPASS_B0 = "DISABLED" ; + defparam PLLInst_0.CLKOS_ENABLE = "ENABLED" ; + defparam PLLInst_0.OUTDIVIDER_MUXA2 = "DIVA" ; + defparam PLLInst_0.PREDIVIDER_MUXA1 = 0 ; + defparam PLLInst_0.VCO_BYPASS_A0 = "DISABLED" ; + defparam PLLInst_0.CLKOP_ENABLE = "ENABLED" ; + defparam PLLInst_0.CLKOS3_DIV = 1 ; + defparam PLLInst_0.CLKOS2_DIV = 1 ; + defparam PLLInst_0.CLKOS_DIV = 5 ; + defparam PLLInst_0.CLKOP_DIV = 5 ; + defparam PLLInst_0.CLKFB_DIV = 2 ; + defparam PLLInst_0.CLKI_DIV = 1 ; + defparam PLLInst_0.FEEDBK_PATH = "CLKOP" ; + EHXPLLJ PLLInst_0 (.CLKI(CLKI), .CLKFB(CLKOP_t), .PHASESEL1(scuba_vlo), + .PHASESEL0(scuba_vlo), .PHASEDIR(scuba_vlo), .PHASESTEP(scuba_vlo), + .LOADREG(scuba_vlo), .STDBY(scuba_vlo), .PLLWAKESYNC(scuba_vlo), + .RST(scuba_vlo), .RESETM(scuba_vlo), .RESETC(scuba_vlo), .RESETD(scuba_vlo), + .ENCLKOP(scuba_vlo), .ENCLKOS(scuba_vlo), .ENCLKOS2(scuba_vlo), + .ENCLKOS3(scuba_vlo), .PLLCLK(scuba_vlo), .PLLRST(scuba_vlo), .PLLSTB(scuba_vlo), + .PLLWE(scuba_vlo), .PLLADDR4(scuba_vlo), .PLLADDR3(scuba_vlo), .PLLADDR2(scuba_vlo), + .PLLADDR1(scuba_vlo), .PLLADDR0(scuba_vlo), .PLLDATI7(scuba_vlo), + .PLLDATI6(scuba_vlo), .PLLDATI5(scuba_vlo), .PLLDATI4(scuba_vlo), + .PLLDATI3(scuba_vlo), .PLLDATI2(scuba_vlo), .PLLDATI1(scuba_vlo), + .PLLDATI0(scuba_vlo), .CLKOP(CLKOP_t), .CLKOS(CLKOS_t), .CLKOS2(), + .CLKOS3(), .LOCK(LOCK), .INTLOCK(), .REFCLK(), .CLKINTFB(), .DPHSRC(), + .PLLACK(), .PLLDATO7(), .PLLDATO6(), .PLLDATO5(), .PLLDATO4(), .PLLDATO3(), + .PLLDATO2(), .PLLDATO1(), .PLLDATO0()) + /* synthesis FREQUENCY_PIN_CLKOS="100.000000" */ + /* synthesis FREQUENCY_PIN_CLKOP="100.000000" */ + /* synthesis FREQUENCY_PIN_CLKI="50.000000" */ + /* synthesis ICP_CURRENT="9" */ + /* synthesis LPF_RESISTOR="72" */; + + assign CLKOS = CLKOS_t; + assign CLKOP = CLKOP_t; + + + // exemplar begin + // exemplar attribute PLLInst_0 FREQUENCY_PIN_CLKOS 100.000000 + // exemplar attribute PLLInst_0 FREQUENCY_PIN_CLKOP 100.000000 + // exemplar attribute PLLInst_0 FREQUENCY_PIN_CLKI 50.000000 + // exemplar attribute PLLInst_0 ICP_CURRENT 9 + // exemplar attribute PLLInst_0 LPF_RESISTOR 72 + // exemplar end + +endmodule diff --git a/fw/rtl/vendor/lcmxo2/pll.sv b/fw/rtl/vendor/lcmxo2/pll.sv new file mode 100644 index 0000000..30c63d4 --- /dev/null +++ b/fw/rtl/vendor/lcmxo2/pll.sv @@ -0,0 +1,36 @@ +module pll ( + input inclk, + output logic reset, + output clk, + output sdram_clk +); + + logic pll_sdram_clk; + logic buf_sdram_clk; + logic pll_lock; + + pll_lattice_generated pll_lattice_generated_inst ( + .CLKI(inclk), + .CLKOP(clk), + .CLKOS(pll_sdram_clk), + .LOCK(pll_lock) + ); + + ODDRXE oddrxe_sdram_clk_inst ( + .D0(1'b0), + .D1(1'b1), + .SCLK(pll_sdram_clk), + .RST(1'b0), + .Q(buf_sdram_clk) + ); + + OB ob_sdram_clk_inst ( + .I(buf_sdram_clk), + .O(sdram_clk) + ) /* synthesis IO_TYPE="LVCMOS33" */; + + always_ff @(posedge clk) begin + reset <= ~pll_lock; + end + +endmodule diff --git a/fw/scripts/post_module.tcl b/fw/scripts/post_module.tcl deleted file mode 100644 index ed19714..0000000 --- a/fw/scripts/post_module.tcl +++ /dev/null @@ -1,6 +0,0 @@ -set flow [lindex $quartus(args) 0] - -if [string match "quartus_asm" $flow] { - post_message "Generating final programming file" - qexec "quartus_cpf -c SummerCart64.cof" -} diff --git a/hw/.gitignore b/hw/.gitignore deleted file mode 100644 index 110ec6d..0000000 --- a/hw/.gitignore +++ /dev/null @@ -1,7 +0,0 @@ -/CAMOutputs -*.b#* -*.l#* -*.s#* -*.pdf -*.zip -eagle.epf diff --git a/hw/README.md b/hw/README.md deleted file mode 100644 index 4960309..0000000 --- a/hw/README.md +++ /dev/null @@ -1,7 +0,0 @@ -# SummerCart64 Hardware - -Schematics and PCB design for SummerCart64 done in Autodesk Eagle software. - -## TODO - -- Expand documentation diff --git a/hw/SummerCart64.brd b/hw/SummerCart64.brd deleted file mode 100644 index 9366456..0000000 --- a/hw/SummerCart64.brd +++ /dev/null @@ -1,5643 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -SummerCart64 -N64 FlashCart/DevKit -SummerCart64 -N64 FlashCart/DevKit -HW version: 1.0a -Designed by Polprzewodnikowy - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - -Footprint for Nintendo 64 cartridge edge connector. - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -26 -30 -35 -40 -45 -50 -1 -5 -10 -15 -20 -25 -BACK -FRONT - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>MICRON Flash Memory</b><p> -www.micron.com<br> -<author>Created by librarian@cadsoft.de</author><p> - - -<b>54-Pin Plastic TSOP</b> (400 mil)<p> -Source: http://download.micron.com/pdf/datasheets/dram/sdram/256MSDRAM.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -54-Pin Plastic TSOP (400 mil) -Source: http://download.micron.com/pdf/datasheets/dram/sdram/256MSDRAM.pdf - - - - - - - -<b>Resistors in DIL Packages</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>BOURNS</b> Chip Resistor Array<p> -Source: RS Component / BUORNS - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -BOURNS Chip Resistor Array -Source: RS Component / BUORNS - - - - - - - -<b>Resistors, Capacitors, Inductors</b><p> -Based on the previous libraries: -<ul> -<li>r.lbr -<li>cap.lbr -<li>cap-fe.lbr -<li>captant.lbr -<li>polcap.lbr -<li>ipc-smd.lbr -</ul> -All SMD packages are defined according to the IPC specifications and CECC<p> -<author>Created by librarian@cadsoft.de</author><p> -<p> -for Electrolyt Capacitors see also :<p> -www.bccomponents.com <p> -www.panasonic.com<p> -www.kemet.com<p> -http://www.secc.co.jp/pdf/os_e/2004/e_os_all.pdf <b>(SANYO)</b> -<p> -for trimmer refence see : <u>www.electrospec-inc.com/cross_references/trimpotcrossref.asp</u><p> - -<table border=0 cellspacing=0 cellpadding=0 width="100%" cellpaddding=0> -<tr valign="top"> - -<! <td width="10">&nbsp;</td> -<td width="90%"> - -<b><font color="#0000FF" size="4">TRIM-POT CROSS REFERENCE</font></b> -<P> -<TABLE BORDER=0 CELLSPACING=1 CELLPADDING=2> - <TR> - <TD COLSPAN=8> - <FONT SIZE=3 FACE=ARIAL><B>RECTANGULAR MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">BOURNS</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">BI&nbsp;TECH</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">DALE-VISHAY</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">PHILIPS/MEPCO</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">MURATA</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">PANASONIC</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">SPECTROL</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">MILSPEC</FONT> - </B> - </TD><TD>&nbsp;</TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3 > - 3005P<BR> - 3006P<BR> - 3006W<BR> - 3006Y<BR> - 3009P<BR> - 3009W<BR> - 3009Y<BR> - 3057J<BR> - 3057L<BR> - 3057P<BR> - 3057Y<BR> - 3059J<BR> - 3059L<BR> - 3059P<BR> - 3059Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 89P<BR> - 89W<BR> - 89X<BR> - 89PH<BR> - 76P<BR> - 89XH<BR> - 78SLT<BR> - 78L&nbsp;ALT<BR> - 56P&nbsp;ALT<BR> - 78P&nbsp;ALT<BR> - T8S<BR> - 78L<BR> - 56P<BR> - 78P<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - T18/784<BR> - 783<BR> - 781<BR> - -<BR> - -<BR> - -<BR> - 2199<BR> - 1697/1897<BR> - 1680/1880<BR> - 2187<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 8035EKP/CT20/RJ-20P<BR> - -<BR> - RJ-20X<BR> - -<BR> - -<BR> - -<BR> - 1211L<BR> - 8012EKQ&nbsp;ALT<BR> - 8012EKR&nbsp;ALT<BR> - 1211P<BR> - 8012EKJ<BR> - 8012EKL<BR> - 8012EKQ<BR> - 8012EKR<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 2101P<BR> - 2101W<BR> - 2101Y<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 2102L<BR> - 2102S<BR> - 2102Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - EVMCOG<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 43P<BR> - 43W<BR> - 43Y<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 40L<BR> - 40P<BR> - 40Y<BR> - 70Y-T602<BR> - 70L<BR> - 70P<BR> - 70Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - RT/RTR12<BR> - RT/RTR12<BR> - RT/RTR12<BR> - -<BR> - RJ/RJR12<BR> - RJ/RJR12<BR> - RJ/RJR12<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=8>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=8> - <FONT SIZE=4 FACE=ARIAL><B>SQUARE MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BOURN</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MURATA</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>SPECTROL</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MILSPEC</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3250L<BR> - 3250P<BR> - 3250W<BR> - 3250X<BR> - 3252P<BR> - 3252W<BR> - 3252X<BR> - 3260P<BR> - 3260W<BR> - 3260X<BR> - 3262P<BR> - 3262W<BR> - 3262X<BR> - 3266P<BR> - 3266W<BR> - 3266X<BR> - 3290H<BR> - 3290P<BR> - 3290W<BR> - 3292P<BR> - 3292W<BR> - 3292X<BR> - 3296P<BR> - 3296W<BR> - 3296X<BR> - 3296Y<BR> - 3296Z<BR> - 3299P<BR> - 3299W<BR> - 3299X<BR> - 3299Y<BR> - 3299Z<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66X&nbsp;ALT<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66X&nbsp;ALT<BR> - -<BR> - 64W&nbsp;ALT<BR> - -<BR> - 64P&nbsp;ALT<BR> - 64W&nbsp;ALT<BR> - 64X&nbsp;ALT<BR> - 64P<BR> - 64W<BR> - 64X<BR> - 66X&nbsp;ALT<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66P<BR> - 66W<BR> - 66X<BR> - 67P<BR> - 67W<BR> - 67X<BR> - 67Y<BR> - 67Z<BR> - 68P<BR> - 68W<BR> - 68X<BR> - 67Y&nbsp;ALT<BR> - 67Z&nbsp;ALT<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 5050<BR> - 5091<BR> - 5080<BR> - 5087<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - T63YB<BR> - T63XB<BR> - -<BR> - -<BR> - -<BR> - 5887<BR> - 5891<BR> - 5880<BR> - -<BR> - -<BR> - -<BR> - T93Z<BR> - T93YA<BR> - T93XA<BR> - T93YB<BR> - T93XB<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 8026EKP<BR> - 8026EKW<BR> - 8026EKM<BR> - 8026EKP<BR> - 8026EKB<BR> - 8026EKM<BR> - 1309X<BR> - 1309P<BR> - 1309W<BR> - 8024EKP<BR> - 8024EKW<BR> - 8024EKN<BR> - RJ-9P/CT9P<BR> - RJ-9W<BR> - RJ-9X<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3103P<BR> - 3103Y<BR> - 3103Z<BR> - 3103P<BR> - 3103Y<BR> - 3103Z<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3105P/3106P<BR> - 3105W/3106W<BR> - 3105X/3106X<BR> - 3105Y/3106Y<BR> - 3105Z/3105Z<BR> - 3102P<BR> - 3102W<BR> - 3102X<BR> - 3102Y<BR> - 3102Z<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - EVMCBG<BR> - EVMCCG<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 55-1-X<BR> - 55-4-X<BR> - 55-3-X<BR> - 55-2-X<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 50-2-X<BR> - 50-4-X<BR> - 50-3-X<BR> - -<BR> - -<BR> - -<BR> - 64P<BR> - 64W<BR> - 64X<BR> - 64Y<BR> - 64Z<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - RT/RTR22<BR> - RT/RTR22<BR> - RT/RTR22<BR> - RT/RTR22<BR> - RJ/RJR22<BR> - RJ/RJR22<BR> - RJ/RJR22<BR> - RT/RTR26<BR> - RT/RTR26<BR> - RT/RTR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RT/RTR24<BR> - RT/RTR24<BR> - RT/RTR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=8>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=8> - <FONT SIZE=4 FACE=ARIAL><B>SINGLE TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BOURN</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MURATA</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>SPECTROL</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MILSPEC</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3323P<BR> - 3323S<BR> - 3323W<BR> - 3329H<BR> - 3329P<BR> - 3329W<BR> - 3339H<BR> - 3339P<BR> - 3339W<BR> - 3352E<BR> - 3352H<BR> - 3352K<BR> - 3352P<BR> - 3352T<BR> - 3352V<BR> - 3352W<BR> - 3362H<BR> - 3362M<BR> - 3362P<BR> - 3362R<BR> - 3362S<BR> - 3362U<BR> - 3362W<BR> - 3362X<BR> - 3386B<BR> - 3386C<BR> - 3386F<BR> - 3386H<BR> - 3386K<BR> - 3386M<BR> - 3386P<BR> - 3386S<BR> - 3386W<BR> - 3386X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 25P<BR> - 25S<BR> - 25RX<BR> - 82P<BR> - 82M<BR> - 82PA<BR> - -<BR> - -<BR> - -<BR> - 91E<BR> - 91X<BR> - 91T<BR> - 91B<BR> - 91A<BR> - 91V<BR> - 91W<BR> - 25W<BR> - 25V<BR> - 25P<BR> - -<BR> - 25S<BR> - 25U<BR> - 25RX<BR> - 25X<BR> - 72XW<BR> - 72XL<BR> - 72PM<BR> - 72RX<BR> - -<BR> - 72PX<BR> - 72P<BR> - 72RXW<BR> - 72RXL<BR> - 72X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - T7YB<BR> - T7YA<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - TXD<BR> - TYA<BR> - TYP<BR> - -<BR> - TYD<BR> - TX<BR> - -<BR> - 150SX<BR> - 100SX<BR> - 102T<BR> - 101S<BR> - 190T<BR> - 150TX<BR> - 101<BR> - -<BR> - -<BR> - 101SX<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ET6P<BR> - ET6S<BR> - ET6X<BR> - RJ-6W/8014EMW<BR> - RJ-6P/8014EMP<BR> - RJ-6X/8014EMX<BR> - TM7W<BR> - TM7P<BR> - TM7X<BR> - -<BR> - 8017SMS<BR> - -<BR> - 8017SMB<BR> - 8017SMA<BR> - -<BR> - -<BR> - CT-6W<BR> - CT-6H<BR> - CT-6P<BR> - CT-6R<BR> - -<BR> - CT-6V<BR> - CT-6X<BR> - -<BR> - -<BR> - 8038EKV<BR> - -<BR> - 8038EKX<BR> - -<BR> - -<BR> - 8038EKP<BR> - 8038EKZ<BR> - 8038EKW<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - 3321H<BR> - 3321P<BR> - 3321N<BR> - 1102H<BR> - 1102P<BR> - 1102T<BR> - RVA0911V304A<BR> - -<BR> - RVA0911H413A<BR> - RVG0707V100A<BR> - RVA0607V(H)306A<BR> - RVA1214H213A<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3104B<BR> - 3104C<BR> - 3104F<BR> - 3104H<BR> - -<BR> - 3104M<BR> - 3104P<BR> - 3104S<BR> - 3104W<BR> - 3104X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - EVMQ0G<BR> - EVMQIG<BR> - EVMQ3G<BR> - EVMS0G<BR> - EVMQ0G<BR> - EVMG0G<BR> - -<BR> - -<BR> - -<BR> - EVMK4GA00B<BR> - EVM30GA00B<BR> - EVMK0GA00B<BR> - EVM38GA00B<BR> - EVMB6<BR> - EVLQ0<BR> - -<BR> - EVMMSG<BR> - EVMMBG<BR> - EVMMAG<BR> - -<BR> - -<BR> - EVMMCS<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - EVMM1<BR> - -<BR> - -<BR> - EVMM0<BR> - -<BR> - -<BR> - EVMM3<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - 62-3-1<BR> - 62-1-2<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 67R<BR> - -<BR> - 67P<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 67X<BR> - 63V<BR> - 63S<BR> - 63M<BR> - -<BR> - -<BR> - 63H<BR> - 63P<BR> - -<BR> - -<BR> - 63X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - RJ/RJR50<BR> - RJ/RJR50<BR> - RJ/RJR50<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> -</TABLE> -<P>&nbsp;<P> -<TABLE BORDER=0 CELLSPACING=1 CELLPADDING=3> - <TR> - <TD COLSPAN=7> - <FONT color="#0000FF" SIZE=4 FACE=ARIAL><B>SMD TRIM-POT CROSS REFERENCE</B></FONT> - <P> - <FONT SIZE=4 FACE=ARIAL><B>MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BOURNS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>TOCOS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>AUX/KYOCERA</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3224G<BR> - 3224J<BR> - 3224W<BR> - 3269P<BR> - 3269W<BR> - 3269X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 44G<BR> - 44J<BR> - 44W<BR> - 84P<BR> - 84W<BR> - 84X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - ST63Z<BR> - ST63Y<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - ST5P<BR> - ST5W<BR> - ST5X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=7>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=7> - <FONT SIZE=4 FACE=ARIAL><B>SINGLE TURN</B></FONT> - </TD> - </TR> - <TR> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BOURNS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>TOCOS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>AUX/KYOCERA</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3314G<BR> - 3314J<BR> - 3364A/B<BR> - 3364C/D<BR> - 3364W/X<BR> - 3313G<BR> - 3313J<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 23B<BR> - 23A<BR> - 21X<BR> - 21W<BR> - -<BR> - 22B<BR> - 22A<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ST5YL/ST53YL<BR> - ST5YJ/5T53YJ<BR> - ST-23A<BR> - ST-22B<BR> - ST-22<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ST-4B<BR> - ST-4A<BR> - -<BR> - -<BR> - -<BR> - ST-3B<BR> - ST-3A<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - EVM-6YS<BR> - EVM-1E<BR> - EVM-1G<BR> - EVM-1D<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - G4B<BR> - G4A<BR> - TR04-3S1<BR> - TRG04-2S1<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - DVR-43A<BR> - CVR-42C<BR> - CVR-42A/C<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> -</TABLE> -<P> -<FONT SIZE=4 FACE=ARIAL><B>ALT =&nbsp;ALTERNATE</B></FONT> -<P> - -&nbsp; -<P> -</td> -</tr> -</table> - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b><p> - - - - - - - - ->NAME ->VALUE - - - - - - - -RESISTOR - - - - - -CAPACITOR - - - - - -CAPACITOR - - - - - - - -<b>TTL Devices, 74xx Series with European Symbols</b><p> -Based on the following sources: -<ul> -<li>Texas Instruments <i>TTL Data Book</i>&nbsp;&nbsp;&nbsp;Volume 1, 1996. -<li>TTL Data Book, Volume 2 , 1993 -<li>National Seminconductor Databook 1990, ALS/LS Logic -<li>ttl 74er digital data dictionary, ECA Electronic + Acustic GmbH, ISBN 3-88109-032-0 -<li>http://icmaster.com/ViewCompare.asp -</ul> -<author>Created by librarian@cadsoft.de</author> - - -<b>plastic thin shrink small outline package; 14 leads; body width 4.4 mm</b><p> -SOT402-1<br> -Source: http://www.nxp.com/documents/data_sheet/74ABT125.pdf - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -plastic thin shrink small outline package; 14 leads; body width 4.4 mm -SOT402-1 -Source: http://www.nxp.com/documents/data_sheet/74ABT125.pdf - - - - - - - -<b>Crystals and Crystal Resonators</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>kHz RANGE CRYSTAL UNIT</b><p> -LOW PROFILE SMD<b> -Source: Epson Toyocom FC-12M.pdf - - - - - - - ->NAME ->VALUE - - - - -kHz RANGE CRYSTAL UNIT -LOW PROFILE SMD -Source: Epson Toyocom FC-12M.pdf - - - - - - - -<b>Lithium Batteries and NC Accus</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>Battery Holder, SMT, 12mm</b><p> -multicomp PART NO. CH291-1220LF<br> -Source: <a href="http://www.farnell.com/datasheets/1505860.pdf"> Data sheet </a> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - -Battery Holder, SMT, 12mm -multicomp PART NO. CH291-1220LF -Source: Data sheet - - - - - - - -<b>Diodes</b><p> -Based on the following sources: -<ul> -<li>Motorola : www.onsemi.com -<li>Fairchild : www.fairchildsemi.com -<li>Philips : www.semiconductors.com -<li>Vishay : www.vishay.de -</ul> -<author>Created by librarian@cadsoft.de</author> - - -<b>SOD-323</b><p> -Source: www.st.com, BAT60J.pdf - - - - - - ->NAME ->VALUE - - - - - - - - -SOD-323 -Source: www.st.com, BAT60J.pdf - - - - - - - -<b>Samtec Connectors</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>THROUGH-HOLE .025" SQ POST SOCKET</b><p> -Source: Samtec SSW.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -1 -2 ->NAME ->VALUE - - - - -<b>LEDs</b><p> -<author>Created by librarian@cadsoft.de</author><br> -Extended by Federico Battaglin <author>&lt;federico.rd@fdpinternational.com&gt;</author> with DUOLED - - -<b>SML0603-XXX (HIGH INTENSITY) LED</b><p> -<table> -<tr><td>AG3K</td><td>AQUA GREEN</td></tr> -<tr><td>B1K</td><td>SUPER BLUE</td></tr> -<tr><td>R1K</td><td>SUPER RED</td></tr> -<tr><td>R3K</td><td>ULTRA RED</td></tr> -<tr><td>O3K</td><td>SUPER ORANGE</td></tr> -<tr><td>O3KH</td><td>SOFT ORANGE</td></tr> -<tr><td>Y3KH</td><td>SUPER YELLOW</td></tr> -<tr><td>Y3K</td><td>SUPER YELLOW</td></tr> -<tr><td>2CW</td><td>WHITE</td></tr> -</table> -Source: http://www.ledtronics.com/ds/smd-0603/Dstr0092.pdf - - - - - - - - - - ->NAME ->VALUE - - - - - - -SML0603-XXX (HIGH INTENSITY) LED - -AG3KAQUA GREEN -B1KSUPER BLUE -R1KSUPER RED -R3KULTRA RED -O3KSUPER ORANGE -O3KHSOFT ORANGE -Y3KHSUPER YELLOW -Y3KSUPER YELLOW -2CWWHITE - -Source: http://www.ledtronics.com/ds/smd-0603/Dstr0092.pdf - - - - - - - -<b>Voltage Regulators</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>Small Outline Transistor 223</b><p> -PLASTIC PACKAGE CASE 318E-04<br> -Source: http://www.onsemi.co.jp .. LM137M-D.PDF - - - - - - - - - - - - - - - ->NAME ->VALUE -direction of pcb -transportation for -wavesoldering - - - - - - - - - - - - -Small Outline Transistor 223 -PLASTIC PACKAGE CASE 318E-04 -Source: http://www.onsemi.co.jp .. LM137M-D.PDF - - - - - - - - - - - - - - - - -<b>EAGLE Design Rules</b> -<p> -Die Standard-Design-Rules sind so gewählt, dass sie für -die meisten Anwendungen passen. Sollte ihre Platine -besondere Anforderungen haben, treffen Sie die erforderlichen -Einstellungen hier und speichern die Design Rules unter -einem neuen Namen ab. -<b>EAGLE Design Rules</b> -<p> -The default Design Rules have been set to cover -a wide range of applications. Your particular design -may have different requirements, so please make the -necessary adjustments and save your customized -design rules under a new name. - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -Since Version 6.2.2 text objects can contain more than one line, -which will not be processed correctly with this version. - - -Since Version 8.2, EAGLE supports online libraries. The ids -of those online libraries will not be understood (or retained) -with this version. - - -Since Version 8.3, EAGLE supports URNs for individual library -assets (packages, symbols, and devices). The URNs of those assets -will not be understood (or retained) with this version. - - -Since Version 8.3, EAGLE supports the association of 3D packages -with devices in libraries, schematics, and board files. Those 3D -packages will not be understood (or retained) with this version. - - - diff --git a/hw/SummerCart64.cam b/hw/SummerCart64.cam deleted file mode 100644 index 3c5f3aa..0000000 --- a/hw/SummerCart64.cam +++ /dev/null @@ -1,276 +0,0 @@ -{ - "author": { - "email": "sc@mateuszfaderewski.pl", - "name": "Polprzewodnikowy" - }, - "description": { - "EN": "SummerCart64 CAM job." - }, - "output_type": "zip", - "outputs": [ - { - "filename_prefix": "CAMOutputs/GerberFiles", - "format_specifier": { - "decimal": 4, - "integer": 3 - }, - "generate_job_file": true, - "output_type": "gerber", - "outputs": [ - { - "advanced_options": { - "mirror": false, - "offset_x": 0, - "offset_y": 0, - "rotate": false, - "upside_down": false - }, - "board_outline": false, - "config": { - "file_function": "Copper", - "layer": 1, - "layer_details": "mixed", - "layer_type": "top" - }, - "filename_format": "%PREFIX/copper_top.gbr", - "layers": [ - 1, - 17, - 18 - ], - "name": "Top Copper", - "polarity": "positive", - "type": "gerber_layer" - }, - { - "advanced_options": { - "mirror": false, - "offset_x": 0, - "offset_y": 0, - "rotate": false, - "upside_down": false - }, - "board_outline": false, - "config": { - "file_function": "Copper", - "layer": 2, - "layer_details": "mixed", - "layer_type": "bottom" - }, - "filename_format": "%PREFIX/copper_bottom.gbr", - "layers": [ - 16, - 17, - 18 - ], - "name": "Bottom Copper", - "polarity": "positive", - "type": "gerber_layer" - }, - { - "advanced_options": { - "mirror": false, - "offset_x": 0, - "offset_y": 0, - "rotate": false, - "upside_down": false - }, - "board_outline": true, - "config": { - "file_function": "Profile", - "plating": "non-plated" - }, - "filename_format": "%PREFIX/profile.gbr", - "layers": [ - ], - "milling": true, - "polarity": "positive", - "type": "gerber_layer" - }, - { - "advanced_options": { - "mirror": false, - "offset_x": 0, - "offset_y": 0, - "rotate": false, - "upside_down": false - }, - "board_outline": false, - "config": { - "file_function": "Soldermask", - "index": 1, - "layer_type": "top" - }, - "filename_format": "%PREFIX/soldermask_top.gbr", - "layers": [ - 29 - ], - "name": "Soldermask Top", - "polarity": "positive", - "type": "gerber_layer" - }, - { - "advanced_options": { - "mirror": false, - "offset_x": 0, - "offset_y": 0, - "rotate": false, - "upside_down": false - }, - "board_outline": false, - "config": { - "file_function": "Soldermask", - "index": 1, - "layer_type": "bottom" - }, - "filename_format": "%PREFIX/soldermask_bottom.gbr", - "layers": [ - 30 - ], - "name": "Soldermask Bottom", - "polarity": "positive", - "type": "gerber_layer" - }, - { - "advanced_options": { - "mirror": false, - "offset_x": 0, - "offset_y": 0, - "rotate": false, - "upside_down": false - }, - "board_outline": false, - "config": { - "file_function": "Paste", - "layer_type": "top" - }, - "filename_format": "%PREFIX/solderpaste_top.gbr", - "layers": [ - 31 - ], - "milling": false, - "name": "Solderpaste Top", - "polarity": "positive", - "type": "gerber_layer" - }, - { - "advanced_options": { - "mirror": false, - "offset_x": 0, - "offset_y": 0, - "rotate": false, - "upside_down": false - }, - "board_outline": false, - "config": { - "file_function": "Paste", - "layer_type": "bottom" - }, - "filename_format": "%PREFIX/solderpaste_bottom.gbr", - "layers": [ - 32 - ], - "milling": false, - "name": "Solderpaste Bottom", - "polarity": "positive", - "type": "gerber_layer" - }, - { - "advanced_options": { - "mirror": false, - "offset_x": 0, - "offset_y": 0, - "rotate": false, - "upside_down": false - }, - "board_outline": false, - "config": { - "file_function": "Legend", - "index": 1, - "layer_type": "top" - }, - "filename_format": "%PREFIX/silkscreen_top.gbr", - "layers": [ - 21, - 25 - ], - "milling": false, - "name": "Silkscreen Top", - "polarity": "positive", - "type": "gerber_layer" - }, - { - "advanced_options": { - "mirror": false, - "offset_x": 0, - "offset_y": 0, - "rotate": false, - "upside_down": false - }, - "board_outline": false, - "config": { - "file_function": "Legend", - "index": 1, - "layer_type": "bottom" - }, - "filename_format": "%PREFIX/silkscreen_bottom.gbr", - "layers": [ - 22, - 26 - ], - "milling": false, - "name": "Silkscreen Bottom", - "polarity": "positive", - "type": "gerber_layer" - } - ], - "version": "RS274X" - }, - { - "filename_prefix": "CAMOutputs/DrillFiles", - "format_specifier": { - "decimal": 3, - "integer": 3 - }, - "output_type": "drill", - "outputs": [ - { - "advanced_options": { - "mirror": false, - "offset_x": 0, - "offset_y": 0, - "rotate": false, - "upside_down": false - }, - "filename_format": "%DRILLPREFIX/drill_%FROM_%TO.xln", - "name": "Auto Drill", - "type": "autodrills" - } - ] - }, - { - "filename_prefix": "CAMOutputs/Assembly", - "output_type": "assembly", - "outputs": [ - { - "filename_format": "%ASSEMBLYPREFIX/%N", - "list_attribute": true, - "list_type": "values", - "name": "Bill of Material", - "output_format": "txt", - "type": "bom" - } - ] - }, - { - "filename_prefix": "CAMOutputs/DrawingFiles", - "output_type": "drawing", - "outputs": [ - ] - } - ], - "timestamp": "2020-10-25T01:41:35", - "type": "EAGLE CAM job", - "units": "metric", - "version": "9.2.0" -} diff --git a/hw/SummerCart64.lbr b/hw/SummerCart64.lbr deleted file mode 100644 index f2284ad..0000000 --- a/hw/SummerCart64.lbr +++ /dev/null @@ -1,1532 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -Collection of parts used in SummerCart64 design - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - -Footprint for Nintendo 64 cartridge edge connector. - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -26 -30 -35 -40 -45 -50 -1 -5 -10 -15 -20 -25 -BACK -FRONT - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - -Symbol for Nintendo 64 cartridge edge connector. - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -Nintendo 64 cartridge edge connector. - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - diff --git a/hw/SummerCart64.sch b/hw/SummerCart64.sch deleted file mode 100644 index ccde1f7..0000000 --- a/hw/SummerCart64.sch +++ /dev/null @@ -1,17889 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - -Footprint for Nintendo 64 cartridge edge connector. - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -26 -30 -35 -40 -45 -50 -1 -5 -10 -15 -20 -25 -BACK -FRONT - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - -Symbol for Nintendo 64 cartridge edge connector. - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -Nintendo 64 cartridge edge connector. - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Supply Symbols</b><p> - GND, VCC, 0V, +5V, -5V, etc.<p> - Please keep in mind, that these devices are necessary for the - automatic wiring of the supply signals.<p> - The pin name defined in the symbol is identical to the net which is to be wired automatically.<p> - In this library the device names are the same as the pin names of the symbols, therefore the correct signal names appear next to the supply symbols in the schematic.<p> - <author>Created by librarian@cadsoft.de</author> - - - - - ->VALUE - - - - - ->VALUE - - - - - -<b>SUPPLY SYMBOL</b> - - - - - - - - - - - - -<b>SUPPLY SYMBOL</b> - - - - - - - - - - - - - - -<b>MICRON Flash Memory</b><p> -www.micron.com<br> -<author>Created by librarian@cadsoft.de</author><p> - - -<b>54-Pin Plastic TSOP</b> (400 mil)<p> -Source: http://download.micron.com/pdf/datasheets/dram/sdram/256MSDRAM.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -54-Pin Plastic TSOP (400 mil) -Source: http://download.micron.com/pdf/datasheets/dram/sdram/256MSDRAM.pdf - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>256Mb: x16 SDRAM</b> MT48LC16M16A2 - 4 Meg x 16 x 4 banks<p> -Source: http://download.micron.com/pdf/datasheets/dram/sdram/256MSDRAM.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Resistors in DIL Packages</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>Chip Resistor Array</b> size 4 × 0603<p> -concave termination - Phycomp Components<br> -Source: RS Components - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Chip Resistor Array</b> size 4 × 0603<p> -convex termination - Phycomp Components<br> -Source: RS Components - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - -<b>BOURNS</b> Chip Resistor Array<p> -Source: RS Component / BUORNS - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>BOURNS</b> Chip Resistor Array<p> -Source: RS Component / BUORNS - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>ARRAY CHIP RESISTOR</b> Size 4 x 0402<p> -Source: www.yageo.com .. Pu-YC124_51_PbFree_L_1.pdf - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - -<b>Array chip resistor</b> size 4 × 0402<p> -Source: http://docs-europe.electrocomponents.com/webdocs/0114/0900766b80114d99.pdf - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - -<b>Chip Resistor Array 0201x4</b> 4 resistors in 1.4 mm x 0.6 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Chip Resistor Array 0402x4</b> 4 resistors in 2.0 mm x 1.0 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Chip Resistor Array 0603x4</b> 4 resistors in 3.2 mm x 1.6 mm size (EXB38V, V8V)<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Chip Resistor Array 0402x4</b> 4 resistors in 2.0 mm x 1.0 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Chip Resistor Array 0805x4</b> 4 resistors in 5.08 mm x 2.20 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Chip Resistor Array 0603x4</b> 4 resistors in 3.20 mm x 1.60 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -Chip Resistor Array size 4 × 0603 -concave termination - Phycomp Components -Source: RS Components - - - - - -Chip Resistor Array size 4 × 0603 -convex termination - Phycomp Components -Source: RS Components - - - - - -BOURNS Chip Resistor Array -Source: RS Component / BUORNS - - - - - -BOURNS Chip Resistor Array -Source: RS Component / BUORNS - - - - - -ARRAY CHIP RESISTOR Size 4 x 0402 -Source: www.yageo.com .. Pu-YC124_51_PbFree_L_1.pdf - - - - - -Array chip resistor size 4 × 0402 -Source: http://docs-europe.electrocomponents.com/webdocs/0114/0900766b80114d99.pdf - - - - - -Chip Resistor Array 0201x4 4 resistors in 1.4 mm x 0.6 mm size -Source: PANASONIC .. aoc0000ce1.pdf - - - - - -Chip Resistor Array 0402x4 4 resistors in 2.0 mm x 1.0 mm size -Source: PANASONIC .. aoc0000ce1.pdf - - - - - -Chip Resistor Array 0603x4 4 resistors in 3.2 mm x 1.6 mm size (EXB38V, V8V) -Source: PANASONIC .. aoc0000ce1.pdf - - - - - -Chip Resistor Array 0402x4 4 resistors in 2.0 mm x 1.0 mm size -Source: PANASONIC .. aoc0000ce1.pdf - - - - - -Chip Resistor Array 0805x4 4 resistors in 5.08 mm x 2.20 mm size -Source: PANASONIC .. aoc0000ce1.pdf - - - - - -Chip Resistor Array 0603x4 4 resistors in 3.20 mm x 1.60 mm size -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - ->VALUE ->NAME - - - - - - -<b>Array Chip Resistor</b><p> -Source: RS Component / Phycomp - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Resistors, Capacitors, Inductors</b><p> -Based on the previous libraries: -<ul> -<li>r.lbr -<li>cap.lbr -<li>cap-fe.lbr -<li>captant.lbr -<li>polcap.lbr -<li>ipc-smd.lbr -</ul> -All SMD packages are defined according to the IPC specifications and CECC<p> -<author>Created by librarian@cadsoft.de</author><p> -<p> -for Electrolyt Capacitors see also :<p> -www.bccomponents.com <p> -www.panasonic.com<p> -www.kemet.com<p> -http://www.secc.co.jp/pdf/os_e/2004/e_os_all.pdf <b>(SANYO)</b> -<p> -for trimmer refence see : <u>www.electrospec-inc.com/cross_references/trimpotcrossref.asp</u><p> - -<table border=0 cellspacing=0 cellpadding=0 width="100%" cellpaddding=0> -<tr valign="top"> - -<! <td width="10">&nbsp;</td> -<td width="90%"> - -<b><font color="#0000FF" size="4">TRIM-POT CROSS REFERENCE</font></b> -<P> -<TABLE BORDER=0 CELLSPACING=1 CELLPADDING=2> - <TR> - <TD COLSPAN=8> - <FONT SIZE=3 FACE=ARIAL><B>RECTANGULAR MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">BOURNS</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">BI&nbsp;TECH</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">DALE-VISHAY</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">PHILIPS/MEPCO</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">MURATA</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">PANASONIC</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">SPECTROL</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">MILSPEC</FONT> - </B> - </TD><TD>&nbsp;</TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3 > - 3005P<BR> - 3006P<BR> - 3006W<BR> - 3006Y<BR> - 3009P<BR> - 3009W<BR> - 3009Y<BR> - 3057J<BR> - 3057L<BR> - 3057P<BR> - 3057Y<BR> - 3059J<BR> - 3059L<BR> - 3059P<BR> - 3059Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 89P<BR> - 89W<BR> - 89X<BR> - 89PH<BR> - 76P<BR> - 89XH<BR> - 78SLT<BR> - 78L&nbsp;ALT<BR> - 56P&nbsp;ALT<BR> - 78P&nbsp;ALT<BR> - T8S<BR> - 78L<BR> - 56P<BR> - 78P<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - T18/784<BR> - 783<BR> - 781<BR> - -<BR> - -<BR> - -<BR> - 2199<BR> - 1697/1897<BR> - 1680/1880<BR> - 2187<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 8035EKP/CT20/RJ-20P<BR> - -<BR> - RJ-20X<BR> - -<BR> - -<BR> - -<BR> - 1211L<BR> - 8012EKQ&nbsp;ALT<BR> - 8012EKR&nbsp;ALT<BR> - 1211P<BR> - 8012EKJ<BR> - 8012EKL<BR> - 8012EKQ<BR> - 8012EKR<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 2101P<BR> - 2101W<BR> - 2101Y<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 2102L<BR> - 2102S<BR> - 2102Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - EVMCOG<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 43P<BR> - 43W<BR> - 43Y<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 40L<BR> - 40P<BR> - 40Y<BR> - 70Y-T602<BR> - 70L<BR> - 70P<BR> - 70Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - RT/RTR12<BR> - RT/RTR12<BR> - RT/RTR12<BR> - -<BR> - RJ/RJR12<BR> - RJ/RJR12<BR> - RJ/RJR12<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=8>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=8> - <FONT SIZE=4 FACE=ARIAL><B>SQUARE MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BOURN</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MURATA</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>SPECTROL</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MILSPEC</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3250L<BR> - 3250P<BR> - 3250W<BR> - 3250X<BR> - 3252P<BR> - 3252W<BR> - 3252X<BR> - 3260P<BR> - 3260W<BR> - 3260X<BR> - 3262P<BR> - 3262W<BR> - 3262X<BR> - 3266P<BR> - 3266W<BR> - 3266X<BR> - 3290H<BR> - 3290P<BR> - 3290W<BR> - 3292P<BR> - 3292W<BR> - 3292X<BR> - 3296P<BR> - 3296W<BR> - 3296X<BR> - 3296Y<BR> - 3296Z<BR> - 3299P<BR> - 3299W<BR> - 3299X<BR> - 3299Y<BR> - 3299Z<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66X&nbsp;ALT<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66X&nbsp;ALT<BR> - -<BR> - 64W&nbsp;ALT<BR> - -<BR> - 64P&nbsp;ALT<BR> - 64W&nbsp;ALT<BR> - 64X&nbsp;ALT<BR> - 64P<BR> - 64W<BR> - 64X<BR> - 66X&nbsp;ALT<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66P<BR> - 66W<BR> - 66X<BR> - 67P<BR> - 67W<BR> - 67X<BR> - 67Y<BR> - 67Z<BR> - 68P<BR> - 68W<BR> - 68X<BR> - 67Y&nbsp;ALT<BR> - 67Z&nbsp;ALT<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 5050<BR> - 5091<BR> - 5080<BR> - 5087<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - T63YB<BR> - T63XB<BR> - -<BR> - -<BR> - -<BR> - 5887<BR> - 5891<BR> - 5880<BR> - -<BR> - -<BR> - -<BR> - T93Z<BR> - T93YA<BR> - T93XA<BR> - T93YB<BR> - T93XB<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 8026EKP<BR> - 8026EKW<BR> - 8026EKM<BR> - 8026EKP<BR> - 8026EKB<BR> - 8026EKM<BR> - 1309X<BR> - 1309P<BR> - 1309W<BR> - 8024EKP<BR> - 8024EKW<BR> - 8024EKN<BR> - RJ-9P/CT9P<BR> - RJ-9W<BR> - RJ-9X<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3103P<BR> - 3103Y<BR> - 3103Z<BR> - 3103P<BR> - 3103Y<BR> - 3103Z<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3105P/3106P<BR> - 3105W/3106W<BR> - 3105X/3106X<BR> - 3105Y/3106Y<BR> - 3105Z/3105Z<BR> - 3102P<BR> - 3102W<BR> - 3102X<BR> - 3102Y<BR> - 3102Z<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - EVMCBG<BR> - EVMCCG<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 55-1-X<BR> - 55-4-X<BR> - 55-3-X<BR> - 55-2-X<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 50-2-X<BR> - 50-4-X<BR> - 50-3-X<BR> - -<BR> - -<BR> - -<BR> - 64P<BR> - 64W<BR> - 64X<BR> - 64Y<BR> - 64Z<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - RT/RTR22<BR> - RT/RTR22<BR> - RT/RTR22<BR> - RT/RTR22<BR> - RJ/RJR22<BR> - RJ/RJR22<BR> - RJ/RJR22<BR> - RT/RTR26<BR> - RT/RTR26<BR> - RT/RTR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RT/RTR24<BR> - RT/RTR24<BR> - RT/RTR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=8>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=8> - <FONT SIZE=4 FACE=ARIAL><B>SINGLE TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BOURN</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MURATA</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>SPECTROL</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MILSPEC</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3323P<BR> - 3323S<BR> - 3323W<BR> - 3329H<BR> - 3329P<BR> - 3329W<BR> - 3339H<BR> - 3339P<BR> - 3339W<BR> - 3352E<BR> - 3352H<BR> - 3352K<BR> - 3352P<BR> - 3352T<BR> - 3352V<BR> - 3352W<BR> - 3362H<BR> - 3362M<BR> - 3362P<BR> - 3362R<BR> - 3362S<BR> - 3362U<BR> - 3362W<BR> - 3362X<BR> - 3386B<BR> - 3386C<BR> - 3386F<BR> - 3386H<BR> - 3386K<BR> - 3386M<BR> - 3386P<BR> - 3386S<BR> - 3386W<BR> - 3386X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 25P<BR> - 25S<BR> - 25RX<BR> - 82P<BR> - 82M<BR> - 82PA<BR> - -<BR> - -<BR> - -<BR> - 91E<BR> - 91X<BR> - 91T<BR> - 91B<BR> - 91A<BR> - 91V<BR> - 91W<BR> - 25W<BR> - 25V<BR> - 25P<BR> - -<BR> - 25S<BR> - 25U<BR> - 25RX<BR> - 25X<BR> - 72XW<BR> - 72XL<BR> - 72PM<BR> - 72RX<BR> - -<BR> - 72PX<BR> - 72P<BR> - 72RXW<BR> - 72RXL<BR> - 72X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - T7YB<BR> - T7YA<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - TXD<BR> - TYA<BR> - TYP<BR> - -<BR> - TYD<BR> - TX<BR> - -<BR> - 150SX<BR> - 100SX<BR> - 102T<BR> - 101S<BR> - 190T<BR> - 150TX<BR> - 101<BR> - -<BR> - -<BR> - 101SX<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ET6P<BR> - ET6S<BR> - ET6X<BR> - RJ-6W/8014EMW<BR> - RJ-6P/8014EMP<BR> - RJ-6X/8014EMX<BR> - TM7W<BR> - TM7P<BR> - TM7X<BR> - -<BR> - 8017SMS<BR> - -<BR> - 8017SMB<BR> - 8017SMA<BR> - -<BR> - -<BR> - CT-6W<BR> - CT-6H<BR> - CT-6P<BR> - CT-6R<BR> - -<BR> - CT-6V<BR> - CT-6X<BR> - -<BR> - -<BR> - 8038EKV<BR> - -<BR> - 8038EKX<BR> - -<BR> - -<BR> - 8038EKP<BR> - 8038EKZ<BR> - 8038EKW<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - 3321H<BR> - 3321P<BR> - 3321N<BR> - 1102H<BR> - 1102P<BR> - 1102T<BR> - RVA0911V304A<BR> - -<BR> - RVA0911H413A<BR> - RVG0707V100A<BR> - RVA0607V(H)306A<BR> - RVA1214H213A<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3104B<BR> - 3104C<BR> - 3104F<BR> - 3104H<BR> - -<BR> - 3104M<BR> - 3104P<BR> - 3104S<BR> - 3104W<BR> - 3104X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - EVMQ0G<BR> - EVMQIG<BR> - EVMQ3G<BR> - EVMS0G<BR> - EVMQ0G<BR> - EVMG0G<BR> - -<BR> - -<BR> - -<BR> - EVMK4GA00B<BR> - EVM30GA00B<BR> - EVMK0GA00B<BR> - EVM38GA00B<BR> - EVMB6<BR> - EVLQ0<BR> - -<BR> - EVMMSG<BR> - EVMMBG<BR> - EVMMAG<BR> - -<BR> - -<BR> - EVMMCS<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - EVMM1<BR> - -<BR> - -<BR> - EVMM0<BR> - -<BR> - -<BR> - EVMM3<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - 62-3-1<BR> - 62-1-2<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 67R<BR> - -<BR> - 67P<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 67X<BR> - 63V<BR> - 63S<BR> - 63M<BR> - -<BR> - -<BR> - 63H<BR> - 63P<BR> - -<BR> - -<BR> - 63X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - RJ/RJR50<BR> - RJ/RJR50<BR> - RJ/RJR50<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> -</TABLE> -<P>&nbsp;<P> -<TABLE BORDER=0 CELLSPACING=1 CELLPADDING=3> - <TR> - <TD COLSPAN=7> - <FONT color="#0000FF" SIZE=4 FACE=ARIAL><B>SMD TRIM-POT CROSS REFERENCE</B></FONT> - <P> - <FONT SIZE=4 FACE=ARIAL><B>MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BOURNS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>TOCOS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>AUX/KYOCERA</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3224G<BR> - 3224J<BR> - 3224W<BR> - 3269P<BR> - 3269W<BR> - 3269X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 44G<BR> - 44J<BR> - 44W<BR> - 84P<BR> - 84W<BR> - 84X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - ST63Z<BR> - ST63Y<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - ST5P<BR> - ST5W<BR> - ST5X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=7>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=7> - <FONT SIZE=4 FACE=ARIAL><B>SINGLE TURN</B></FONT> - </TD> - </TR> - <TR> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BOURNS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>TOCOS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>AUX/KYOCERA</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3314G<BR> - 3314J<BR> - 3364A/B<BR> - 3364C/D<BR> - 3364W/X<BR> - 3313G<BR> - 3313J<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 23B<BR> - 23A<BR> - 21X<BR> - 21W<BR> - -<BR> - 22B<BR> - 22A<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ST5YL/ST53YL<BR> - ST5YJ/5T53YJ<BR> - ST-23A<BR> - ST-22B<BR> - ST-22<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ST-4B<BR> - ST-4A<BR> - -<BR> - -<BR> - -<BR> - ST-3B<BR> - ST-3A<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - EVM-6YS<BR> - EVM-1E<BR> - EVM-1G<BR> - EVM-1D<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - G4B<BR> - G4A<BR> - TR04-3S1<BR> - TRG04-2S1<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - DVR-43A<BR> - CVR-42C<BR> - CVR-42A/C<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> -</TABLE> -<P> -<FONT SIZE=4 FACE=ARIAL><B>ALT =&nbsp;ALTERNATE</B></FONT> -<P> - -&nbsp; -<P> -</td> -</tr> -</table> - - -<b>Chip RESISTOR 0402 EIA (1005 Metric)</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> wave soldering<p> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -Source: http://download.siliconexpert.com/pdfs/2005/02/24/Semi_Ap/2/VSH/Resistor/dcrcwfre.pdf - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> wave soldering<p> -Source: http://download.siliconexpert.com/pdfs/2005/02/24/Semi_Ap/2/VSH/Resistor/dcrcwfre.pdf - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.10 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.25 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.12 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.10 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.25 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.25 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.12 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.25 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -type 0204, grid 5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0204, grid 7.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0204, grid 2.5 mm - - - - - - ->NAME ->VALUE - - -<b>RESISTOR</b><p> -type 0207, grid 10 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0207, grid 12 mm - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - -<b>RESISTOR</b><p> -type 0207, grid 15mm - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - -<b>RESISTOR</b><p> -type 0207, grid 2.5 mm - - - - - - - ->NAME ->VALUE - - -<b>RESISTOR</b><p> -type 0207, grid 5 mm - - - - - - - ->NAME ->VALUE - - -<b>RESISTOR</b><p> -type 0207, grid 7.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0309, grid 10mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0309, grid 12.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0309, grid 2.5 mm - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -type 0411, grid 12.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0411, grid 15 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0411, grid 3.81 mm - - - - - - ->NAME ->VALUE - - - -<b>RESISTOR</b><p> -type 0414, grid 15 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0414, grid 5 mm - - - - - - ->NAME ->VALUE - - - -<b>RESISTOR</b><p> -type 0617, grid 17.5 mm - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0617, grid 22.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0617, grid 5 mm - - - - - - ->NAME ->VALUE - - - -<b>RESISTOR</b><p> -type 0922, grid 22.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - -<b>RESISTOR</b><p> -type 0613, grid 5 mm - - - - - - ->NAME ->VALUE - - - -<b>RESISTOR</b><p> -type 0613, grid 15 mm - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0817, grid 22.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -0817 - - - - -<b>RESISTOR</b><p> -type 0817, grid 6.35 mm - - - - - - ->NAME ->VALUE -0817 - - - -<b>RESISTOR</b><p> -type V234, grid 12.5 mm - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type V235, grid 17.78 mm - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type V526-0, grid 2.5 mm - - - - - - - - - - ->NAME ->VALUE - - -<b>CECC Size RC2211</b> Reflow Soldering<p> -source Beyschlag - - - - - - ->NAME ->VALUE - - -<b>CECC Size RC2211</b> Wave Soldering<p> -source Beyschlag - - - - - - ->NAME ->VALUE - - -<b>CECC Size RC3715</b> Reflow Soldering<p> -source Beyschlag - - - - - - - - ->NAME ->VALUE - - -<b>CECC Size RC3715</b> Wave Soldering<p> -source Beyschlag - - - - - - - - ->NAME ->VALUE - - -<b>CECC Size RC6123</b> Reflow Soldering<p> -source Beyschlag - - - - - - - - ->NAME ->VALUE - - -<b>CECC Size RC6123</b> Wave Soldering<p> -source Beyschlag - - - - - - - - ->NAME ->VALUE - - -<b>RESISTOR</b><p> -type 0922, grid 7.5 mm - - - - - - ->NAME ->VALUE -0922 - - - -<b>RESISTOR</b><p> -type RDH, grid 15 mm - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -RDH - - - - -<b>Mini MELF 0102 Axial</b> - - - - ->NAME ->VALUE - - - -<b>RESISTOR</b> chip<p> -Source: http://www.vishay.com/docs/20008/dcrcw.pdf - - ->NAME ->VALUE - - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RBR52<br> -Source: VISHAY .. vta56.pdf - - - - - - - - - - ->NAME ->VALUE - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RBR53<br> -Source: VISHAY .. vta56.pdf - - - - - - - - - - ->NAME ->VALUE - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RBR54<br> -Source: VISHAY .. vta56.pdf - - - - - - - - - - ->NAME ->VALUE - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RBR55<br> -Source: VISHAY .. vta56.pdf - - - - - - - - - - ->NAME ->VALUE - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RBR56<br> -Source: VISHAY .. vta56.pdf - - - - - - - - - - ->NAME ->VALUE - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RNC55<br> -Source: VISHAY .. vta56.pdf - - - - - - - - ->NAME ->VALUE - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RNC60<br> -Source: VISHAY .. vta56.pdf - - - - - - - - ->NAME ->VALUE - - - - -<b>Package 4527</b><p> -Source: http://www.vishay.com/docs/31059/wsrhigh.pdf - - - - - - ->NAME ->VALUE - - -<b>Wirewound Resistors, Precision Power</b><p> -Source: VISHAY wscwsn.pdf - - - - - - - - - - ->NAME ->VALUE - - -<b>Wirewound Resistors, Precision Power</b><p> -Source: VISHAY wscwsn.pdf - - - - - - ->NAME ->VALUE - - -<b>Wirewound Resistors, Precision Power</b><p> -Source: VISHAY wscwsn.pdf - - - - - - - - - - ->NAME ->VALUE - - -<b>Wirewound Resistors, Precision Power</b><p> -Source: VISHAY wscwsn.pdf - - - - - - - - - - ->NAME ->VALUE - - -<b>Wirewound Resistors, Precision Power</b><p> -Source: VISHAY wscwsn.pdf - - - - - - ->NAME ->VALUE - - -<b>Wirewound Resistors, Precision Power</b><p> -Source: VISHAY wscwsn.pdf - - - - - - ->NAME ->VALUE - - -<b>CRCW1218 Thick Film, Rectangular Chip Resistors</b><p> -Source: http://www.vishay.com .. dcrcw.pdf - - - - ->NAME ->VALUE - - - - -<b>Chip Monolithic Ceramic Capacitors</b> Medium Voltage High Capacitance for General Use<p> -Source: http://www.murata.com .. GRM43DR72E224KW01.pdf - - - - - - ->NAME ->VALUE - - - - -<b>PRL1632 are realized as 1W for 3.2 × 1.6mm(1206)</b><p> -Source: http://www.mouser.com/ds/2/392/products_18-2245.pdf - - - - ->NAME ->VALUE - - - - - - ->NAME ->VALUE - - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b><p> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b><p> -grid 2.5 mm, outline 2.4 x 4.4 mm - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 mm, outline 2.5 x 5 mm - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 mm, outline 3 x 5 mm - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 mm, outline 4 x 5 mm - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 mm, outline 5 x 5 mm - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 mm, outline 6 x 5 mm - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 mm + 5 mm, outline 2.4 x 7 mm - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 + 5 mm, outline 2.5 x 7.5 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 + 5 mm, outline 3.5 x 7.5 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 + 5 mm, outline 4.5 x 7.5 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 + 5 mm, outline 5.5 x 7.5 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 2.4 x 4.4 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 2.5 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 4.5 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 3 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 5 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 5.5 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 7.5 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -Horizontal, grid 5 mm, outline 7.5 x 7.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>CAPACITOR</b><p> -grid 7.5 mm, outline 3.2 x 10.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 7.5 mm, outline 4.2 x 10.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 7.5 mm, outline 5.2 x 10.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 10.2 mm, outline 4.3 x 13.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 10.2 mm, outline 5.4 x 13.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 10.2 mm, outline 6.4 x 13.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 10.2 mm + 15.2 mm, outline 6.2 x 18.4 mm - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 15 mm, outline 5.4 x 18.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 15 mm, outline 6.4 x 18.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 15 mm, outline 7.2 x 18.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 15 mm, outline 8.4 x 18.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 15 mm, outline 9.1 x 18.2 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 22.5 mm, outline 6.2 x 26.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 22.5 mm, outline 7.4 x 26.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 22.5 mm, outline 8.7 x 26.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 22.5 mm, outline 10.8 x 26.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 22.5 mm, outline 11.3 x 26.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 27.5 mm, outline 9.3 x 31.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 27.5 mm, outline 11.3 x 31.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 27.5 mm, outline 13.4 x 31.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 27.5 mm, outline 20.5 x 31.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 32.5 mm, outline 13.7 x 37.4 mm - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 32.5 mm, outline 16.2 x 37.4 mm - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 32.5 mm, outline 18.2 x 37.4 mm - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 37.5 mm, outline 19.2 x 41.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 37.5 mm, outline 20.3 x 41.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 3.5 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 37.5 mm, outline 15.5 x 41.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 7.5 mm, outline 6.3 x 10.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 27.5 mm, outline 15.4 x 31.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 27.5 mm, outline 17.3 x 31.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Ceramic Chip Capacitor KEMET 0204 reflow solder</b><p> -Metric Code Size 1005 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 0603 reflow solder</b><p> -Metric Code Size 1608 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 0805 reflow solder</b><p> -Metric Code Size 2012 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 1206 reflow solder</b><p> -Metric Code Size 3216 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 1210 reflow solder</b><p> -Metric Code Size 3225 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 1812 reflow solder</b><p> -Metric Code Size 4532 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 1825 reflow solder</b><p> -Metric Code Size 4564 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 2220 reflow solder</b><p>Metric Code Size 5650 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 2225 reflow solder</b><p>Metric Code Size 5664 - - - - ->NAME ->VALUE - - - - -<b> </b><p> -Source: http://www.vishay.com/docs/10129/hpc0201a.pdf - - ->NAME ->VALUE - - - -Source: http://www.avxcorp.com/docs/catalogs/cx5r.pdf - - ->NAME ->VALUE - - - - - - -<b>CAPACITOR</b><p> -Source: AVX .. aphvc.pdf - - - - ->NAME ->VALUE - - - - -<b>CAPACITOR</b><p> -Source: AVX .. aphvc.pdf - - - - ->NAME ->VALUE - - - - -<b>CAPACITOR</b> - - - - - - - ->NAME ->VALUE - - - - -Chip RESISTOR 0402 EIA (1005 Metric) - - - - - -RESISTOR - - - - - -RESISTOR - - - - - -RESISTOR wave soldering - - - - - -RESISTOR - - - - - -RESISTOR -wave soldering - - - - - -RESISTOR - - - - - -RESISTOR -wave soldering - - - - - -RESISTOR - - - - - -RESISTOR -wave soldering - - - - - -RESISTOR - - - - - -RESISTOR -wave soldering - - - - - -RESISTOR - - - - - -RESISTOR -wave soldering - - - - - -RESISTOR - - - - - -RESISTOR -wave soldering - - - - - -RESISTOR - - - - - -RESISTOR -wave soldering - - - - - -RESISTOR - - - - - -RESISTOR -wave soldering - - - - - -RESISTOR -Source: http://download.siliconexpert.com/pdfs/2005/02/24/Semi_Ap/2/VSH/Resistor/dcrcwfre.pdf - - - - - -RESISTOR wave soldering -Source: http://download.siliconexpert.com/pdfs/2005/02/24/Semi_Ap/2/VSH/Resistor/dcrcwfre.pdf - - - - - -RESISTOR -MELF 0.10 W - - - - - -RESISTOR -MELF 0.25 W - - - - - -RESISTOR -MELF 0.12 W - - - - - -RESISTOR -MELF 0.10 W - - - - - -RESISTOR -MELF 0.25 W - - - - - -RESISTOR -MELF 0.25 W - - - - - -RESISTOR -MELF 0.12 W - - - - - -RESISTOR -MELF 0.25 W - - - - - -RESISTOR -type 0204, grid 5 mm - - - - - -RESISTOR -type 0204, grid 7.5 mm - - - - - -RESISTOR -type 0204, grid 2.5 mm - - - - - -RESISTOR -type 0207, grid 10 mm - - - - - -RESISTOR -type 0207, grid 12 mm - - - - - -RESISTOR -type 0207, grid 15mm - - - - - -RESISTOR -type 0207, grid 2.5 mm - - - - - -RESISTOR -type 0207, grid 5 mm - - - - - -RESISTOR -type 0207, grid 7.5 mm - - - - - -RESISTOR -type 0309, grid 10mm - - - - - -RESISTOR -type 0309, grid 12.5 mm - - - - - -RESISTOR -type 0309, grid 2.5 mm - - - - - -RESISTOR -type 0411, grid 12.5 mm - - - - - -RESISTOR -type 0411, grid 15 mm - - - - - -RESISTOR -type 0411, grid 3.81 mm - - - - - -RESISTOR -type 0414, grid 15 mm - - - - - -RESISTOR -type 0414, grid 5 mm - - - - - -RESISTOR -type 0617, grid 17.5 mm - - - - - -RESISTOR -type 0617, grid 22.5 mm - - - - - -RESISTOR -type 0617, grid 5 mm - - - - - -RESISTOR -type 0922, grid 22.5 mm - - - - - -RESISTOR -type 0613, grid 5 mm - - - - - -RESISTOR -type 0613, grid 15 mm - - - - - -RESISTOR -type 0817, grid 22.5 mm - - - - - -RESISTOR -type 0817, grid 6.35 mm - - - - - -RESISTOR -type V234, grid 12.5 mm - - - - - -RESISTOR -type V235, grid 17.78 mm - - - - - -RESISTOR -type V526-0, grid 2.5 mm - - - - - -CECC Size RC2211 Reflow Soldering -source Beyschlag - - - - - -CECC Size RC2211 Wave Soldering -source Beyschlag - - - - - -CECC Size RC3715 Reflow Soldering -source Beyschlag - - - - - -CECC Size RC3715 Wave Soldering -source Beyschlag - - - - - -CECC Size RC6123 Reflow Soldering -source Beyschlag - - - - - -CECC Size RC6123 Wave Soldering -source Beyschlag - - - - - -RESISTOR -type 0922, grid 7.5 mm - - - - - -RESISTOR -type RDH, grid 15 mm - - - - - -Mini MELF 0102 Axial - - - - - -RESISTOR chip -Source: http://www.vishay.com/docs/20008/dcrcw.pdf - - - - - -Bulk Metal® Foil Technology, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements -MIL SIZE RBR52 -Source: VISHAY .. vta56.pdf - - - - - -Bulk Metal® Foil Technology, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements -MIL SIZE RBR53 -Source: VISHAY .. vta56.pdf - - - - - -Bulk Metal® Foil Technology, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements -MIL SIZE RBR54 -Source: VISHAY .. vta56.pdf - - - - - -Bulk Metal® Foil Technology, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements -MIL SIZE RBR55 -Source: VISHAY .. vta56.pdf - - - - - -Bulk Metal® Foil Technology, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements -MIL SIZE RBR56 -Source: VISHAY .. vta56.pdf - - - - - -Bulk Metal® Foil Technology, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements -MIL SIZE RNC55 -Source: VISHAY .. vta56.pdf - - - - - -Bulk Metal® Foil Technology, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements -MIL SIZE RNC60 -Source: VISHAY .. vta56.pdf - - - - - -Package 4527 -Source: http://www.vishay.com/docs/31059/wsrhigh.pdf - - - - - -Wirewound Resistors, Precision Power -Source: VISHAY wscwsn.pdf - - - - - -Wirewound Resistors, Precision Power -Source: VISHAY wscwsn.pdf - - - - - -Wirewound Resistors, Precision Power -Source: VISHAY wscwsn.pdf - - - - - -Wirewound Resistors, Precision Power -Source: VISHAY wscwsn.pdf - - - - - -Wirewound Resistors, Precision Power -Source: VISHAY wscwsn.pdf - - - - - -Wirewound Resistors, Precision Power -Source: VISHAY wscwsn.pdf - - - - - -CRCW1218 Thick Film, Rectangular Chip Resistors -Source: http://www.vishay.com .. dcrcw.pdf - - - - - -Chip Monolithic Ceramic Capacitors Medium Voltage High Capacitance for General Use -Source: http://www.murata.com .. GRM43DR72E224KW01.pdf - - - - - -PRL1632 are realized as 1W for 3.2 × 1.6mm(1206) -Source: http://www.mouser.com/ds/2/392/products_18-2245.pdf - - - - - -Chip, 0.40 X 0.20 X 0.16 mm body -<p>Chip package with body size 0.40 X 0.20 X 0.16 mm</p> - - - - - -Chip, 1.00 X 0.50 X 0.60 mm body -<p>Chip package with body size 1.00 X 0.50 X 0.60 mm</p> - - - - - -CAPACITOR - - - - - -CAPACITOR - - - - - -CAPACITOR - - - - - -CAPACITOR - - - - - -CAPACITOR - - - - - -CAPACITOR - - - - - -CAPACITOR - - - - - -CAPACITOR - - - - - -CAPACITOR - - - - - -CAPACITOR - - - - - -CAPACITOR - - - - - -CAPACITOR - - - - - -CAPACITOR - - - - - -CAPACITOR - - - - - -CAPACITOR -grid 2.5 mm, outline 2.4 x 4.4 mm - - - - - -CAPACITOR -grid 2.5 mm, outline 2.5 x 5 mm - - - - - -CAPACITOR -grid 2.5 mm, outline 3 x 5 mm - - - - - -CAPACITOR -grid 2.5 mm, outline 4 x 5 mm - - - - - -CAPACITOR -grid 2.5 mm, outline 5 x 5 mm - - - - - -CAPACITOR -grid 2.5 mm, outline 6 x 5 mm - - - - - -CAPACITOR -grid 2.5 mm + 5 mm, outline 2.4 x 7 mm - - - - - -CAPACITOR -grid 2.5 + 5 mm, outline 2.5 x 7.5 mm - - - - - -CAPACITOR -grid 2.5 + 5 mm, outline 3.5 x 7.5 mm - - - - - -CAPACITOR -grid 2.5 + 5 mm, outline 4.5 x 7.5 mm - - - - - -CAPACITOR -grid 2.5 + 5 mm, outline 5.5 x 7.5 mm - - - - - -CAPACITOR -grid 5 mm, outline 2.4 x 4.4 mm - - - - - -CAPACITOR -grid 5 mm, outline 2.5 x 7.5 mm - - - - - -CAPACITOR -grid 5 mm, outline 4.5 x 7.5 mm - - - - - -CAPACITOR -grid 5 mm, outline 3 x 7.5 mm - - - - - -CAPACITOR -grid 5 mm, outline 5 x 7.5 mm - - - - - -CAPACITOR -grid 5 mm, outline 5.5 x 7.5 mm - - - - - -CAPACITOR -grid 5 mm, outline 7.5 x 7.5 mm - - - - - -CAPACITOR -Horizontal, grid 5 mm, outline 7.5 x 7.5 mm - - - - - -CAPACITOR -grid 7.5 mm, outline 3.2 x 10.3 mm - - - - - -CAPACITOR -grid 7.5 mm, outline 4.2 x 10.3 mm - - - - - -CAPACITOR -grid 7.5 mm, outline 5.2 x 10.6 mm - - - - - -CAPACITOR -grid 10.2 mm, outline 4.3 x 13.3 mm - - - - - -CAPACITOR -grid 10.2 mm, outline 5.4 x 13.3 mm - - - - - -CAPACITOR -grid 10.2 mm, outline 6.4 x 13.3 mm - - - - - -CAPACITOR -grid 10.2 mm + 15.2 mm, outline 6.2 x 18.4 mm - - - - - -CAPACITOR -grid 15 mm, outline 5.4 x 18.3 mm - - - - - -CAPACITOR -grid 15 mm, outline 6.4 x 18.3 mm - - - - - -CAPACITOR -grid 15 mm, outline 7.2 x 18.3 mm - - - - - -CAPACITOR -grid 15 mm, outline 8.4 x 18.3 mm - - - - - -CAPACITOR -grid 15 mm, outline 9.1 x 18.2 mm - - - - - -CAPACITOR -grid 22.5 mm, outline 6.2 x 26.8 mm - - - - - -CAPACITOR -grid 22.5 mm, outline 7.4 x 26.8 mm - - - - - -CAPACITOR -grid 22.5 mm, outline 8.7 x 26.8 mm - - - - - -CAPACITOR -grid 22.5 mm, outline 10.8 x 26.8 mm - - - - - -CAPACITOR -grid 22.5 mm, outline 11.3 x 26.8 mm - - - - - -CAPACITOR -grid 27.5 mm, outline 9.3 x 31.6 mm - - - - - -CAPACITOR -grid 27.5 mm, outline 11.3 x 31.6 mm - - - - - -CAPACITOR -grid 27.5 mm, outline 13.4 x 31.6 mm - - - - - -CAPACITOR -grid 27.5 mm, outline 20.5 x 31.6 mm - - - - - -CAPACITOR -grid 32.5 mm, outline 13.7 x 37.4 mm - - - - - -CAPACITOR -grid 32.5 mm, outline 16.2 x 37.4 mm - - - - - -CAPACITOR -grid 32.5 mm, outline 18.2 x 37.4 mm - - - - - -CAPACITOR -grid 37.5 mm, outline 19.2 x 41.8 mm - - - - - -CAPACITOR -grid 37.5 mm, outline 20.3 x 41.8 mm - - - - - -CAPACITOR -grid 5 mm, outline 3.5 x 7.5 mm - - - - - -CAPACITOR -grid 37.5 mm, outline 15.5 x 41.8 mm - - - - - -CAPACITOR -grid 7.5 mm, outline 6.3 x 10.6 mm - - - - - -CAPACITOR -grid 27.5 mm, outline 15.4 x 31.6 mm - - - - - -CAPACITOR -grid 27.5 mm, outline 17.3 x 31.6 mm - - - - - -Ceramic Chip Capacitor KEMET 0204 reflow solder -Metric Code Size 1005 - - - - - -Ceramic Chip Capacitor KEMET 0603 reflow solder -Metric Code Size 1608 - - - - - -Ceramic Chip Capacitor KEMET 0805 reflow solder -Metric Code Size 2012 - - - - - -Ceramic Chip Capacitor KEMET 1206 reflow solder -Metric Code Size 3216 - - - - - -Ceramic Chip Capacitor KEMET 1210 reflow solder -Metric Code Size 3225 - - - - - -Ceramic Chip Capacitor KEMET 1812 reflow solder -Metric Code Size 4532 - - - - - -Ceramic Chip Capacitor KEMET 1825 reflow solder -Metric Code Size 4564 - - - - - -Ceramic Chip Capacitor KEMET 2220 reflow solderMetric Code Size 5650 - - - - - -Ceramic Chip Capacitor KEMET 2225 reflow solderMetric Code Size 5664 - - - - - - -Source: http://www.vishay.com/docs/10129/hpc0201a.pdf - - - - - -Source: http://www.avxcorp.com/docs/catalogs/cx5r.pdf - - - - - -CAPACITOR -Source: AVX .. aphvc.pdf - - - - - -CAPACITOR -Source: AVX .. aphvc.pdf - - - - - -CAPACITOR - - - - - - - - - - - ->NAME ->VALUE - - - - - - ->NAME ->VALUE - - - - - - - - -<B>RESISTOR</B>, European symbol - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<B>CAPACITOR</B>, European symbol - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>TTL Devices, 74xx Series with European Symbols</b><p> -Based on the following sources: -<ul> -<li>Texas Instruments <i>TTL Data Book</i>&nbsp;&nbsp;&nbsp;Volume 1, 1996. -<li>TTL Data Book, Volume 2 , 1993 -<li>National Seminconductor Databook 1990, ALS/LS Logic -<li>ttl 74er digital data dictionary, ECA Electronic + Acustic GmbH, ISBN 3-88109-032-0 -<li>http://icmaster.com/ViewCompare.asp -</ul> -<author>Created by librarian@cadsoft.de</author> - - -<b>Dual In Line Package</b> - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Small Outline package</b> 150 mil - - - - - - - - - - - - - - - - - - - - - - - - - - ->VALUE ->NAME - - - - - - - - - - - - - - - - -<b>plastic shrink small outline package; 14 leads; body width 5.3 mm</b><p> -SOT337-1<br> -Source: http://www.nxp.com/documents/data_sheet/74ABT125.pdf - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>plastic thin shrink small outline package; 14 leads; body width 4.4 mm</b><p> -SOT402-1<br> -Source: http://www.nxp.com/documents/data_sheet/74ABT125.pdf - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>plastic dual in-line compatible thermal enhanced very thin quad flat package; no leads; 14 terminals; body 2.5 x 3 x 0.85 mm</b><p> -Source: http://www.nxp.com/documents/data_sheet/74ABT125.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - -Dual In Line Package - - - - - -Small Outline package 150 mil - - - - - -plastic shrink small outline package; 14 leads; body width 5.3 mm -SOT337-1 -Source: http://www.nxp.com/documents/data_sheet/74ABT125.pdf - - - - - -plastic thin shrink small outline package; 14 leads; body width 4.4 mm -SOT402-1 -Source: http://www.nxp.com/documents/data_sheet/74ABT125.pdf - - - - - -plastic dual in-line compatible thermal enhanced very thin quad flat package; no leads; 14 terminals; body 2.5 x 3 x 0.85 mm -Source: http://www.nxp.com/documents/data_sheet/74ABT125.pdf - - - - - - - - - - - - ->NAME ->VALUE - - - - - ->NAME -GND -VCC - - - - - - -Quad bus <b>BUFFER</b>, 3-state - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Crystals and Crystal Resonators</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>kHz RANGE CRYSTAL UNIT</b><p> -LOW PROFILE SMD<b> -Source: Epson Toyocom FC-12M.pdf - - - - - - - ->NAME ->VALUE - - - - -kHz RANGE CRYSTAL UNIT -LOW PROFILE SMD -Source: Epson Toyocom FC-12M.pdf - - - - - - - - - - - - - - - ->NAME ->VALUE -1 -2 - - - - - - -<b>kHz RANGE CRYSTAL UNIT</b><p> -LOW PROFILE SMD<b> -Source: Epson Toyocom FC-12M.pdf - - - - - - - - - - - - - - - - - - - - - - - -<b>Lithium Batteries and NC Accus</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>Battery Holder, SMT, 12mm</b><p> -multicomp PART NO. CH291-1220LF<br> -Source: <a href="http://www.farnell.com/datasheets/1505860.pdf"> Data sheet </a> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - -Battery Holder, SMT, 12mm -multicomp PART NO. CH291-1220LF -Source: Data sheet - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - -<b>Battery Holder, SMT, 12mm</b><p> -multicomp PART NO. CH291-1220LF<br> -Source: <a href="http://www.farnell.com/datasheets/1505860.pdf"> Data sheet </a> - - - - - - - - - - - - - - - - - - - - - - - -<b>Diodes</b><p> -Based on the following sources: -<ul> -<li>Motorola : www.onsemi.com -<li>Fairchild : www.fairchildsemi.com -<li>Philips : www.semiconductors.com -<li>Vishay : www.vishay.de -</ul> -<author>Created by librarian@cadsoft.de</author> - - -<b>SOD-323</b><p> -Source: www.st.com, BAT60J.pdf - - - - - - ->NAME ->VALUE - - - - - - - - -SOD-323 -Source: www.st.com, BAT60J.pdf - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - -<b>Schottky barrier diode</b><p> -Source: www.st.com, BAT60J.pdf - - - - - - - - - - - - - - - - - - - - - - - -<b>Samtec Connectors</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>THROUGH-HOLE .025" SQ POST SOCKET</b><p> -Source: Samtec SSW.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -1 -2 ->NAME ->VALUE - - -<b>THROUGH-HOLE .025" SQ POST SOCKET</b><p> -Source: Samtec SSW.pdf - - - - - - - - - - - - - - - - -1 -2 ->NAME ->VALUE - - - - - - - - -<b>THROUGH-HOLE .025" SQ POST SOCKET</b><p> -Source: Samtec SSW.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -1 -2 ->NAME ->VALUE - - -<b>THROUGH-HOLE .025" SQ POST SOCKET</b><p> -Source: Samtec SSW.pdf - - - - - - - - - - - - - - - - -1 -2 ->NAME ->VALUE - - - - - - - - - - - - - ->VALUE ->NAME - - - - - - ->NAME - - - - - -<b>THROUGH-HOLE .025" SQ POST SOCKET</b><p> -Source: Samtec SSW.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>LEDs</b><p> -<author>Created by librarian@cadsoft.de</author><br> -Extended by Federico Battaglin <author>&lt;federico.rd@fdpinternational.com&gt;</author> with DUOLED - - -<b>CHICAGO MINIATURE LAMP, INC.</b><p> -7022X Series SMT LEDs 1206 Package Size - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - -<B>LED</B><p> -5 mm, square, Siemens - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<B>LED</B><p> -2 x 5 mm, rectangle - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - -<B>LED</B><p> -3 mm, round - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<B>LED</B><p> -5 mm, round - - - - - - - - - - - ->NAME ->VALUE - - -<B>LED</B><p> -1 mm, round, Siemens - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<B>LED BLOCK</B><p> -1 LED, Siemens - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>LED HOLDER</b><p> -Siemens - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>LED HOLDER</b><p> -Siemens - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>LED HOLDER</b><p> -Siemens - - - - - - - - - - - - - - - - - -A+ -K- ->NAME ->VALUE - - - - - -<b>LED HOLDER</b><p> -Siemens - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -+ -- - - -<B>IR LED</B><p> -infrared emitting diode, Infineon -TO-18, lead spacing 2.54 mm, cathode marking<p> -Inifineon - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<B>IR LED</B><p> -infrared emitting diode, Infineon -TO-18, lead spacing 2.54 mm, cathode marking<p> -Inifineon - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<B>LED</B><p> -rectangle, 5.7 x 3.2 mm - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<B>IR LED</B><p> -IR transmitter Siemens - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>TOPLED® High-optical Power LED (HOP)</b><p> -Source: http://www.osram.convergy.de/ ... ls_t675.pdf - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -A -C - - - - - - - -<b>BLUE LINETM Hyper Mini TOPLED® Hyper-Bright LED</b><p> -Source: http://www.osram.convergy.de/ ... LB M676.pdf - - - - - - - - - - - - - - -A -C ->NAME ->VALUE - - - - - - - -<b>Super SIDELED® High-Current LED</b><p> -LG A672, LP A672 <br> -Source: http://www.osram.convergy.de/ ... LG_LP_A672.pdf (2004.05.13) - - - - - - - - - - - - - - - - - - - -C -A ->NAME ->VALUE - - - - - - - -<b>SmartLEDTM Hyper-Bright LED</b><p> -Source: http://www.osram.convergy.de/ ... LA_LO_LS_LY L896.pdf - - - - - - - - ->NAME ->VALUE - - - - - -<b>Hyper TOPLED® RG Hyper-Bright LED</b><p> -Source: http://www.osram.convergy.de/ ... LA_LO_LS_LY T776.pdf - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -A -C - - - - - - - - - - -<b>Hyper Micro SIDELED®</b><p> -Source: http://www.osram.convergy.de/ ... LA_LO_LS_LY Y876.pdf - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - -<b>Power TOPLED®</b><p> -Source: http://www.osram.convergy.de/ ... LA_LO_LA_LY E67B.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -C -A -C -C - - - - - - - - - - - -<b>Hyper CHIPLED Hyper-Bright LED</b><p> -LB Q993<br> -Source: http://www.osram.convergy.de/ ... Lb_q993.pdf - - - - ->NAME ->VALUE - - - - - - - -<b>Hyper CHIPLED Hyper-Bright LED</b><p> -LB R99A<br> -Source: http://www.osram.convergy.de/ ... lb_r99a.pdf - - - - ->NAME ->VALUE - - - - - - - -<b>Mini TOPLED Santana®</b><p> -Source: http://www.osram.convergy.de/ ... LG M470.pdf - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - -<b>CHIPLED</b><p> -Source: http://www.osram.convergy.de/ ... LG_R971.pdf - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - -<b>CHIPLED</b><p> -Source: http://www.osram.convergy.de/ ... LG_LY N971.pdf - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - -<b>CHIPLED</b><p> -Source: http://www.osram.convergy.de/ ... LG_LY Q971.pdf - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - -<b>CHIPLED-0603</b><p> -Recommended Solder Pad useable for SmartLEDTM and Chipled - Package 0603<br> -Package able to withstand TTW-soldering heat<br> -Package suitable for TTW-soldering<br> -Source: http://www.osram.convergy.de/ ... LO_LS_LY L89K.pdf - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - -<b>SmartLED TTW</b><p> -Recommended Solder Pad useable for SmartLEDTM and Chipled - Package 0603<br> -Package able to withstand TTW-soldering heat<br> -Package suitable for TTW-soldering<br> -Source: http://www.osram.convergy.de/ ... LO_LS_LY L89K.pdf - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - -<b>Lumileds Lighting. LUXEON®</b> with cool pad<p> -Source: K2.pdf - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Lumileds Lighting. LUXEON®</b> without cool pad<p> -Source: K2.pdf - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - - - - -<B>LED</B><p> -10 mm, round - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>SURFACE MOUNT LED LAMP</b> 3.5x2.8mm<p> -Source: http://www.kingbright.com/manager/upload/pdf/KA-3528ASYC(Ver1189474662.1) - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - -<b>SML0805-2CW-TR (0805 PROFILE)</b> COOL WHITE<p> -Source: http://www.ledtronics.com/ds/smd-0603/Dstr0093.pdf - - - - - - - - - - - ->NAME ->VALUE - - -<b>SML10XXKH-TR (HIGH INTENSITY) LED</b><p> -<table> -<tr><td>SML10R3KH-TR</td><td>ULTRA RED</td></tr> -<tr><td>SML10E3KH-TR</td><td>SUPER REDSUPER BLUE</td></tr> -<tr><td>SML10O3KH-TR</td><td>SUPER ORANGE</td></tr> -<tr><td>SML10PY3KH-TR</td><td>PURE YELLOW</td></tr> -<tr><td>SML10OY3KH-TR</td><td>ULTRA YELLOW</td></tr> -<tr><td>SML10AG3KH-TR</td><td>AQUA GREEN</td></tr> -<tr><td>SML10BG3KH-TR</td><td>BLUE GREEN</td></tr> -<tr><td>SML10PB1KH-TR</td><td>SUPER BLUE</td></tr> -<tr><td>SML10CW1KH-TR</td><td>WHITE</td></tr> -</table> - -Source: http://www.ledtronics.com/ds/smd-1206/dstr0094.PDF - - - - - - - ->NAME ->VALUE - - - - - - - - - -<b>SML0603-XXX (HIGH INTENSITY) LED</b><p> -<table> -<tr><td>AG3K</td><td>AQUA GREEN</td></tr> -<tr><td>B1K</td><td>SUPER BLUE</td></tr> -<tr><td>R1K</td><td>SUPER RED</td></tr> -<tr><td>R3K</td><td>ULTRA RED</td></tr> -<tr><td>O3K</td><td>SUPER ORANGE</td></tr> -<tr><td>O3KH</td><td>SOFT ORANGE</td></tr> -<tr><td>Y3KH</td><td>SUPER YELLOW</td></tr> -<tr><td>Y3K</td><td>SUPER YELLOW</td></tr> -<tr><td>2CW</td><td>WHITE</td></tr> -</table> -Source: http://www.ledtronics.com/ds/smd-0603/Dstr0092.pdf - - - - - - - - - - ->NAME ->VALUE - - - - - - -CHICAGO MINIATURE LAMP, INC. -7022X Series SMT LEDs 1206 Package Size - - - - - -LED -5 mm, square, Siemens - - - - - -LED -2 x 5 mm, rectangle - - - - - -LED -3 mm, round - - - - - -LED -5 mm, round - - - - - -LED -1 mm, round, Siemens - - - - - -LED BLOCK -1 LED, Siemens - - - - - -LED HOLDER -Siemens - - - - - -LED HOLDER -Siemens - - - - - -LED HOLDER -Siemens - - - - - -LED HOLDER -Siemens - - - - - -IR LED -infrared emitting diode, Infineon -TO-18, lead spacing 2.54 mm, cathode marking -Inifineon - - - - - -IR LED -infrared emitting diode, Infineon -TO-18, lead spacing 2.54 mm, cathode marking -Inifineon - - - - - -LED -rectangle, 5.7 x 3.2 mm - - - - - -IR LED -IR transmitter Siemens - - - - - -TOPLED® High-optical Power LED (HOP) -Source: http://www.osram.convergy.de/ ... ls_t675.pdf - - - - - -BLUE LINETM Hyper Mini TOPLED® Hyper-Bright LED -Source: http://www.osram.convergy.de/ ... LB M676.pdf - - - - - -Super SIDELED® High-Current LED -LG A672, LP A672 -Source: http://www.osram.convergy.de/ ... LG_LP_A672.pdf (2004.05.13) - - - - - -SmartLEDTM Hyper-Bright LED -Source: http://www.osram.convergy.de/ ... LA_LO_LS_LY L896.pdf - - - - - -Hyper TOPLED® RG Hyper-Bright LED -Source: http://www.osram.convergy.de/ ... LA_LO_LS_LY T776.pdf - - - - - -Hyper Micro SIDELED® -Source: http://www.osram.convergy.de/ ... LA_LO_LS_LY Y876.pdf - - - - - -Power TOPLED® -Source: http://www.osram.convergy.de/ ... LA_LO_LA_LY E67B.pdf - - - - - -Hyper CHIPLED Hyper-Bright LED -LB Q993 -Source: http://www.osram.convergy.de/ ... Lb_q993.pdf - - - - - -Hyper CHIPLED Hyper-Bright LED -LB R99A -Source: http://www.osram.convergy.de/ ... lb_r99a.pdf - - - - - -Mini TOPLED Santana® -Source: http://www.osram.convergy.de/ ... LG M470.pdf - - - - - -CHIPLED -Source: http://www.osram.convergy.de/ ... LG_R971.pdf - - - - - -CHIPLED -Source: http://www.osram.convergy.de/ ... LG_LY N971.pdf - - - - - -CHIPLED -Source: http://www.osram.convergy.de/ ... LG_LY Q971.pdf - - - - - -CHIPLED-0603 -Recommended Solder Pad useable for SmartLEDTM and Chipled - Package 0603 -Package able to withstand TTW-soldering heat -Package suitable for TTW-soldering -Source: http://www.osram.convergy.de/ ... LO_LS_LY L89K.pdf - - - - - -SmartLED TTW -Recommended Solder Pad useable for SmartLEDTM and Chipled - Package 0603 -Package able to withstand TTW-soldering heat -Package suitable for TTW-soldering -Source: http://www.osram.convergy.de/ ... LO_LS_LY L89K.pdf - - - - - -Lumileds Lighting. LUXEON® with cool pad -Source: K2.pdf - - - - - -Lumileds Lighting. LUXEON® without cool pad -Source: K2.pdf - - - - - -LED -10 mm, round - - - - - -SURFACE MOUNT LED LAMP 3.5x2.8mm -Source: http://www.kingbright.com/manager/upload/pdf/KA-3528ASYC(Ver1189474662.1) - - - - - -SML0805-2CW-TR (0805 PROFILE) COOL WHITE -Source: http://www.ledtronics.com/ds/smd-0603/Dstr0093.pdf - - - - - -SML10XXKH-TR (HIGH INTENSITY) LED - -SML10R3KH-TRULTRA RED -SML10E3KH-TRSUPER REDSUPER BLUE -SML10O3KH-TRSUPER ORANGE -SML10PY3KH-TRPURE YELLOW -SML10OY3KH-TRULTRA YELLOW -SML10AG3KH-TRAQUA GREEN -SML10BG3KH-TRBLUE GREEN -SML10PB1KH-TRSUPER BLUE -SML10CW1KH-TRWHITE - - -Source: http://www.ledtronics.com/ds/smd-1206/dstr0094.PDF - - - - - -SML0603-XXX (HIGH INTENSITY) LED - -AG3KAQUA GREEN -B1KSUPER BLUE -R1KSUPER RED -R3KULTRA RED -O3KSUPER ORANGE -O3KHSOFT ORANGE -Y3KHSUPER YELLOW -Y3KSUPER YELLOW -2CWWHITE - -Source: http://www.ledtronics.com/ds/smd-0603/Dstr0092.pdf - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - -<b>LED</b><p> -<u>OSRAM</u>:<br> - -- <u>CHIPLED</u><br> -LG R971, LG N971, LY N971, LG Q971, LY Q971, LO R971, LY R971 -LH N974, LH R974<br> -LS Q976, LO Q976, LY Q976<br> -LO Q996<br> - -- <u>Hyper CHIPLED</u><br> -LW Q18S<br> -LB Q993, LB Q99A, LB R99A<br> - -- <u>SideLED</u><br> -LS A670, LO A670, LY A670, LG A670, LP A670<br> -LB A673, LV A673, LT A673, LW A673<br> -LH A674<br> -LY A675<br> -LS A676, LA A676, LO A676, LY A676, LW A676<br> -LS A679, LY A679, LG A679<br> - -- <u>Hyper Micro SIDELED®</u><br> -LS Y876, LA Y876, LO Y876, LY Y876<br> -LT Y87S<br> - -- <u>SmartLED</u><br> -LW L88C, LW L88S<br> -LB L89C, LB L89S, LG L890<br> -LS L89K, LO L89K, LY L89K<br> -LS L896, LA L896, LO L896, LY L896<br> - -- <u>TOPLED</u><br> -LS T670, LO T670, LY T670, LG T670, LP T670<br> -LSG T670, LSP T670, LSY T670, LOP T670, LYG T670<br> -LG T671, LOG T671, LSG T671<br> -LB T673, LV T673, LT T673, LW T673<br> -LH T674<br> -LS T676, LA T676, LO T676, LY T676, LB T676, LH T676, LSB T676, LW T676<br> -LB T67C, LV T67C, LT T67C, LS T67K, LO T67K, LY T67K, LW E67C<br> -LS E67B, LA E67B, LO E67B, LY E67B, LB E67C, LV E67C, LT E67C<br> -LW T67C<br> -LS T679, LY T679, LG T679<br> -LS T770, LO T770, LY T770, LG T770, LP T770<br> -LB T773, LV T773, LT T773, LW T773<br> -LH T774<br> -LS E675, LA E675, LY E675, LS T675<br> -LS T776, LA T776, LO T776, LY T776, LB T776<br> -LHGB T686<br> -LT T68C, LB T68C<br> - -- <u>Hyper Mini TOPLED®</u><br> -LB M676<br> - -- <u>Mini TOPLED Santana®</u><br> -LG M470<br> -LS M47K, LO M47K, LY M47K -<p> -Source: http://www.osram.convergy.de<p> - -<u>LUXEON:</u><br> -- <u>LUMILED®</u><br> -LXK2-PW12-R00, LXK2-PW12-S00, LXK2-PW14-U00, LXK2-PW14-V00<br> -LXK2-PM12-R00, LXK2-PM12-S00, LXK2-PM14-U00<br> -LXK2-PE12-Q00, LXK2-PE12-R00, LXK2-PE12-S00, LXK2-PE14-T00, LXK2-PE14-U00<br> -LXK2-PB12-K00, LXK2-PB12-L00, LXK2-PB12-M00, LXK2-PB14-N00, LXK2-PB14-P00, LXK2-PB14-Q00<br> -LXK2-PR12-L00, LXK2-PR12-M00, LXK2-PR14-Q00, LXK2-PR14-R00<br> -LXK2-PD12-Q00, LXK2-PD12-R00, LXK2-PD12-S00<br> -LXK2-PH12-R00, LXK2-PH12-S00<br> -LXK2-PL12-P00, LXK2-PL12-Q00, LXK2-PL12-R00 -<p> -Source: www.luxeon.com<p> - -<u>KINGBRIGHT:</U><p> -KA-3528ASYC<br> -Source: www.kingbright.com - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Voltage Regulators</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>DPAK</b><p> -PLASTIC PACKAGE CASE 369C-01<br> -Source: http://www.onsemi.co.jp .. LM317M-D.PDF - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - -<b>VOLTAGE REGULATOR</b> - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -A15,2mm -1 -2 -3 - - - - - - - - - -<b>Small Outline Transistor 223</b><p> -PLASTIC PACKAGE CASE 318E-04<br> -Source: http://www.onsemi.co.jp .. LM137M-D.PDF - - - - - - - - - - - - - - - ->NAME ->VALUE -direction of pcb -transportation for -wavesoldering - - - - - - - - - - -<b>D2PACK</b><p> -INTERNATIONAL RECTIFIER, irg4bc15ud-s.pdf - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - -DPAK -PLASTIC PACKAGE CASE 369C-01 -Source: http://www.onsemi.co.jp .. LM317M-D.PDF - - - - - -VOLTAGE REGULATOR - - - - - -Small Outline Transistor 223 -PLASTIC PACKAGE CASE 318E-04 -Source: http://www.onsemi.co.jp .. LM137M-D.PDF - - - - - -D2PACK -INTERNATIONAL RECTIFIER, irg4bc15ud-s.pdf - - - - - - - - - - - ->NAME ->VALUE -ADJ -IN -OUT - - - - - - - -<b>Low drop fixed and adjustable positive voltage regulators</b> 1 A<p> -Source: http://www.st.com/stonline/products/literature/ds/7194/ld1117axx.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -RTC -LED -CIC - - - - -PMOD - - - - - - - - -FLASH -SRAM - - - - -FTDI EEPROM - - - - -N64 <-> FPGA Resistors - - - - -N64 Edge Connector - - - - -Crystal Oscillators - - - - -SDRAM - - - - -FTDI <-> CIC Buffer - - - - -FPGA - - - - -Pull-up Resistors - - - - -SD Card - - - - -FTDI - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -Since Version 8.2, EAGLE supports online libraries. The ids -of those online libraries will not be understood (or retained) -with this version. - - -Since Version 8.3, EAGLE supports URNs for individual library -assets (packages, symbols, and devices). The URNs of those assets -will not be understood (or retained) with this version. - - -Since Version 8.3, EAGLE supports the association of 3D packages -with devices in libraries, schematics, and board files. Those 3D -packages will not be understood (or retained) with this version. - - -Since Version 8.4, EAGLE supports properties for SPICE simulation. -Probes in schematics and SPICE mapping objects found in parts and library devices -will not be understood with this version. Update EAGLE to the latest version -for full support of SPICE simulation. - - - diff --git a/hw/pcb/.gitignore b/hw/pcb/.gitignore new file mode 100644 index 0000000..b24f878 --- /dev/null +++ b/hw/pcb/.gitignore @@ -0,0 +1,6 @@ +**/*-backups +**/*-bak +**/*.gerbers +**/*.kicad_prl +**/*.zip +**/fp-info-cache diff --git a/hw/pcb/sc64v2.kicad_pcb b/hw/pcb/sc64v2.kicad_pcb new file mode 100644 index 0000000..5a97cae --- /dev/null +++ b/hw/pcb/sc64v2.kicad_pcb @@ -0,0 +1,62349 @@ +(kicad_pcb (version 20211014) (generator pcbnew) + + (general + (thickness 1.2) + ) + + (paper "A4") + (title_block + (title "SummerCart64") + (date "2022-05-15") + (rev "2.0") + (company "Polprzewodnikowy") + ) + + (layers + (0 "F.Cu" signal) + (31 "B.Cu" signal) + (32 "B.Adhes" user "B.Adhesive") + (33 "F.Adhes" user "F.Adhesive") + (34 "B.Paste" user) + (35 "F.Paste" user) + (36 "B.SilkS" user "B.Silkscreen") + (37 "F.SilkS" user "F.Silkscreen") + (38 "B.Mask" user) + (39 "F.Mask" user) + (40 "Dwgs.User" user "User.Drawings") + (41 "Cmts.User" user "User.Comments") + (42 "Eco1.User" user "User.Eco1") + (43 "Eco2.User" user "User.Eco2") + (44 "Edge.Cuts" user) + (45 "Margin" user) + (46 "B.CrtYd" user "B.Courtyard") + (47 "F.CrtYd" user "F.Courtyard") + (48 "B.Fab" user) + (49 "F.Fab" user) + (50 "User.1" user) + (51 "User.2" user) + (52 "User.3" user) + (53 "User.4" user) + (54 "User.5" user) + (55 "User.6" user) + (56 "User.7" user) + (57 "User.8" user) + (58 "User.9" user) + ) + + (setup + (stackup + (layer "F.SilkS" (type "Top Silk Screen") (color "White")) + (layer "F.Paste" (type "Top Solder Paste")) + (layer "F.Mask" (type "Top Solder Mask") (color "Green") (thickness 0.01)) + (layer "F.Cu" (type "copper") (thickness 0.035)) + (layer "dielectric 1" (type "core") (thickness 1.11) (material "FR4") (epsilon_r 4.5) (loss_tangent 0.02)) + (layer "B.Cu" (type "copper") (thickness 0.035)) + (layer "B.Mask" (type "Bottom Solder Mask") (color "Green") (thickness 0.01)) + (layer "B.Paste" (type "Bottom Solder Paste")) + (layer "B.SilkS" (type "Bottom Silk Screen") (color "White")) + (copper_finish "ENIG") + (dielectric_constraints no) + (edge_connector bevelled) + ) + (pad_to_mask_clearance 0) + (pcbplotparams + (layerselection 0x00010fc_ffffffff) + (disableapertmacros false) + (usegerberextensions true) + (usegerberattributes false) + (usegerberadvancedattributes false) + (creategerberjobfile false) + (svguseinch false) + (svgprecision 6) + (excludeedgelayer true) + (plotframeref false) + (viasonmask false) + (mode 1) + (useauxorigin false) + (hpglpennumber 1) + (hpglpenspeed 20) + (hpglpendiameter 15.000000) + (dxfpolygonmode true) + (dxfimperialunits true) + (dxfusepcbnewfont true) + (psnegative false) + (psa4output false) + (plotreference true) + (plotvalue false) + (plotinvisibletext false) + (sketchpadsonfab false) + (subtractmaskfromsilk true) + (outputformat 1) + (mirror false) + (drillshape 0) + (scaleselection 1) + (outputdirectory "sc64v2.gerbers/") + ) + ) + + (net 0 "") + (net 1 "unconnected-(X1-Pad1)") + (net 2 "unconnected-(X2-Pad1)") + (net 3 "unconnected-(J_N1-Pad39)") + (net 4 "unconnected-(J_N1-Pad38)") + (net 5 "unconnected-(J_N1-Pad14)") + (net 6 "unconnected-(J_N1-Pad13)") + (net 7 "N64_AD15") + (net 8 "N64_AD14") + (net 9 "N64_AD13") + (net 10 "N64_AD12") + (net 11 "N64_AD11") + (net 12 "N64_AD10") + (net 13 "N64_AD9") + (net 14 "N64_AD8") + (net 15 "N64_CIC_DATA") + (net 16 "N64_PIF_CLK") + (net 17 "N64_JOYBUS") + (net 18 "N64_AD0") + (net 19 "N64_AD1") + (net 20 "N64_AD2") + (net 21 "N64_AD3") + (net 22 "N64_ALEL") + (net 23 "N64_ALEH") + (net 24 "N64_AD4") + (net 25 "N64_AD5") + (net 26 "N64_AD6") + (net 27 "N64_AD7") + (net 28 "N64_CIC_CLK") + (net 29 "N64_VIDEO_SYNC") + (net 30 "SD_DAT2") + (net 31 "SD_DAT3") + (net 32 "SD_CMD") + (net 33 "SD_CLK") + (net 34 "SD_DAT0") + (net 35 "SD_DAT1") + (net 36 "SD_DET") + (net 37 "SWDIO") + (net 38 "SWCLK") + (net 39 "UART_TX") + (net 40 "UART_RX") + (net 41 "JTAG_TDO") + (net 42 "JTAG_TDI") + (net 43 "JTAG_TCK") + (net 44 "JTAG_TMS") + (net 45 "Net-(BT1-Pad1)") + (net 46 "GND") + (net 47 "I2C_SDA") + (net 48 "I2C_SCL") + (net 49 "RTC_MFP") + (net 50 "+3V3") + (net 51 "N64_3V3") + (net 52 "+5V") + (net 53 "FLASH_CS") + (net 54 "FLASH_IO1") + (net 55 "FLASH_IO2") + (net 56 "FLASH_IO0") + (net 57 "FLASH_CLK") + (net 58 "FLASH_IO3") + (net 59 "FTDI_D0") + (net 60 "FTDI_D1") + (net 61 "FTDI_D2") + (net 62 "FTDI_D3") + (net 63 "FTDI_D4") + (net 64 "FTDI_D5") + (net 65 "FTDI_D6") + (net 66 "FTDI_D7") + (net 67 "FTDI_C0") + (net 68 "FTDI_C1") + (net 69 "FTDI_C2") + (net 70 "~{N64_WRITE}") + (net 71 "~{N64_READ}") + (net 72 "~{N64_RESET}") + (net 73 "~{N64_INT}") + (net 74 "~{N64_NMI}") + (net 75 "SDRAM_DQ0") + (net 76 "SDRAM_DQ1") + (net 77 "SDRAM_DQ2") + (net 78 "SDRAM_DQ3") + (net 79 "SDRAM_DQ4") + (net 80 "SDRAM_DQ5") + (net 81 "SDRAM_DQ6") + (net 82 "SDRAM_DQ7") + (net 83 "SDRAM_DQ8") + (net 84 "SDRAM_DQ9") + (net 85 "SDRAM_DQ10") + (net 86 "SDRAM_DQ11") + (net 87 "SDRAM_DQ12") + (net 88 "SDRAM_DQ13") + (net 89 "SDRAM_DQ14") + (net 90 "SDRAM_DQ15") + (net 91 "FPGA_CLK") + (net 92 "MCU_CS") + (net 93 "MCU_MOSI") + (net 94 "MCU_MISO") + (net 95 "MCU_INT") + (net 96 "SDRAM_DQML") + (net 97 "~{SDRAM_WE}") + (net 98 "~{SDRAM_CAS}") + (net 99 "~{SDRAM_RAS}") + (net 100 "~{SDRAM_CS}") + (net 101 "SDRAM_BA0") + (net 102 "SDRAM_BA1") + (net 103 "SDRAM_A10") + (net 104 "SDRAM_A0") + (net 105 "SDRAM_A1") + (net 106 "SDRAM_A2") + (net 107 "SDRAM_A3") + (net 108 "SDRAM_A4") + (net 109 "SDRAM_A5") + (net 110 "SDRAM_A6") + (net 111 "SDRAM_A7") + (net 112 "SDRAM_A8") + (net 113 "SDRAM_A9") + (net 114 "SDRAM_A11") + (net 115 "SDRAM_A12") + (net 116 "SDRAM_CLK") + (net 117 "SDRAM_DQMH") + (net 118 "FTDI_C3") + (net 119 "FTDI_C4") + (net 120 "FTDI_C5") + (net 121 "FTDI_C6") + (net 122 "LED") + (net 123 "TEST1") + (net 124 "TEST2") + (net 125 "FTDI_C7") + (net 126 "USB_D+") + (net 127 "USB_D-") + (net 128 "Net-(D1-Pad2)") + (net 129 "BUTTON") + (net 130 "unconnected-(SW1-Pad4)") + (net 131 "unconnected-(J_N1-Pad24)") + (net 132 "unconnected-(J_N1-Pad49)") + (net 133 "TEST3") + (net 134 "MCU_SCLK") + (net 135 "unconnected-(U2-Pad1)") + (net 136 "Net-(C3-Pad1)") + (net 137 "Net-(J1-PadA5)") + (net 138 "unconnected-(J1-PadA8)") + (net 139 "Net-(J1-PadB5)") + (net 140 "unconnected-(J1-PadB8)") + (net 141 "Net-(R1-Pad2)") + (net 142 "Net-(R3-Pad1)") + (net 143 "Net-(R10-Pad1)") + (net 144 "USB_EEDATA") + (net 145 "USB_CLK") + (net 146 "unconnected-(U3-Pad2)") + (net 147 "unconnected-(U3-Pad32)") + (net 148 "unconnected-(U3-Pad33)") + (net 149 "USB_EECLK") + (net 150 "USB_EECS") + (net 151 "unconnected-(U6-Pad3)") + (net 152 "unconnected-(U8-Pad129)") + (net 153 "unconnected-(U9-Pad40)") + (net 154 "Net-(C7-Pad2)") + (net 155 "Net-(C8-Pad1)") + (net 156 "Net-(C14-Pad2)") + (net 157 "Net-(R13-Pad1)") + (net 158 "Net-(C21-Pad1)") + (net 159 "Net-(C22-Pad2)") + (net 160 "Net-(C13-Pad2)") + (net 161 "Net-(R6-Pad2)") + (net 162 "Net-(R7-Pad2)") + + (footprint "Resistor_SMD:R_0603_1608Metric" (layer "F.Cu") + (tedit 5F68FEEE) (tstamp 0f7c3f4f-27a9-4c81-8f37-7dcf0241c04c) + (at 107.825 117 180) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (property "Sheetfile" "sc64v2.kicad_sch") + (property "Sheetname" "") + (path "/8225742a-f816-4b22-8618-1e188dae72ec") + (attr smd) + (fp_text reference "R1" (at -2.675 0) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp b0554a85-99be-4a6f-880d-e1cbcf17beaf) + ) + (fp_text value "10k" (at 0 1.43) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 7661c2cb-ebab-477a-b6fc-c68a9c995993) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp f033f08e-a070-43dd-87f5-d75ca8d58c43) + ) + (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) (layer "F.SilkS") (width 0.12) (tstamp 20821350-bc01-4b93-9f5c-f4d7bb2d30c9)) + (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) (layer "F.SilkS") (width 0.12) (tstamp a9d7ac0f-81f3-4d62-bc76-a149dfe15225)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 1613c98a-3b2a-437d-b44a-5e83c6399e33)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 38ac0e9f-7a85-494f-a54f-13564a0dc2be)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 7732f142-ec53-4070-b0a5-963df9255a81)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp a0ff102f-fd96-4765-8058-29ab2bd67cb1)) + (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp 0cad109b-7dc4-4996-9c5d-ca91e965de32)) + (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp 2732e926-f7c3-48de-8851-17a1d24ff6fd)) + (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp 2efafc52-b5dc-4117-9d45-058209700122)) + (fp_line (start 0.8 0.4125) (end -0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp 5cab3ca7-2559-436c-97c7-0899b7018f86)) + (pad "1" smd roundrect (at -0.825 0 180) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 136 "Net-(C3-Pad1)") (pintype "passive") (tstamp b259ee29-ba29-4f09-99c5-82c9cbe3ee80)) + (pad "2" smd roundrect (at 0.825 0 180) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 141 "Net-(R1-Pad2)") (pintype "passive") (tstamp 07485bf4-b6a8-4498-b0e2-a778cfab7c94)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Package_QFP:LQFP-48_7x7mm_P0.5mm" (layer "F.Cu") + (tedit 5D9F72AF) (tstamp 1865821f-f182-4fc3-a9b1-276cdef4665c) + (at 132.5 104 90) + (descr "LQFP, 48 Pin (https://www.analog.com/media/en/technical-documentation/data-sheets/ltc2358-16.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py") + (tags "LQFP QFP") + (property "Sheetfile" "sc64v2.kicad_sch") + (property "Sheetname" "") + (path "/6e901f8d-de31-4712-9607-3a9979e49550") + (attr smd) + (fp_text reference "U3" (at 0 -6.5 180) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 7f9c41b1-49a3-4434-be3f-42221a164867) + ) + (fp_text value "FT232HL" (at 0 1 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 84684dd0-00ad-472d-a60f-437f4b81abb9) + ) + (fp_text user "${REFERENCE}" (at 0 -6 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp f885d360-6fd5-427f-a6f6-393304067f88) + ) + (fp_line (start 3.61 3.61) (end 3.61 3.16) (layer "F.SilkS") (width 0.12) (tstamp 2215fcc9-7ccb-46f1-8531-62382023d38d)) + (fp_line (start 3.16 -3.61) (end 3.61 -3.61) (layer "F.SilkS") (width 0.12) (tstamp 27d5e3f6-cb1e-4a50-94d1-bf225fa088ce)) + (fp_line (start 3.61 -3.61) (end 3.61 -3.16) (layer "F.SilkS") (width 0.12) (tstamp 2c720334-0ed4-4a92-a8d9-45635bfac9e1)) + (fp_line (start -3.61 3.61) (end -3.61 3.16) (layer "F.SilkS") (width 0.12) (tstamp 33c94337-42cc-416a-b1f6-4f4608063e9f)) + (fp_line (start -3.61 -3.61) (end -3.61 -3.16) (layer "F.SilkS") (width 0.12) (tstamp 51a5f053-03cf-4358-aef5-c1272c9e965b)) + (fp_line (start -3.16 3.61) (end -3.61 3.61) (layer "F.SilkS") (width 0.12) (tstamp 84986e21-6f1e-4934-92f1-0f8ebb1b3237)) + (fp_line (start 3.16 3.61) (end 3.61 3.61) (layer "F.SilkS") (width 0.12) (tstamp a1a7e157-3038-431f-9ea4-8cf4c715b338)) + (fp_line (start -3.16 -3.61) (end -3.61 -3.61) (layer "F.SilkS") (width 0.12) (tstamp b41a4a18-8c88-4389-bae2-cf1e72440f66)) + (fp_line (start -3.61 -3.16) (end -4.9 -3.16) (layer "F.SilkS") (width 0.12) (tstamp d95cc77c-d13b-426f-add6-0aa5a80a2e08)) + (fp_line (start -5.15 3.15) (end -5.15 0) (layer "F.CrtYd") (width 0.05) (tstamp 0f11d161-6009-49d5-9f67-fa144152dd24)) + (fp_line (start 5.15 3.15) (end 5.15 0) (layer "F.CrtYd") (width 0.05) (tstamp 1c8ae99f-12d0-42b7-bbb6-61107f9703fd)) + (fp_line (start -3.15 -5.15) (end -3.15 -3.75) (layer "F.CrtYd") (width 0.05) (tstamp 392bdc17-7e37-4f50-a6fe-7cc35d6a349c)) + (fp_line (start -3.75 3.75) (end -3.75 3.15) (layer "F.CrtYd") (width 0.05) (tstamp 481d9097-a4bb-411c-ae8e-25fcf442a126)) + (fp_line (start 3.15 -3.75) (end 3.75 -3.75) (layer "F.CrtYd") (width 0.05) (tstamp 4bb7a4e0-0b1f-451f-93d1-f40cc293a941)) + (fp_line (start 0 5.15) (end -3.15 5.15) (layer "F.CrtYd") (width 0.05) (tstamp 51f08fb5-060f-4398-a561-7a9f8085fbc8)) + (fp_line (start 3.15 3.75) (end 3.75 3.75) (layer "F.CrtYd") (width 0.05) (tstamp 5269b85b-e09c-4027-bdef-fceda3f8d929)) + (fp_line (start 0 -5.15) (end 3.15 -5.15) (layer "F.CrtYd") (width 0.05) (tstamp 6cbfc47f-2094-4835-9285-75a4bb848eb0)) + (fp_line (start 3.15 -5.15) (end 3.15 -3.75) (layer "F.CrtYd") (width 0.05) (tstamp 741d1394-f86f-43d3-b8e0-cd81d3bab3b2)) + (fp_line (start -3.75 -3.15) (end -5.15 -3.15) (layer "F.CrtYd") (width 0.05) (tstamp 8bdb2016-1792-4061-b4dc-8b0080c61242)) + (fp_line (start -3.15 -3.75) (end -3.75 -3.75) (layer "F.CrtYd") (width 0.05) (tstamp 94a20dbb-af9f-4900-9c0e-24c7714e7763)) + (fp_line (start 0 -5.15) (end -3.15 -5.15) (layer "F.CrtYd") (width 0.05) (tstamp 9b1577ad-4d15-4a4b-83d6-2dac1a2ca4eb)) + (fp_line (start 0 5.15) (end 3.15 5.15) (layer "F.CrtYd") (width 0.05) (tstamp a601d059-4b5b-43ac-a216-266e8852e0d6)) + (fp_line (start -3.75 -3.75) (end -3.75 -3.15) (layer "F.CrtYd") (width 0.05) (tstamp b4e0ef31-4e80-4866-bed3-36026dae8b9f)) + (fp_line (start 3.75 3.15) (end 5.15 3.15) (layer "F.CrtYd") (width 0.05) (tstamp b691234e-2580-4dfe-abc2-d7cb9475f9f7)) + (fp_line (start -3.75 3.15) (end -5.15 3.15) (layer "F.CrtYd") (width 0.05) (tstamp c35f4759-c2f5-43c6-ae28-23df694a80a3)) + (fp_line (start -3.15 5.15) (end -3.15 3.75) (layer "F.CrtYd") (width 0.05) (tstamp ca46fdfd-86e4-45f5-a6f4-8e18b6a2b9a5)) + (fp_line (start 3.75 -3.75) (end 3.75 -3.15) (layer "F.CrtYd") (width 0.05) (tstamp db255cd4-6a45-4496-83db-d75c3914fcb7)) + (fp_line (start 5.15 -3.15) (end 5.15 0) (layer "F.CrtYd") (width 0.05) (tstamp dd79bc1c-7ef5-46de-ade8-e12028c29aea)) + (fp_line (start -5.15 -3.15) (end -5.15 0) (layer "F.CrtYd") (width 0.05) (tstamp ded2c3a4-8a82-4d35-8049-13eaee7b9837)) + (fp_line (start 3.75 3.75) (end 3.75 3.15) (layer "F.CrtYd") (width 0.05) (tstamp eca171f7-8100-4b90-8bba-6ffc4d0744fc)) + (fp_line (start 3.15 5.15) (end 3.15 3.75) (layer "F.CrtYd") (width 0.05) (tstamp f033a152-e99b-4823-9343-fe6361e3a038)) + (fp_line (start -3.15 3.75) (end -3.75 3.75) (layer "F.CrtYd") (width 0.05) (tstamp f38e95ce-6354-45e6-b792-e0e7568eb4d5)) + (fp_line (start 3.75 -3.15) (end 5.15 -3.15) (layer "F.CrtYd") (width 0.05) (tstamp fe32c1eb-ebd7-429d-84a2-d0d6db01526e)) + (fp_line (start -2.5 -3.5) (end 3.5 -3.5) (layer "F.Fab") (width 0.1) (tstamp 11dcc065-452e-4f21-b09e-b805f6e2065b)) + (fp_line (start 3.5 -3.5) (end 3.5 3.5) (layer "F.Fab") (width 0.1) (tstamp 1c099c36-1e0f-410c-a0db-8ab50669a795)) + (fp_line (start -3.5 -2.5) (end -2.5 -3.5) (layer "F.Fab") (width 0.1) (tstamp 6fe86e23-c0b2-4b38-9422-5f46efa42752)) + (fp_line (start -3.5 3.5) (end -3.5 -2.5) (layer "F.Fab") (width 0.1) (tstamp c88c987d-56e5-4b0d-bd06-dcaaeec1a778)) + (fp_line (start 3.5 3.5) (end -3.5 3.5) (layer "F.Fab") (width 0.1) (tstamp fea70ae0-da1c-4f3c-b4fe-cf20c4cb88ac)) + (pad "1" smd roundrect locked (at -4.1625 -2.75 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 145 "USB_CLK") (pinfunction "XCSI") (pintype "input") (tstamp 86572abb-c8fc-40d4-8352-92036aa8f932)) + (pad "2" smd roundrect locked (at -4.1625 -2.25 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 146 "unconnected-(U3-Pad2)") (pinfunction "XCSO") (pintype "output+no_connect") (tstamp 3909838d-168c-4b62-949d-f4f2b250a845)) + (pad "3" smd roundrect locked (at -4.1625 -1.75 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 154 "Net-(C7-Pad2)") (pinfunction "VPHY") (pintype "power_in") (tstamp c469de13-ecc6-4461-85f4-8efaad94a053)) + (pad "4" smd roundrect locked (at -4.1625 -1.25 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 46 "GND") (pinfunction "AGND") (pintype "power_in") (tstamp 1f4c1922-4f33-42c6-bfe1-fcecd03b59f0)) + (pad "5" smd roundrect locked (at -4.1625 -0.75 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 161 "Net-(R6-Pad2)") (pinfunction "REF") (pintype "input") (tstamp add3421a-0adf-4642-82cb-d5936875ac2e)) + (pad "6" smd roundrect locked (at -4.1625 -0.25 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 127 "USB_D-") (pinfunction "DM") (pintype "bidirectional") (tstamp c164a018-2d92-4c94-b25e-8ae7395c62b1)) + (pad "7" smd roundrect locked (at -4.1625 0.25 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 126 "USB_D+") (pinfunction "DP") (pintype "bidirectional") (tstamp 2dcd8add-6b53-4e9e-a562-3a717c9bea6b)) + (pad "8" smd roundrect locked (at -4.1625 0.75 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 155 "Net-(C8-Pad1)") (pinfunction "VPLL") (pintype "power_in") (tstamp 6b92a0e2-cb11-4f76-b39e-aa0248d472c9)) + (pad "9" smd roundrect locked (at -4.1625 1.25 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 46 "GND") (pinfunction "AGND") (pintype "power_in") (tstamp 71d6eafb-c399-4e6f-b86c-94b287bc86b8)) + (pad "10" smd roundrect locked (at -4.1625 1.75 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 46 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 0693bd9c-9eb0-4a56-b652-5cb0a3e37935)) + (pad "11" smd roundrect locked (at -4.1625 2.25 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 46 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 4eb844ee-367d-47a8-a3a5-4b9fff98f7ce)) + (pad "12" smd roundrect locked (at -4.1625 2.75 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 50 "+3V3") (pinfunction "VCCIO") (pintype "power_in") (tstamp e882b963-8ee6-43b0-becf-f98738e561f9)) + (pad "13" smd roundrect locked (at -2.75 4.1625 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 59 "FTDI_D0") (pinfunction "ADBUS0") (pintype "bidirectional") (tstamp c5938cc0-4786-4906-b720-9b74fcb079c8)) + (pad "14" smd roundrect locked (at -2.25 4.1625 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 60 "FTDI_D1") (pinfunction "ADBUS1") (pintype "bidirectional") (tstamp 3a5d0e7f-af94-4799-b532-1070a4ccb1d0)) + (pad "15" smd roundrect locked (at -1.75 4.1625 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 61 "FTDI_D2") (pinfunction "ADBUS2") (pintype "bidirectional") (tstamp 795aa95c-d684-428b-b0ff-6bb32ee39be4)) + (pad "16" smd roundrect locked (at -1.25 4.1625 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 62 "FTDI_D3") (pinfunction "ADBUS3") (pintype "bidirectional") (tstamp 1b157d32-a9b0-4d9e-ac4b-6ce6da722bd1)) + (pad "17" smd roundrect locked (at -0.75 4.1625 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 63 "FTDI_D4") (pinfunction "ADBUS4") (pintype "bidirectional") (tstamp d386a4ed-6f5f-41e0-bd59-e257d591d691)) + (pad "18" smd roundrect locked (at -0.25 4.1625 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 64 "FTDI_D5") (pinfunction "ADBUS5") (pintype "bidirectional") (tstamp ab12feaf-8542-480a-a170-96d7881ec345)) + (pad "19" smd roundrect locked (at 0.25 4.1625 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 65 "FTDI_D6") (pinfunction "ADBUS6") (pintype "bidirectional") (tstamp e5cd6a81-7b88-40fa-819c-47f20b424490)) + (pad "20" smd roundrect locked (at 0.75 4.1625 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 66 "FTDI_D7") (pinfunction "ADBUS7") (pintype "bidirectional") (tstamp 1ff48404-1c1d-4211-a3d7-f4ee408ef2b2)) + (pad "21" smd roundrect locked (at 1.25 4.1625 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 67 "FTDI_C0") (pinfunction "ACBUS0") (pintype "bidirectional") (tstamp fba264a6-eb0f-4971-b16a-871807b01300)) + (pad "22" smd roundrect locked (at 1.75 4.1625 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 46 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 1ba02c2a-f405-40c1-8e6b-2a70b3810414)) + (pad "23" smd roundrect locked (at 2.25 4.1625 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 46 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 66d1ccbe-29fa-4862-8647-35d6fe17eb99)) + (pad "24" smd roundrect locked (at 2.75 4.1625 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 50 "+3V3") (pinfunction "VCCIO") (pintype "power_in") (tstamp 2fd646b2-a3a2-4abf-a3d6-a07101a1745c)) + (pad "25" smd roundrect locked (at 4.1625 2.75 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 68 "FTDI_C1") (pinfunction "ACBUS1") (pintype "bidirectional") (tstamp a31b2c35-c9d4-43af-a0f1-7b5872154b0b)) + (pad "26" smd roundrect locked (at 4.1625 2.25 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 69 "FTDI_C2") (pinfunction "ACBUS2") (pintype "bidirectional") (tstamp 4186deb5-f42e-46c4-929b-63ac480182c7)) + (pad "27" smd roundrect locked (at 4.1625 1.75 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 118 "FTDI_C3") (pinfunction "ACBUS3") (pintype "bidirectional") (tstamp f06d7b32-b50b-4636-b600-c2c819d50f54)) + (pad "28" smd roundrect locked (at 4.1625 1.25 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 119 "FTDI_C4") (pinfunction "ACBUS4") (pintype "bidirectional") (tstamp 056af92e-903b-4ba5-a722-83284b92b06f)) + (pad "29" smd roundrect locked (at 4.1625 0.75 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 120 "FTDI_C5") (pinfunction "ACBUS5") (pintype "bidirectional") (tstamp 4a4c42d8-fd99-480c-858d-1ee0e1ef717d)) + (pad "30" smd roundrect locked (at 4.1625 0.25 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 121 "FTDI_C6") (pinfunction "ACBUS6") (pintype "bidirectional") (tstamp 93951b16-e73e-4037-97b5-0ed1dbfefa7b)) + (pad "31" smd roundrect locked (at 4.1625 -0.25 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 125 "FTDI_C7") (pinfunction "ACBUS7") (pintype "bidirectional") (tstamp 3f5fe1b9-c63a-4911-998b-e94c73303f90)) + (pad "32" smd roundrect locked (at 4.1625 -0.75 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 147 "unconnected-(U3-Pad32)") (pinfunction "ACBUS8") (pintype "bidirectional+no_connect") (tstamp d119f8e4-171c-45f9-91a4-704b123ed594)) + (pad "33" smd roundrect locked (at 4.1625 -1.25 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 148 "unconnected-(U3-Pad33)") (pinfunction "ACBUS9") (pintype "bidirectional+no_connect") (tstamp deb345cf-f571-437d-983d-113d94ecd449)) + (pad "34" smd roundrect locked (at 4.1625 -1.75 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 162 "Net-(R7-Pad2)") (pinfunction "~{RESET}") (pintype "input") (tstamp 9af5f886-e684-4cab-a943-e087e184afeb)) + (pad "35" smd roundrect locked (at 4.1625 -2.25 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 46 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 18e3a9bb-3bab-4ad3-b7b0-ccfce51d9ccc)) + (pad "36" smd roundrect locked (at 4.1625 -2.75 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 46 "GND") (pinfunction "GND") (pintype "power_in") (tstamp d166d4f0-ed8e-40d9-a340-5aa76cd8c2b9)) + (pad "37" smd roundrect locked (at 2.75 -4.1625 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 160 "Net-(C13-Pad2)") (pinfunction "VCCA") (pintype "power_out") (tstamp a4573555-64eb-4dc2-9e15-fe01c1156d04)) + (pad "38" smd roundrect locked (at 2.25 -4.1625 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 156 "Net-(C14-Pad2)") (pinfunction "VCCCORE") (pintype "power_out") (tstamp f360214b-4b1f-4ed5-bd00-b7707417905d)) + (pad "39" smd roundrect locked (at 1.75 -4.1625 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 50 "+3V3") (pinfunction "VCCD") (pintype "power_in") (tstamp a4175a31-0ce2-4237-9fa9-fce6ddd25436)) + (pad "40" smd roundrect locked (at 1.25 -4.1625 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 50 "+3V3") (pinfunction "VREGIN") (pintype "power_in") (tstamp 6899991d-6621-4a3c-8c89-04f8fa2b0153)) + (pad "41" smd roundrect locked (at 0.75 -4.1625 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 46 "GND") (pinfunction "AGND") (pintype "power_in") (tstamp 034f4333-c29b-4b1b-9a5f-6d73c4f36655)) + (pad "42" smd roundrect locked (at 0.25 -4.1625 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 46 "GND") (pinfunction "TEST") (pintype "input") (tstamp b8e3c596-1728-4f91-afdb-f41a71a2a3de)) + (pad "43" smd roundrect locked (at -0.25 -4.1625 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 144 "USB_EEDATA") (pinfunction "EEDATA") (pintype "bidirectional") (tstamp e4aa4052-11da-42b4-9efa-217b65d3efc1)) + (pad "44" smd roundrect locked (at -0.75 -4.1625 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 149 "USB_EECLK") (pinfunction "EECLK") (pintype "input") (tstamp 4d8c848a-27ba-4b8a-b4ad-cbbedde21686)) + (pad "45" smd roundrect locked (at -1.25 -4.1625 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 150 "USB_EECS") (pinfunction "EECS") (pintype "input") (tstamp a496e463-3b7c-4890-a440-3587b8f041df)) + (pad "46" smd roundrect locked (at -1.75 -4.1625 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 50 "+3V3") (pinfunction "VCCIO") (pintype "power_in") (tstamp 51eaaa12-6157-4775-b10a-bbdbdc3bcac7)) + (pad "47" smd roundrect locked (at -2.25 -4.1625 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 46 "GND") (pinfunction "GND") (pintype "power_in") (tstamp b446a25a-df1e-45ba-8ed7-a10bef1a8e33)) + (pad "48" smd roundrect locked (at -2.75 -4.1625 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 46 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 8ffcac87-ecc0-41e0-a1cd-1733a63f6cc6)) + (model "${KICAD6_3DMODEL_DIR}/Package_QFP.3dshapes/LQFP-48_7x7mm_P0.5mm.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "F.Cu") + (tedit 5F68FEEE) (tstamp 18db2538-d0d9-4bf6-93fb-a94a46eeab69) + (at 118.75 110.75 90) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Sheetfile" "sc64v2.kicad_sch") + (property "Sheetname" "") + (path "/13d2b351-5091-4e28-9a5e-2a00667fbc07") + (attr smd) + (fp_text reference "C5" (at -2.75 0 90) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 18aee322-41b0-4e56-93f7-19defbb439a7) + ) + (fp_text value "100nF" (at 0 1.43 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 8bada17d-edd7-44da-8eab-ceb6b1683bc9) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 0466b662-e46a-4a4f-afa2-5ff8a396b87e) + ) + (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "F.SilkS") (width 0.12) (tstamp 6051de95-a95d-481f-bb37-5e10390bfab1)) + (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "F.SilkS") (width 0.12) (tstamp da53a4cd-a863-4173-ad59-a2b0983b7be9)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 31d82e15-3e5d-46d5-bf1f-655bedacd410)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 7c6e15e2-c355-4ad4-b460-b5197d0e0da7)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp ef499872-3e28-4db1-b9f8-9dea8e8d6c7e)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp fd1e4907-24d2-4a4f-b477-a90ddd5169f1)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 6b0934b2-e670-44d0-bfcf-768eeb68b607)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp b6c590f1-12da-43ad-9689-79328b6bf410)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp c1e29368-11ba-4aa7-b9ed-4163f67d226b)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp c848963a-b240-43bd-b5b6-5f8619f37ffd)) + (pad "1" smd roundrect (at -0.775 0 90) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 50 "+3V3") (pintype "passive") (tstamp 6614fe2b-1a8c-4b25-b51a-8990ebb8eb2d)) + (pad "2" smd roundrect (at 0.775 0 90) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 46 "GND") (pintype "passive") (tstamp bb951acb-2913-4d0e-9ca4-8f3da5b340e7)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Button_Switch_SMD:SW_SPST_Omron_B3FS-101xP" (layer "F.Cu") + (tedit 5E6E8E39) (tstamp 1e69b87c-f173-49da-bff1-546087adb070) + (at 117.5 84.75 90) + (descr "Surface Mount Tactile Switch for High-Density Mounting, 4.3mm height, https://omronfs.omron.com/en_US/ecb/products/pdf/en-b3fs.pdf") + (tags "Tactile Switch") + (property "Sheetfile" "sc64v2.kicad_sch") + (property "Sheetname" "") + (path "/95782e76-cb4b-4009-9945-08a041720df8") + (attr smd) + (fp_text reference "SW1" (at -6.25 0 180) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp bb7b3b76-c0b3-49b8-94ac-350367796da6) + ) + (fp_text value "TS07-667-37-BK-160-SMT" (at 0 4.2 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 5f75e050-d0d6-44c2-808d-45cf2b8a878d) + ) + (fp_text user "${REFERENCE}" (at 0 -2.2 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 37f41bec-542d-4b9c-8e33-703fe84e266f) + ) + (fp_line (start -3.1 -1.3) (end -3.1 1.3) (layer "F.SilkS") (width 0.12) (tstamp 2a0f7a33-eb64-4ca9-8952-0926364051ae)) + (fp_line (start -5.1 -3.3) (end -4.1 -3.3) (layer "F.SilkS") (width 0.12) (tstamp 39b67556-2971-41ae-92ed-4d853f221879)) + (fp_line (start 3 3.25) (end -3 3.25) (layer "F.SilkS") (width 0.12) (tstamp 8e314ac8-a1f1-4a93-af7c-373d7c9ffcbf)) + (fp_line (start -5.1 -2.3) (end -5.1 -3.3) (layer "F.SilkS") (width 0.12) (tstamp a86a2c6d-07e6-4d0a-8ac1-0d90fad9bc37)) + (fp_line (start 3.1 -1.3) (end 3.1 1.3) (layer "F.SilkS") (width 0.12) (tstamp ad861382-5472-483a-bfe4-53af9168c0cd)) + (fp_line (start 2.9 -3.25) (end -2.9 -3.25) (layer "F.SilkS") (width 0.12) (tstamp caaf81c3-7c7c-434d-9038-23bc3b53fa11)) + (fp_line (start 5.05 1.3) (end 5.05 3.4) (layer "F.CrtYd") (width 0.05) (tstamp 1489530b-e8b0-4fa3-bcee-61c7e4e4d6b3)) + (fp_line (start 3.25 -1.3) (end 3.25 1.3) (layer "F.CrtYd") (width 0.05) (tstamp 50a7ca56-802b-4482-abb6-4388027376c0)) + (fp_line (start -3.25 1.3) (end -3.25 -1.3) (layer "F.CrtYd") (width 0.05) (tstamp 6d0b871e-3571-4e19-a8d0-09d4b2318256)) + (fp_line (start 3.25 1.3) (end 5.05 1.3) (layer "F.CrtYd") (width 0.05) (tstamp 8be5beb2-aa80-4a7e-9ea7-a47196b0d7b2)) + (fp_line (start -5.05 1.3) (end -3.25 1.3) (layer "F.CrtYd") (width 0.05) (tstamp a15bf32a-8c95-4ec5-a1d3-4facbe8732b4)) + (fp_line (start -3.25 -1.3) (end -5.05 -1.3) (layer "F.CrtYd") (width 0.05) (tstamp a7f368fb-3d55-479f-9cb2-9b1407be0358)) + (fp_line (start -5.05 -1.3) (end -5.05 -3.4) (layer "F.CrtYd") (width 0.05) (tstamp b853bd88-4f43-4694-9397-1b9b1bb770b6)) + (fp_line (start 5.05 -1.3) (end 3.25 -1.3) (layer "F.CrtYd") (width 0.05) (tstamp c14e7b63-e738-41f1-bc66-ddf7ded906ca)) + (fp_line (start 5.05 -3.4) (end 5.05 -1.3) (layer "F.CrtYd") (width 0.05) (tstamp c8ba772f-a43b-417d-80e0-fca6cdc81332)) + (fp_line (start -5.05 -3.4) (end 5.05 -3.4) (layer "F.CrtYd") (width 0.05) (tstamp cca19167-6318-4e4f-b1d9-83aa84d89abe)) + (fp_line (start -5.05 3.4) (end -5.05 1.3) (layer "F.CrtYd") (width 0.05) (tstamp d8d5e802-83e2-4d9a-8201-cf8e2b341030)) + (fp_line (start 5.05 3.4) (end -5.05 3.4) (layer "F.CrtYd") (width 0.05) (tstamp fc7f1aaf-824d-4693-9d7f-7c286d1836e4)) + (fp_line (start -3 3.15) (end -3 -3.15) (layer "F.Fab") (width 0.1) (tstamp a0f1e442-dddb-4b51-8304-c5c58e07e795)) + (fp_line (start 3 -3.15) (end 3 3.15) (layer "F.Fab") (width 0.1) (tstamp ba8952c8-e8c1-46b3-b3ff-7799a05f19fe)) + (fp_line (start -3 -3.15) (end 3 -3.15) (layer "F.Fab") (width 0.1) (tstamp ce08e9ea-9b7d-4a1e-a11c-c93cd408dc25)) + (fp_line (start 3 3.15) (end -3 3.15) (layer "F.Fab") (width 0.1) (tstamp f92e73fc-27a9-4f0d-8930-e878d9537ab1)) + (fp_circle (center 0 0) (end 1.5 0) (layer "F.Fab") (width 0.1) (fill none) (tstamp e4f66aca-0f92-4a6f-aa5e-81106081bf10)) + (pad "1" smd rect (at -4 -2.25 270) (size 1.6 1.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 46 "GND") (pinfunction "1") (pintype "passive") (tstamp 64f41b47-121d-499c-a8e8-6ed07b7bbb89)) + (pad "2" smd rect (at 4 -2.25 270) (size 1.6 1.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 46 "GND") (pinfunction "2") (pintype "passive") (tstamp 055bd271-ae94-43f0-a2ed-fd4a4bda98ae)) + (pad "3" smd rect (at -4 2.25 270) (size 1.6 1.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 129 "BUTTON") (pinfunction "3") (pintype "passive") (tstamp ef46d044-a3e9-44dd-99e9-520341a53402)) + (pad "4" smd rect (at 4 2.25 270) (size 1.6 1.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 130 "unconnected-(SW1-Pad4)") (pinfunction "4") (pintype "passive+no_connect") (tstamp ad6b9773-9efc-4530-9a27-333300bb7322)) + (model "${KICAD6_3DMODEL_DIR}/Button_Switch_SMD.3dshapes/SW_SPST_Omron_B3FS-101xP.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + (model "${KICAD6_3DMODEL_DIR}/Button_Switch_SMD.3dshapes/SW_SPST_Omron_B3FS-105xP.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "TestPoint:TestPoint_Pad_D1.5mm" (layer "F.Cu") + (tedit 5A0F774F) (tstamp 20067d5c-cfee-433d-89f7-d50c65e42020) + (at 138 113) + (descr "SMD pad as test Point, diameter 1.5mm") + (tags "test point SMD pad") + (property "Sheetfile" "sc64v2.kicad_sch") + (property "Sheetname" "") + (property "exclude_from_bom" "") + (path "/e5375fae-8d12-42aa-b7f4-67a68780c27e") + (attr exclude_from_pos_files exclude_from_bom) + (fp_text reference "GND1" (at -3 0) (layer "F.SilkS") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp deaede8b-5dc0-4a58-92d3-ba6807d4770b) + ) + (fp_text value "TestPoint" (at 0 1.75) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 8f794f43-c972-46c9-bef9-7125cef63dd1) + ) + (fp_text user "${REFERENCE}" (at 0 -1.65) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 3797395e-1330-4fb9-9222-15a859937a32) + ) + (fp_circle (center 0 0) (end 0 0.95) (layer "F.SilkS") (width 0.12) (fill none) (tstamp 61a88951-d9cf-4e26-9231-2fa583a8e1a9)) + (fp_circle (center 0 0) (end 1.25 0) (layer "F.CrtYd") (width 0.05) (fill none) (tstamp f3264fb3-f2b1-4507-bd2c-91ea884bdb94)) + (pad "1" smd circle (at 0 0) (size 1.5 1.5) (layers "F.Cu" "F.Mask") + (net 46 "GND") (pinfunction "1") (pintype "passive") (tstamp 6bf4a85f-abdd-4285-ae1b-b514ee273f88)) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric" (layer "F.Cu") + (tedit 5F68FEEE) (tstamp 253e5a77-1fde-4879-af24-f15108f22937) + (at 122.5 110.25 180) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (property "Sheetfile" "sc64v2.kicad_sch") + (property "Sheetname" "") + (path "/9f9dfac6-0c0d-4ee0-924b-e95250d2b98f") + (attr smd) + (fp_text reference "R11" (at -3.25 0) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 8242e158-86a8-4e70-8f1d-21caf5b2fa85) + ) + (fp_text value "10k" (at 0 1.43) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 978198ae-b66d-4893-8bf5-3905366cbef1) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 74c374ab-4094-4c7b-a457-86ee7cb5fd20) + ) + (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) (layer "F.SilkS") (width 0.12) (tstamp 030ba434-ad25-42f5-9f7a-16b10ed05daa)) + (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) (layer "F.SilkS") (width 0.12) (tstamp b57ada2a-ba18-45db-97ba-3c309b8a0eef)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 0c5a6646-30c3-4864-8f31-734d2a14bad4)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 6b2f98c0-b9fd-4a99-8384-e136d9488203)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp d442008c-64bd-44aa-a5a2-6676bf9c2f59)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp ff7d19ce-e4d6-46aa-94e4-7e29fadd3dc2)) + (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp 5996d212-7ca2-445f-8a44-9c5c3c1a6ee1)) + (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp 7c80e304-821e-426e-8673-a64dbb55ffce)) + (fp_line (start 0.8 0.4125) (end -0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp ccf84deb-329c-49c5-a608-2d116d0d935b)) + (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp eea35f4e-0b00-457f-8c15-290929c2115b)) + (pad "1" smd roundrect (at -0.825 0 180) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 50 "+3V3") (pintype "passive") (tstamp 74a03672-0ca5-4fd0-910e-67f8baa1c1e6)) + (pad "2" smd roundrect (at 0.825 0 180) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 143 "Net-(R10-Pad1)") (pintype "passive") (tstamp b20a96a6-621a-4a3f-89ef-98b90bc210da)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "F.Cu") + (tedit 5F68FEEE) (tstamp 2bd3bb65-2d17-4b66-9143-8ca18ed4bcc7) + (at 166.75 81.35 180) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Sheetfile" "sc64v2.kicad_sch") + (property "Sheetname" "") + (path "/994dc62b-2a7b-4bff-8f5a-68d36773f4c8") + (attr smd) + (fp_text reference "C21" (at 0 -1.43) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 016482e7-43d8-4111-9a3d-eeb3ce820f8d) + ) + (fp_text value "12pF" (at 0 1.43) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 86cb94d4-d840-4036-860e-6b6d599578f0) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 7439a873-a278-446f-b5f5-ea895b199c47) + ) + (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 750d1465-2d20-4807-985c-60574fae5a04)) + (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "F.SilkS") (width 0.12) (tstamp b114d796-542c-4bd9-9e31-006620918176)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 05791ee2-37c3-46da-96dc-c864cbc46c0f)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 0fd6d412-7a76-4660-b47e-aed038d28b79)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 63711803-52ba-48b8-8630-be519ee190b8)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 68e65e87-f575-43ee-840d-df59474d7df0)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 3b415046-c0f3-46bd-858f-63e0064bd46f)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 6ea7dff4-d3d0-41bf-94e5-fe4806a2d742)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 74f0df38-4c65-4d19-9b73-5f44691c8134)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 85ef3cf0-8001-46d8-baee-83fb26852d60)) + (pad "1" smd roundrect (at -0.775 0 180) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 158 "Net-(C21-Pad1)") (pintype "passive") (tstamp a01a8abb-dc3f-4d2d-9374-9325d3682fcd)) + (pad "2" smd roundrect (at 0.775 0 180) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 46 "GND") (pintype "passive") (tstamp 7af57c8a-007c-4f64-968a-0a941405c964)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "F.Cu") + (tedit 5F68FEEE) (tstamp 2db667be-e20a-48d3-855e-efdd0936348c) + (at 110 97.5) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Sheetfile" "sc64v2.kicad_sch") + (property "Sheetname" "") + (path "/2263c8e5-5551-4045-b466-779e9e5fd361") + (attr smd) + (fp_text reference "C1" (at 2.75 0) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp c699eb93-8284-41eb-bee9-337c5bc456e4) + ) + (fp_text value "4.7uF" (at 0 1.43) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 04531938-60a8-48c7-9b17-ba4a7c15e92e) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp fe17f606-8b4b-41ef-9d38-85c4424ef695) + ) + (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "F.SilkS") (width 0.12) (tstamp 4b446314-0f83-41e6-b8e4-d5c918a0a8e6)) + (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "F.SilkS") (width 0.12) (tstamp ae4de68f-e091-4be8-8e78-6cb942542c2d)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 3a790c34-16d6-433b-b8a9-e9556f0d1a51)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 57e8eb14-ac18-43ef-9cf6-64dd279debf6)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 6ef3dbc9-4c08-46ca-8407-c4b86064a592)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp ea4a4c05-70f4-416f-8c06-4dda3047049f)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 22470747-a8ab-408d-b789-38cbbc44623c)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 43a3f159-4fa3-44aa-8cd2-05283c03483f)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp be1c86a7-a200-44ce-a711-48697830bde6)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp c04e2dac-91f9-480b-9134-9e0f30cff800)) + (pad "1" smd roundrect (at -0.775 0) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 52 "+5V") (pintype "passive") (tstamp 38e2763c-1700-4732-aeac-4051e1ea2867)) + (pad "2" smd roundrect (at 0.775 0) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 46 "GND") (pintype "passive") (tstamp 25675c76-50c3-4988-ad6b-8360a11f9ea1)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Package_SO:TSSOP-8_4.4x3mm_P0.65mm" (layer "F.Cu") + (tedit 5E476F32) (tstamp 2e0c41c0-c32d-4a24-a454-2e6622425e45) + (at 177 84.75) + (descr "TSSOP, 8 Pin (JEDEC MO-153 Var AA https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py") + (tags "TSSOP SO") + (property "Sheetfile" "sc64v2.kicad_sch") + (property "Sheetname" "") + (path "/766e0844-4cc7-4fee-800a-7bdf93675837") + (attr smd) + (fp_text reference "U7" (at 0 -2.45) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp cd3c470b-8b04-46be-869f-294e818f1bf1) + ) + (fp_text value "MCP7940N-x/ST" (at 0 2.45) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 16a5f374-df2f-496c-8d16-ad31cd04db2b) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 0bb59dfc-3427-42c1-8618-937fa4e0c26a) + ) + (fp_line (start 0 -1.61) (end 2.2 -1.61) (layer "F.SilkS") (width 0.12) (tstamp 4e4c6608-10cf-45e6-8dbd-bf57165bb39b)) + (fp_line (start 0 1.61) (end -2.2 1.61) (layer "F.SilkS") (width 0.12) (tstamp 4fc7f522-d347-4d52-9bd7-11d1c16cbc06)) + (fp_line (start 0 -1.61) (end -3.6 -1.61) (layer "F.SilkS") (width 0.12) (tstamp 70469545-8112-4478-a644-abc634bfeef8)) + (fp_line (start 0 1.61) (end 2.2 1.61) (layer "F.SilkS") (width 0.12) (tstamp b67237b3-0f5e-43b6-a8c6-fbb7b90ac94e)) + (fp_line (start 3.85 1.75) (end 3.85 -1.75) (layer "F.CrtYd") (width 0.05) (tstamp 302c7119-7315-4d7c-a395-2ae0c3ec90b9)) + (fp_line (start -3.85 -1.75) (end -3.85 1.75) (layer "F.CrtYd") (width 0.05) (tstamp 615892d4-82ed-4e34-b9b6-1866e1a78a72)) + (fp_line (start 3.85 -1.75) (end -3.85 -1.75) (layer "F.CrtYd") (width 0.05) (tstamp 93e03f85-d4a0-40ba-a365-730b3748670a)) + (fp_line (start -3.85 1.75) (end 3.85 1.75) (layer "F.CrtYd") (width 0.05) (tstamp e30bf9ba-1dcf-42ea-b745-d0d4dbd03388)) + (fp_line (start 2.2 -1.5) (end 2.2 1.5) (layer "F.Fab") (width 0.1) (tstamp 32e960e3-4a74-4909-baa3-c9bef798ade6)) + (fp_line (start -2.2 1.5) (end -2.2 -0.75) (layer "F.Fab") (width 0.1) (tstamp 4c86212a-3ec3-41d9-b47e-fe5b1669cc0a)) + (fp_line (start -2.2 -0.75) (end -1.45 -1.5) (layer "F.Fab") (width 0.1) (tstamp 6e807474-b61a-4e4f-853d-431189625d53)) + (fp_line (start -1.45 -1.5) (end 2.2 -1.5) (layer "F.Fab") (width 0.1) (tstamp 9f241ad0-f38c-45bb-9126-60a89e3f87e3)) + (fp_line (start 2.2 1.5) (end -2.2 1.5) (layer "F.Fab") (width 0.1) (tstamp c229e20d-b82c-446a-a845-9afa0f83c311)) + (pad "1" smd roundrect (at -2.8625 -0.975) (size 1.475 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 158 "Net-(C21-Pad1)") (pinfunction "X1") (pintype "input") (tstamp a6f43467-6ae5-41a2-87f0-454e64140cc7)) + (pad "2" smd roundrect (at -2.8625 -0.325) (size 1.475 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 159 "Net-(C22-Pad2)") (pinfunction "X2") (pintype "output") (tstamp c332b337-d424-4233-82d1-a6f6aa4dffbd)) + (pad "3" smd roundrect (at -2.8625 0.325) (size 1.475 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 45 "Net-(BT1-Pad1)") (pinfunction "VBAT") (pintype "power_in") (tstamp 86cff988-9d17-4363-b784-5ecafbf4eb17)) + (pad "4" smd roundrect (at -2.8625 0.975) (size 1.475 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 46 "GND") (pinfunction "VSS") (pintype "power_in") (tstamp 392e23ea-ae0e-419d-9cb4-65d4d7172efd)) + (pad "5" smd roundrect (at 2.8625 0.975) (size 1.475 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 47 "I2C_SDA") (pinfunction "SDA") (pintype "bidirectional") (tstamp 3d16dd57-651e-4bc2-8ea2-607b85dd2883)) + (pad "6" smd roundrect (at 2.8625 0.325) (size 1.475 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 48 "I2C_SCL") (pinfunction "SCL") (pintype "input") (tstamp ca638f11-d777-4ca6-9001-90bd96853c14)) + (pad "7" smd roundrect (at 2.8625 -0.325) (size 1.475 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 49 "RTC_MFP") (pinfunction "MFP") (pintype "open_collector") (tstamp aa797ce2-b1ce-435e-87e7-c868ec929490)) + (pad "8" smd roundrect (at 2.8625 -0.975) (size 1.475 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 50 "+3V3") (pinfunction "VCC") (pintype "power_in") (tstamp 45532546-4580-480d-adad-b4e40385c195)) + (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/TSSOP-8_4.4x3mm_P0.65mm.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Package_TO_SOT_SMD:SOT-23-6" (layer "F.Cu") + (tedit 5F6F9B37) (tstamp 308ac6bc-ea1f-4bc4-9538-b1bb946a9eff) + (at 122.5 105.75 180) + (descr "SOT, 6 Pin (https://www.jedec.org/sites/default/files/docs/Mo-178c.PDF variant AB), generated with kicad-footprint-generator ipc_gullwing_generator.py") + (tags "SOT TO_SOT_SMD") + (property "Sheetfile" "sc64v2.kicad_sch") + (property "Sheetname" "") + (path "/a669f65a-ba28-488f-8876-920a059fc027") + (attr smd) + (fp_text reference "U4" (at 3.25 0 180) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 1eb40491-425e-4be3-bfdf-372a0cbf2b20) + ) + (fp_text value "93AA56Bx-x/OT" (at -1.5 -5 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 3d7c2466-a9b7-43e3-bb22-db2b9cdd705a) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 0a871477-f782-4db6-a5f2-8a3e981c1610) + ) + (fp_line (start 0 -1.56) (end 0.8 -1.56) (layer "F.SilkS") (width 0.12) (tstamp 07fce900-70c0-4966-963c-a70fd6a91f03)) + (fp_line (start 0 1.56) (end 0.8 1.56) (layer "F.SilkS") (width 0.12) (tstamp 891b05a2-3fd4-4a49-8c13-ff29b909eb91)) + (fp_line (start 0 1.56) (end -0.8 1.56) (layer "F.SilkS") (width 0.12) (tstamp d6e2b454-3c29-4d1f-bd25-5660f85c9538)) + (fp_line (start 0 -1.56) (end -1.8 -1.56) (layer "F.SilkS") (width 0.12) (tstamp f402340f-559f-42ba-be69-4ee8418759e1)) + (fp_line (start -2.05 1.7) (end 2.05 1.7) (layer "F.CrtYd") (width 0.05) (tstamp 150d5ff5-918b-47d5-819d-683341c0e5b0)) + (fp_line (start -2.05 -1.7) (end -2.05 1.7) (layer "F.CrtYd") (width 0.05) (tstamp 48919c43-e40e-45c6-8a5c-fa9199732aa6)) + (fp_line (start 2.05 1.7) (end 2.05 -1.7) (layer "F.CrtYd") (width 0.05) (tstamp b225c01c-746c-413f-9af6-aceacee71d79)) + (fp_line (start 2.05 -1.7) (end -2.05 -1.7) (layer "F.CrtYd") (width 0.05) (tstamp d79b1ee3-aa58-44c2-a411-a126eb8adb8d)) + (fp_line (start -0.8 -1.05) (end -0.4 -1.45) (layer "F.Fab") (width 0.1) (tstamp 23b47c0d-ba40-40f8-893c-6ffff7ac9a24)) + (fp_line (start 0.8 -1.45) (end 0.8 1.45) (layer "F.Fab") (width 0.1) (tstamp 8dccee60-0e43-4d99-8b32-7f3d5d8ce312)) + (fp_line (start -0.8 1.45) (end -0.8 -1.05) (layer "F.Fab") (width 0.1) (tstamp ca532738-28a6-4436-91ba-6bdf83da6c3a)) + (fp_line (start 0.8 1.45) (end -0.8 1.45) (layer "F.Fab") (width 0.1) (tstamp d86a478f-dc0b-44b7-aebb-dac71033f3da)) + (fp_line (start -0.4 -1.45) (end 0.8 -1.45) (layer "F.Fab") (width 0.1) (tstamp eff8a273-9564-4b94-b491-0d12d4e99595)) + (pad "1" smd roundrect locked (at -1.1375 -0.95 180) (size 1.325 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 143 "Net-(R10-Pad1)") (pinfunction "DO") (pintype "tri_state") (tstamp 4d8961af-d807-48cf-9e11-b5d4257e5b23)) + (pad "2" smd roundrect locked (at -1.1375 0 180) (size 1.325 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 46 "GND") (pinfunction "Vss") (pintype "power_in") (tstamp 333b39a2-2fb4-447a-93f3-c18b716af047)) + (pad "3" smd roundrect locked (at -1.1375 0.95 180) (size 1.325 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 144 "USB_EEDATA") (pinfunction "DI") (pintype "input") (tstamp 9c595bb2-ce45-4f4a-9b14-af23b79fac5d)) + (pad "4" smd roundrect locked (at 1.1375 0.95 180) (size 1.325 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 149 "USB_EECLK") (pinfunction "CLK") (pintype "input") (tstamp f408125e-e732-49cb-8447-5c458511e05b)) + (pad "5" smd roundrect locked (at 1.1375 0 180) (size 1.325 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 150 "USB_EECS") (pinfunction "CS") (pintype "input") (tstamp dbabe311-e7c6-411d-a6ba-cb5ea0a275b3)) + (pad "6" smd roundrect locked (at 1.1375 -0.95 180) (size 1.325 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 50 "+3V3") (pinfunction "Vсс") (pintype "power_in") (tstamp 62a665b1-5af9-4aba-828a-91440bb045ba)) + (model "${KICAD6_3DMODEL_DIR}/Package_TO_SOT_SMD.3dshapes/SOT-23-6.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric" (layer "F.Cu") + (tedit 5F68FEEE) (tstamp 32aca65b-d8ca-4e4b-bcf0-0e78956e2776) + (at 122.5 99.5) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (property "Sheetfile" "sc64v2.kicad_sch") + (property "Sheetname" "") + (path "/d1984805-4314-4f1c-9ab1-479802945b94") + (attr smd) + (fp_text reference "R8" (at -2.75 0) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 14a13283-4e54-4226-a07c-af565889337c) + ) + (fp_text value "12k" (at 0 1.43) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 818ee031-0985-4f05-ac35-c39f534af651) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp bf11d016-c083-4e16-bcf1-61482cbcbe53) + ) + (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) (layer "F.SilkS") (width 0.12) (tstamp c6e901fe-0094-4cd4-8b03-1fc2ac1eecec)) + (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) (layer "F.SilkS") (width 0.12) (tstamp faaebcca-2b2a-4c2b-af2f-01cae2d22b93)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 0633649e-22b6-40a8-a50d-6f5737e461c5)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 4b5f3757-f455-4c31-b0b8-7ace2574513a)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 61f64205-b3a6-4061-b24e-1d465e0010f0)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp d2e14294-4456-492a-b7f9-68674db611aa)) + (fp_line (start 0.8 0.4125) (end -0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp 0accb859-cc2b-4e67-9847-70fbc3ee4175)) + (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp 0c704186-4b67-45be-9b17-ba4f82cb305c)) + (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp 824ead4e-5d8e-4301-a049-2dc263251a15)) + (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp d83fa8dc-7081-43a1-982d-b6a9c1fa53e1)) + (pad "1" smd roundrect (at -0.825 0) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 46 "GND") (pintype "passive") (tstamp ab1b50d1-6475-4a97-933d-a922a7fc1312)) + (pad "2" smd roundrect (at 0.825 0) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 125 "FTDI_C7") (pintype "passive") (tstamp cbd8d9d1-61f0-4806-8f38-aef544991495)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Package_SO:TSOP-II-54_22.2x10.16mm_P0.8mm" (layer "F.Cu") + (tedit 5B589EC7) (tstamp 3569a675-b0cb-4be0-a0db-392f9a99c47b) + (at 185.25 108 -90) + (descr "54-lead TSOP typ II package") + (tags "TSOPII TSOP2") + (property "Sheetfile" "sc64v2.kicad_sch") + (property "Sheetname" "") + (path "/1dbbd042-b212-4933-895e-d1a10aa96c60") + (attr smd) + (fp_text reference "U9" (at 0 -12.5 180) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 0943844e-e9db-4bb4-9759-6355fae23bd3) + ) + (fp_text value "IS42S16320F-7TL" (at -1 0) (layer "F.Fab") + (effects (font (size 0.85 0.85) (thickness 0.15))) + (tstamp 4d3d7c21-d72d-4283-81e3-fbcbc13a77e4) + ) + (fp_text user "${REFERENCE}" (at 0 -12.5 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 5f709f6f-12c4-453d-bf75-0f5fffea6197) + ) + (fp_line (start -6.5 -10.9) (end -5.3 -10.9) (layer "F.SilkS") (width 0.12) (tstamp 2db8b3b4-dbae-4a17-a6c4-9453c11df1de)) + (fp_line (start 5.3 -11.3) (end 5.3 -10.9) (layer "F.SilkS") (width 0.12) (tstamp 3f938632-c70f-4d5d-bb12-35f99a973aba)) + (fp_line (start -5.3 -10.9) (end -5.3 -11.3) (layer "F.SilkS") (width 0.12) (tstamp 48dda84e-4a3e-495c-b7e1-5e05cef36ad4)) + (fp_line (start 5.3 10.9) (end 5.3 11.3) (layer "F.SilkS") (width 0.12) (tstamp 4b048f73-b14e-4436-afdc-7f211b0c8c88)) + (fp_line (start -5.3 11.3) (end 5.3 11.3) (layer "F.SilkS") (width 0.12) (tstamp 579acaf3-2159-4633-b2d4-d103be15d222)) + (fp_line (start -5.3 -11.3) (end 5.3 -11.3) (layer "F.SilkS") (width 0.12) (tstamp 6130b543-1809-43f5-ab62-b5597ee90e46)) + (fp_line (start -5.3 10.9) (end -5.3 11.3) (layer "F.SilkS") (width 0.12) (tstamp 77ea1956-d0f3-4551-9d06-ff4933665a9a)) + (fp_line (start 6.76 11.36) (end -6.76 11.36) (layer "F.CrtYd") (width 0.05) (tstamp 2a35fe98-eb7b-470a-b222-876b51f2c1db)) + (fp_line (start -6.76 -11.36) (end -6.76 11.36) (layer "F.CrtYd") (width 0.05) (tstamp 3f3aa41c-f266-4dff-b19a-90816b6b5473)) + (fp_line (start 6.76 -11.36) (end 6.76 11.36) (layer "F.CrtYd") (width 0.05) (tstamp 8b2b2b7c-24ef-4381-bcfb-9feae079ed02)) + (fp_line (start -6.76 -11.36) (end 6.76 -11.36) (layer "F.CrtYd") (width 0.05) (tstamp d992e988-08a3-4962-b4f8-4bbd4336db05)) + (fp_line (start 5.08 11.11) (end -5.08 11.11) (layer "F.Fab") (width 0.1) (tstamp 1c818187-7f0b-4564-a345-e558cdf3377a)) + (fp_line (start -5.08 11.11) (end -5.08 -10.11) (layer "F.Fab") (width 0.1) (tstamp 1f603d8f-2877-4b5a-a5d0-647e862f384d)) + (fp_line (start -4.08 -11.11) (end -5.08 -10.11) (layer "F.Fab") (width 0.1) (tstamp 37f8450c-f32c-4b28-b1bf-6ec9a1fab37b)) + (fp_line (start 5.08 -11.11) (end 5.08 11.11) (layer "F.Fab") (width 0.1) (tstamp 3acc931e-9895-4229-b2ed-5352a1613984)) + (fp_line (start -4.08 -11.11) (end 5.08 -11.11) (layer "F.Fab") (width 0.1) (tstamp 3e88e2f5-4c17-4d6e-908c-c044bd75030d)) + (pad "1" smd rect locked (at -5.75 -10.4 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") + (net 50 "+3V3") (pinfunction "VDD") (pintype "power_in") (tstamp 5f015615-363e-454d-a7f8-70ea69096699)) + (pad "2" smd rect locked (at -5.75 -9.6 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") + (net 75 "SDRAM_DQ0") (pinfunction "DQ0") (pintype "bidirectional") (tstamp b12b3287-6a56-4e01-b8e0-8658ad7ffd1c)) + (pad "3" smd rect locked (at -5.75 -8.8 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") + (net 50 "+3V3") (pinfunction "VDDQ") (pintype "power_in") (tstamp 28bd18a5-9b33-4038-a0af-da36e24824e6)) + (pad "4" smd rect locked (at -5.75 -8 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") + (net 76 "SDRAM_DQ1") (pinfunction "DQ1") (pintype "bidirectional") (tstamp 38ef79d4-9866-46b3-9f04-bfffd82940d3)) + (pad "5" smd rect locked (at -5.75 -7.2 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") + (net 77 "SDRAM_DQ2") (pinfunction "DQ2") (pintype "bidirectional") (tstamp dd40add6-9921-4d50-ae26-70210e041720)) + (pad "6" smd rect locked (at -5.75 -6.4 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") + (net 46 "GND") (pinfunction "VSSQ") (pintype "power_in") (tstamp 852de616-25ab-411e-856a-4d6351337f69)) + (pad "7" smd rect locked (at -5.75 -5.6 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") + (net 78 "SDRAM_DQ3") (pinfunction "DQ3") (pintype "bidirectional") (tstamp cbd3c3ed-aa7c-4f78-b739-bf35baeef29c)) + (pad "8" smd rect locked (at -5.75 -4.8 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") + (net 79 "SDRAM_DQ4") (pinfunction "DQ4") (pintype "bidirectional") (tstamp b2a37688-0956-428a-adb8-5c91c5bd24f0)) + (pad "9" smd rect locked (at -5.75 -4 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") + (net 50 "+3V3") (pinfunction "VDDQ") (pintype "passive") (tstamp 7ee33faf-b3a3-4974-9657-ed78284004c3)) + (pad "10" smd rect locked (at -5.75 -3.2 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") + (net 80 "SDRAM_DQ5") (pinfunction "DQ5") (pintype "bidirectional") (tstamp fcc50d0c-3ff1-4afd-9f35-00e4c654f1bc)) + (pad "11" smd rect locked (at -5.75 -2.4 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") + (net 81 "SDRAM_DQ6") (pinfunction "DQ6") (pintype "bidirectional") (tstamp bdf1eca6-c38c-41d6-80c0-4569e3766526)) + (pad "12" smd rect locked (at -5.75 -1.6 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") + (net 46 "GND") (pinfunction "VSSQ") (pintype "passive") (tstamp ae65bf24-6650-4bbb-95e9-c25e3a273e9a)) + (pad "13" smd rect locked (at -5.75 -0.8 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") + (net 82 "SDRAM_DQ7") (pinfunction "DQ7") (pintype "bidirectional") (tstamp a5c2e956-a68d-429f-948a-1a628aedac57)) + (pad "14" smd rect locked (at -5.75 0 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") + (net 50 "+3V3") (pinfunction "VDD") (pintype "passive") (tstamp 88345bc2-0f1b-491a-ae2c-924fa843196c)) + (pad "15" smd rect locked (at -5.75 0.8 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") + (net 96 "SDRAM_DQML") (pinfunction "DQML") (pintype "input") (tstamp 5824266a-a369-422a-9600-a3eb92279604)) + (pad "16" smd rect locked (at -5.75 1.6 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") + (net 97 "~{SDRAM_WE}") (pinfunction "~{WE}") (pintype "input") (tstamp 3fdf0484-f459-41a3-a05e-c884763dc620)) + (pad "17" smd rect locked (at -5.75 2.4 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") + (net 98 "~{SDRAM_CAS}") (pinfunction "~{CAS}") (pintype "input") (tstamp 78df79b4-e93f-4281-b3a2-344bef6d509b)) + (pad "18" smd rect locked (at -5.75 3.2 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") + (net 99 "~{SDRAM_RAS}") (pinfunction "~{RAS}") (pintype "input") (tstamp 6c005def-503e-4249-9bba-4e7c525d0715)) + (pad "19" smd rect locked (at -5.75 4 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") + (net 100 "~{SDRAM_CS}") (pinfunction "~{CS}") (pintype "input") (tstamp 6fb4a2bb-3f87-4f4d-a22f-69444b9efa95)) + (pad "20" smd rect locked (at -5.75 4.8 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") + (net 101 "SDRAM_BA0") (pinfunction "BA0") (pintype "input") (tstamp e0181fbb-44cb-42ec-a95c-9432a2d28b6b)) + (pad "21" smd rect locked (at -5.75 5.6 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") + (net 102 "SDRAM_BA1") (pinfunction "BA1") (pintype "input") (tstamp db1aca0d-af6c-4048-b5ec-8fabb7176bd9)) + (pad "22" smd rect locked (at -5.75 6.4 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") + (net 103 "SDRAM_A10") (pinfunction "A10") (pintype "input") (tstamp 70b7cf44-f5c8-4973-bf33-fc29c7f5f099)) + (pad "23" smd rect locked (at -5.75 7.2 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") + (net 104 "SDRAM_A0") (pinfunction "A0") (pintype "input") (tstamp b13b5cd9-92ec-43c9-8de0-4a5b1bb62d12)) + (pad "24" smd rect locked (at -5.75 8 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") + (net 105 "SDRAM_A1") (pinfunction "A1") (pintype "input") (tstamp c48ebe35-977f-41f5-885c-19b5c23b981e)) + (pad "25" smd rect locked (at -5.75 8.8 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") + (net 106 "SDRAM_A2") (pinfunction "A2") (pintype "input") (tstamp 5966ccb5-6091-41ee-a777-a309a7c7c4aa)) + (pad "26" smd rect locked (at -5.75 9.6 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") + (net 107 "SDRAM_A3") (pinfunction "A3") (pintype "input") (tstamp 97d25595-1fae-41a3-a3d1-372cfd2cba35)) + (pad "27" smd rect locked (at -5.75 10.4 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") + (net 50 "+3V3") (pinfunction "VDD") (pintype "passive") (tstamp 997d6987-ff56-424c-ad1a-d5da1499917b)) + (pad "28" smd rect locked (at 5.75 10.4 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") + (net 46 "GND") (pinfunction "VSS") (pintype "power_in") (tstamp 0e585cfd-6ec6-4f6b-b84d-42aa0fccca26)) + (pad "29" smd rect locked (at 5.75 9.6 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") + (net 108 "SDRAM_A4") (pinfunction "A4") (pintype "input") (tstamp 3112f0be-6e7e-498a-bb91-eaa0b6c644ee)) + (pad "30" smd rect locked (at 5.75 8.8 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") + (net 109 "SDRAM_A5") (pinfunction "A5") (pintype "input") (tstamp 0b9bc5d7-cd1c-4720-8969-3e474ddf6ad1)) + (pad "31" smd rect locked (at 5.75 8 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") + (net 110 "SDRAM_A6") (pinfunction "A6") (pintype "input") (tstamp b73d56ba-cea6-4c53-9ab2-933f39d3b2fb)) + (pad "32" smd rect locked (at 5.75 7.2 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") + (net 111 "SDRAM_A7") (pinfunction "A7") (pintype "input") (tstamp ad2c5621-3ea4-491f-a412-53ef716ccc21)) + (pad "33" smd rect locked (at 5.75 6.4 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") + (net 112 "SDRAM_A8") (pinfunction "A8") (pintype "input") (tstamp 8d7fb390-3777-4a85-a08a-4a1a530596c5)) + (pad "34" smd rect locked (at 5.75 5.6 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") + (net 113 "SDRAM_A9") (pinfunction "A9") (pintype "input") (tstamp 67706e84-ca67-44c1-a3e8-e49b6f7b0c39)) + (pad "35" smd rect locked (at 5.75 4.8 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") + (net 114 "SDRAM_A11") (pinfunction "A11") (pintype "input") (tstamp 98a1a906-646d-4422-80dd-67ac4ce8faae)) + (pad "36" smd rect locked (at 5.75 4 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") + (net 115 "SDRAM_A12") (pinfunction "A12") (pintype "input") (tstamp 1bd8cbac-3967-4159-8ebd-88505dae720d)) + (pad "37" smd rect locked (at 5.75 3.2 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") + (net 50 "+3V3") (pinfunction "CKE") (pintype "input") (tstamp 9a9f33ed-dd08-4663-bd57-2053666f5701)) + (pad "38" smd rect locked (at 5.75 2.4 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") + (net 116 "SDRAM_CLK") (pinfunction "CLK") (pintype "input") (tstamp f6a5bb01-c22e-4666-87ce-57350a78a41f)) + (pad "39" smd rect locked (at 5.75 1.6 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") + (net 117 "SDRAM_DQMH") (pinfunction "DQMH") (pintype "input") (tstamp 22cd7458-fd06-4a69-bb2c-16d9c2720130)) + (pad "40" smd rect locked (at 5.75 0.8 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") + (net 153 "unconnected-(U9-Pad40)") (pinfunction "NC") (pintype "no_connect") (tstamp 885eda4c-6927-4676-8b39-bf9f49342299)) + (pad "41" smd rect locked (at 5.75 0 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") + (net 46 "GND") (pinfunction "VSS") (pintype "passive") (tstamp f63f2163-682a-42ca-a04b-00168ad5bb67)) + (pad "42" smd rect locked (at 5.75 -0.8 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") + (net 83 "SDRAM_DQ8") (pinfunction "DQ8") (pintype "bidirectional") (tstamp c156b17a-a161-4968-9ba0-2accbc802849)) + (pad "43" smd rect locked (at 5.75 -1.6 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") + (net 50 "+3V3") (pinfunction "VDDQ") (pintype "passive") (tstamp d8afa97c-380b-43f8-ab44-690c6dd1ba1d)) + (pad "44" smd rect locked (at 5.75 -2.4 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") + (net 84 "SDRAM_DQ9") (pinfunction "DQ9") (pintype "bidirectional") (tstamp ebf84d39-2a52-473a-bd21-5a2b656be129)) + (pad "45" smd rect locked (at 5.75 -3.2 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") + (net 85 "SDRAM_DQ10") (pinfunction "DQ10") (pintype "bidirectional") (tstamp e568cd52-0af2-41cd-a7b4-039c4253edf7)) + (pad "46" smd rect locked (at 5.75 -4 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") + (net 46 "GND") (pinfunction "VSSQ") (pintype "passive") (tstamp ddebaeeb-3022-4081-a9a0-f277a2c3f1db)) + (pad "47" smd rect locked (at 5.75 -4.8 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") + (net 86 "SDRAM_DQ11") (pinfunction "DQ11") (pintype "bidirectional") (tstamp 6acac58c-5251-4041-82ce-094ed7c2e520)) + (pad "48" smd rect locked (at 5.75 -5.6 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") + (net 87 "SDRAM_DQ12") (pinfunction "DQ12") (pintype "bidirectional") (tstamp aa7fb882-7cd9-4d66-9922-925e6ca28194)) + (pad "49" smd rect locked (at 5.75 -6.4 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") + (net 50 "+3V3") (pinfunction "VDDQ") (pintype "passive") (tstamp 6dd71dcc-61f6-4b1d-88b4-668417bce2af)) + (pad "50" smd rect locked (at 5.75 -7.2 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") + (net 88 "SDRAM_DQ13") (pinfunction "DQ13") (pintype "bidirectional") (tstamp 3b5599a8-c0df-44a9-9315-a4311cf567e2)) + (pad "51" smd rect locked (at 5.75 -8 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") + (net 89 "SDRAM_DQ14") (pinfunction "DQ14") (pintype "bidirectional") (tstamp cbcd59c0-ac96-448b-b3d5-73ab0319cd0e)) + (pad "52" smd rect locked (at 5.75 -8.8 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") + (net 46 "GND") (pinfunction "VSSQ") (pintype "passive") (tstamp 666f29c3-79ae-4c20-bc17-9624861233cc)) + (pad "53" smd rect locked (at 5.75 -9.6 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") + (net 90 "SDRAM_DQ15") (pinfunction "DQ15") (pintype "bidirectional") (tstamp a5a044e2-4f50-4d3a-b2b2-85c3272736d0)) + (pad "54" smd rect locked (at 5.75 -10.4 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") + (net 46 "GND") (pinfunction "VSS") (pintype "passive") (tstamp ac2b0e6d-7bdc-448e-b106-8b192d48ce75)) + (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/TSOP-II-54_22.2x10.16mm_P0.8mm.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Package_SO:SOIC-8_5.23x5.23mm_P1.27mm" (layer "F.Cu") + (tedit 5D9F72B1) (tstamp 3d87b7d0-0327-4a61-b85c-7794ea82c7dd) + (at 146.5 84.75 -90) + (descr "SOIC, 8 Pin (http://www.winbond.com/resource-files/w25q32jv%20revg%2003272018%20plus.pdf#page=68), generated with kicad-footprint-generator ipc_gullwing_generator.py") + (tags "SOIC SO") + (property "Sheetfile" "sc64v2.kicad_sch") + (property "Sheetname" "") + (path "/0fc6d60f-b51b-46bd-9f02-cc292d56b643") + (attr smd) + (fp_text reference "U5" (at 0 -4 180) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 67ee4554-f5f1-48be-bd4c-52c87eff5c0b) + ) + (fp_text value "W25Q128JVSIQ" (at 0 3.56 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 9e81a833-85a4-4e52-bfe8-8add28a24381) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp dbc23591-a27c-4594-80fa-27289fc43c4a) + ) + (fp_line (start 0 2.725) (end 2.725 2.725) (layer "F.SilkS") (width 0.12) (tstamp 154b8215-2356-43f6-8ca3-42c6b0db63d0)) + (fp_line (start -2.725 -2.465) (end -4.4 -2.465) (layer "F.SilkS") (width 0.12) (tstamp 1eac5711-3d08-4bd2-b36d-1f40e1383058)) + (fp_line (start 0 2.725) (end -2.725 2.725) (layer "F.SilkS") (width 0.12) (tstamp 54799084-9552-470e-8da7-d76949504506)) + (fp_line (start 2.725 -2.725) (end 2.725 -2.465) (layer "F.SilkS") (width 0.12) (tstamp 62dbf120-3c54-410c-9b7b-d0deec624e4a)) + (fp_line (start -2.725 -2.725) (end -2.725 -2.465) (layer "F.SilkS") (width 0.12) (tstamp 7706c3c4-5447-4429-a506-3603d13075b6)) + (fp_line (start 0 -2.725) (end 2.725 -2.725) (layer "F.SilkS") (width 0.12) (tstamp 78b01543-a73d-43e1-8f18-4132aaef32a5)) + (fp_line (start 2.725 2.725) (end 2.725 2.465) (layer "F.SilkS") (width 0.12) (tstamp 85092c6a-c7a0-421a-970c-72b028a197f7)) + (fp_line (start -2.725 2.725) (end -2.725 2.465) (layer "F.SilkS") (width 0.12) (tstamp c0911561-f597-436b-ba64-8b9fe00d794c)) + (fp_line (start 0 -2.725) (end -2.725 -2.725) (layer "F.SilkS") (width 0.12) (tstamp e7ec9e14-86f3-4bf2-bbb6-ebdff59f9af2)) + (fp_line (start -4.65 2.86) (end 4.65 2.86) (layer "F.CrtYd") (width 0.05) (tstamp 5f34bded-d6bf-4d97-aade-f88f5a7b125d)) + (fp_line (start -4.65 -2.86) (end -4.65 2.86) (layer "F.CrtYd") (width 0.05) (tstamp 76155f09-d563-4279-9cf5-a22a1af4d3a9)) + (fp_line (start 4.65 2.86) (end 4.65 -2.86) (layer "F.CrtYd") (width 0.05) (tstamp c46c33f5-fbcf-4d09-8c71-c15f50cf62b9)) + (fp_line (start 4.65 -2.86) (end -4.65 -2.86) (layer "F.CrtYd") (width 0.05) (tstamp db88fbf2-8858-4a10-b108-f47f2823dae6)) + (fp_line (start -1.615 -2.615) (end 2.615 -2.615) (layer "F.Fab") (width 0.1) (tstamp 7c322a3d-3b2e-48b6-864e-2f0bc734f369)) + (fp_line (start -2.615 2.615) (end -2.615 -1.615) (layer "F.Fab") (width 0.1) (tstamp 8e541640-7e47-4c0f-936c-01914650c8f3)) + (fp_line (start -2.615 -1.615) (end -1.615 -2.615) (layer "F.Fab") (width 0.1) (tstamp c1cf6237-365e-4a5b-9b32-5c3586e05d3b)) + (fp_line (start 2.615 2.615) (end -2.615 2.615) (layer "F.Fab") (width 0.1) (tstamp e1313779-0bc0-42aa-882e-a64dab59cde1)) + (fp_line (start 2.615 -2.615) (end 2.615 2.615) (layer "F.Fab") (width 0.1) (tstamp e744ec21-3d1c-4727-89bd-be2bbdd60fab)) + (pad "1" smd roundrect (at -3.6 -1.905 270) (size 1.6 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 53 "FLASH_CS") (pinfunction "~{CS}") (pintype "input") (tstamp 4229f0c5-982b-45a4-9865-79adcf01baa0)) + (pad "2" smd roundrect (at -3.6 -0.635 270) (size 1.6 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 54 "FLASH_IO1") (pinfunction "DO(IO1)") (pintype "bidirectional") (tstamp b52003f2-1fc1-448b-87ef-8f69b8ecc342)) + (pad "3" smd roundrect (at -3.6 0.635 270) (size 1.6 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 55 "FLASH_IO2") (pinfunction "IO2") (pintype "bidirectional") (tstamp c4a21fd3-a6ae-44a9-bc78-0e41f084f553)) + (pad "4" smd roundrect (at -3.6 1.905 270) (size 1.6 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 46 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 1552cb74-e6ef-475b-be3a-ac1973bcee7d)) + (pad "5" smd roundrect (at 3.6 1.905 270) (size 1.6 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 56 "FLASH_IO0") (pinfunction "DI(IO0)") (pintype "bidirectional") (tstamp b0d88b62-d36e-4c30-ae05-19c227bab69d)) + (pad "6" smd roundrect (at 3.6 0.635 270) (size 1.6 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 57 "FLASH_CLK") (pinfunction "CLK") (pintype "input") (tstamp a73ff104-0c6d-45d0-bae7-11c93ea99539)) + (pad "7" smd roundrect (at 3.6 -0.635 270) (size 1.6 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 58 "FLASH_IO3") (pinfunction "IO3") (pintype "bidirectional") (tstamp 681a9760-4754-4abd-8a6a-2f3a3629407e)) + (pad "8" smd roundrect (at 3.6 -1.905 270) (size 1.6 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 50 "+3V3") (pinfunction "VCC") (pintype "power_in") (tstamp c8568d2f-e1ae-470b-b325-7b36a73728f4)) + (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/SOIC-8_5.23x5.23mm_P1.27mm.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/SOIC-8_5.275x5.275mm_P1.27mm.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "F.Cu") + (tedit 5F68FEEE) (tstamp 46ab32b8-1032-44d9-820c-b6bc88476aea) + (at 114.75 110.75 90) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Sheetfile" "sc64v2.kicad_sch") + (property "Sheetname" "") + (path "/3994f405-55be-4a04-8c8b-3ed658926389") + (attr smd) + (fp_text reference "C3" (at -2.75 0 90) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 699aa7ff-8e59-4953-ae79-ec90b7824a00) + ) + (fp_text value "100nF" (at 0 1.43 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 82755e4f-322a-441a-97fd-9aceb494e216) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 0075295a-0965-4bc5-baf8-bff90524fab4) + ) + (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "F.SilkS") (width 0.12) (tstamp 40ca54ea-c3e2-4923-9639-62102b235e3a)) + (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 7298b1f3-e942-4639-a58d-d926c5e7bd50)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 51228ea8-d525-4e8e-a9ba-4129c3cbd2e6)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp c524fd11-b781-45ac-a170-fb94f60ecb7b)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp e5db09fe-e696-44c2-bbe0-81bdccbc2e79)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp efafef28-ad36-40f5-b1dc-55510bdfaf7f)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 523d7903-cf1f-4180-9747-3272a4e9af9f)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 552db494-dcf1-44a5-947e-c7babf8a5e96)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp a9b190da-abd3-4b10-b548-f710a4cdd0fe)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp b8b9ee20-71d0-4b45-bd87-e0f103e248fb)) + (pad "1" smd roundrect (at -0.775 0 90) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 136 "Net-(C3-Pad1)") (pintype "passive") (tstamp 4da46d09-ed13-4feb-a661-59020f706bb9)) + (pad "2" smd roundrect (at 0.775 0 90) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 46 "GND") (pintype "passive") (tstamp 0feaac64-2f39-43f5-bbbd-92045438f9df)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "TestPoint:TestPoint_Pad_D1.5mm" (layer "F.Cu") + (tedit 5A0F774F) (tstamp 471d4a15-e09c-4258-a0f0-9f08c41daa6d) + (at 138 116) + (descr "SMD pad as test Point, diameter 1.5mm") + (tags "test point SMD pad") + (property "Sheetfile" "sc64v2.kicad_sch") + (property "Sheetname" "") + (property "exclude_from_bom" "") + (path "/a0e5adb5-40f9-4fc8-a069-cb599973b556") + (attr exclude_from_pos_files exclude_from_bom) + (fp_text reference "3V1" (at -3 0) (layer "F.SilkS") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp a7d6abd0-7721-495b-8cc3-8ff938566682) + ) + (fp_text value "TestPoint" (at 0 1.75) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp ef0accae-22ab-4ca9-840e-b46e8f82536a) + ) + (fp_text user "${REFERENCE}" (at 0 -1.65) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp c49a13e4-2a12-4535-88b9-5f13482d297e) + ) + (fp_circle (center 0 0) (end 0 0.95) (layer "F.SilkS") (width 0.12) (fill none) (tstamp dc9b0507-4e8e-4e6a-a15b-39da285d8819)) + (fp_circle (center 0 0) (end 1.25 0) (layer "F.CrtYd") (width 0.05) (fill none) (tstamp 00c8e1d8-0f7f-4f85-8815-66f877ff50cd)) + (pad "1" smd circle (at 0 0) (size 1.5 1.5) (layers "F.Cu" "F.Mask") + (net 50 "+3V3") (pinfunction "1") (pintype "passive") (tstamp 8196fd0a-29fc-4ff7-824c-fe8afbf83e05)) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric" (layer "F.Cu") + (tedit 5F68FEEE) (tstamp 54629ca9-acfd-4bad-b43d-04f3f31f4c01) + (at 107.825 118.75) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (property "Sheetfile" "sc64v2.kicad_sch") + (property "Sheetname" "") + (path "/38d3e0bc-e7f3-4fcf-b28b-1dd2ebbed152") + (attr smd) + (fp_text reference "R2" (at 2.675 0) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp c06e6313-c1b4-49de-9793-a5336700f67f) + ) + (fp_text value "3.3k" (at 0 1.43) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 864eabb9-ab90-4061-8bcd-fa1fd48180d1) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 4615c542-22e5-459c-a5f2-3eabae50c61b) + ) + (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) (layer "F.SilkS") (width 0.12) (tstamp d93d89b0-746e-4b5e-a2ea-6758bd3f7f18)) + (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) (layer "F.SilkS") (width 0.12) (tstamp f6598baa-00f6-444e-a2fa-0bbbeb8c4120)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp a077295b-18d4-462e-865d-538c806591de)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp be8e6e49-3bb6-408e-9ad6-d864e3a3b49e)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp d5c36b9a-8d01-472f-95b9-c79e08ece539)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp df4be10f-e2ac-450e-a8a4-31da50cc4c12)) + (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp 77a0c620-6862-49f5-a29c-d04df66baf07)) + (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp a42c4a8a-e618-4405-82cb-10c94794ebba)) + (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp c718b352-4903-4a34-b01d-33110fff350e)) + (fp_line (start 0.8 0.4125) (end -0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp ed3bfc3a-2eda-4e0d-86c4-cc6be50375e5)) + (pad "1" smd roundrect (at -0.825 0) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 141 "Net-(R1-Pad2)") (pintype "passive") (tstamp 32474200-8d4b-4b94-8591-e0a18b46a15d)) + (pad "2" smd roundrect (at 0.825 0) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 46 "GND") (pintype "passive") (tstamp 34207fe7-a60a-40bf-b69f-4d6f617f6d87)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Connector_Card:microSD_HC_Molex_104031-0811" (layer "F.Cu") + (tedit 5D235007) (tstamp 667fee13-a73e-466d-9065-50dae901870f) + (at 194.25 89.75 -90) + (descr "1.10mm Pitch microSD Memory Card Connector, Surface Mount, Push-Pull Type, 1.42mm Height, with Detect Switch (https://www.molex.com/pdm_docs/sd/1040310811_sd.pdf)") + (tags "microSD SD molex") + (property "Sheetfile" "sc64v2.kicad_sch") + (property "Sheetname" "") + (path "/09e47e41-fce2-4be6-a894-63ccba0f1215") + (attr smd) + (fp_text reference "J4" (at 0 7.5) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 5b5064d7-9897-4b07-affc-9b47f951376b) + ) + (fp_text value "104031-0811" (at -8 0.0125 180) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 6e3e3ca5-5581-4472-ae72-6380a85ce46e) + ) + (fp_text user "${REFERENCE}" (at 0.02 7.4125 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp a890345e-35f2-4047-b120-b5b53682383f) + ) + (fp_line (start 6.11 5.82) (end 6.11 -4) (layer "F.SilkS") (width 0.12) (tstamp 2ae4d102-0a25-4f3d-8c64-6fd64eac129c)) + (fp_line (start -6.07 1.4) (end -6.07 3.7) (layer "F.SilkS") (width 0.12) (tstamp 2fdb9d50-be10-4637-8b92-410c098ddccb)) + (fp_line (start -4.59 -5.82) (end -3.73 -5.82) (layer "F.SilkS") (width 0.12) (tstamp 4da3e9dc-4718-4d42-a2a0-46f799c8dd45)) + (fp_line (start -6.07 -4.45) (end -6.07 0) (layer "F.SilkS") (width 0.12) (tstamp 7f514ac8-a803-4257-b075-40189106dd67)) + (fp_line (start -6.07 5.1) (end -6.07 5.82) (layer "F.SilkS") (width 0.12) (tstamp c500672c-ac70-4637-bf13-297c9e0922e8)) + (fp_line (start -6.07 5.82) (end -3.39 5.82) (layer "F.SilkS") (width 0.12) (tstamp c7e5d860-c237-41a7-8b59-18f12766170a)) + (fp_line (start -1.09 5.82) (end 2.58 5.82) (layer "F.SilkS") (width 0.12) (tstamp ccac5b5e-4b18-4a79-a8ce-1b5ceb4334d9)) + (fp_line (start 4.88 5.82) (end 6.11 5.82) (layer "F.SilkS") (width 0.12) (tstamp ff1aff7d-0795-4702-945c-9516f4d1a7a9)) + (fp_line (start -6.84 -6.5) (end 6.84 -6.5) (layer "F.CrtYd") (width 0.05) (tstamp 5adee326-d5cc-4f8d-836c-4e36240914ea)) + (fp_line (start 6.84 6.55) (end -6.84 6.55) (layer "F.CrtYd") (width 0.05) (tstamp 93eb9814-a014-49e6-bb3e-65916b82bedb)) + (fp_line (start -6.84 6.55) (end -6.84 -6.5) (layer "F.CrtYd") (width 0.05) (tstamp a1a218b6-28f7-4b36-a492-dea597f3b104)) + (fp_line (start 6.84 -6.5) (end 6.84 6.55) (layer "F.CrtYd") (width 0.05) (tstamp f5e91cc7-d5d1-4f08-8fd6-fef590ba102c)) + (fp_line (start -5.955 -5.7) (end -5.955 5.7) (layer "F.Fab") (width 0.1) (tstamp 11a20e0f-5338-4474-bbdf-d90f28ae3b46)) + (fp_line (start 4.4 -4.3) (end -3.26 -4.3) (layer "F.Fab") (width 0.1) (tstamp 26f90e57-f21a-4c2c-86b8-a88b59b68fd7)) + (fp_line (start 5.995 5.7) (end -5.955 5.7) (layer "F.Fab") (width 0.1) (tstamp 34c4488d-6a86-4292-b7a5-847359a5be37)) + (fp_line (start 5.995 -5.7) (end 5.21 -5.7) (layer "F.Fab") (width 0.1) (tstamp 3aea3007-db39-48fc-9ee6-388a35ba3a46)) + (fp_line (start -5.955 -5.7) (end -4.26 -5.7) (layer "F.Fab") (width 0.1) (tstamp 434dbc5c-cb52-4ce8-adea-2b5e940bdbb1)) + (fp_line (start -5.405 -9.2) (end -5.405 -5.7) (layer "F.Fab") (width 0.1) (tstamp 6700379e-7eeb-493e-854d-62d435501012)) + (fp_line (start 4.9 -5.4) (end 4.9 -4.8) (layer "F.Fab") (width 0.1) (tstamp 83091e02-f687-4c79-a3c1-22a3f97370a6)) + (fp_line (start -3.76 -4.8) (end -3.76 -5.2) (layer "F.Fab") (width 0.1) (tstamp d87658c3-d1d8-4658-82af-bd3017952c04)) + (fp_line (start 5.995 5.7) (end 5.995 -5.7) (layer "F.Fab") (width 0.1) (tstamp e16282b1-c59e-42a3-a572-a445e5203454)) + (fp_line (start 5.595 -5.7) (end 5.595 -9.2) (layer "F.Fab") (width 0.1) (tstamp e248f1d0-67cd-469e-b2b9-d29bdd517b5d)) + (fp_line (start -4.905 -9.7) (end 5.095 -9.7) (layer "F.Fab") (width 0.1) (tstamp f35fe5ca-f3f4-4c3e-a802-c0fdd39fc2a8)) + (fp_arc (start 5.095 -9.7) (mid 5.448553 -9.553553) (end 5.595 -9.2) (layer "F.Fab") (width 0.1) (tstamp 09c780eb-cd6d-4d2f-a3b4-5e253f2ea9d5)) + (fp_arc (start -4.26 -5.7) (mid -3.906447 -5.553553) (end -3.76 -5.2) (layer "F.Fab") (width 0.1) (tstamp 384874da-0e76-4c32-8847-1771499c5cc2)) + (fp_arc (start 4.9 -4.8) (mid 4.753553 -4.446447) (end 4.4 -4.3) (layer "F.Fab") (width 0.1) (tstamp 7101c69b-dd19-4bf1-bb0a-108aa3dc9beb)) + (fp_arc (start 4.9 -5.4) (mid 4.987868 -5.612132) (end 5.2 -5.7) (layer "F.Fab") (width 0.1) (tstamp c7aabc47-5d6e-4b79-9f53-9d0172d5560f)) + (fp_arc (start -5.405 -9.2) (mid -5.258553 -9.553553) (end -4.905 -9.7) (layer "F.Fab") (width 0.1) (tstamp df0f7989-7bed-44ea-8d5c-dccdbb87ab2f)) + (fp_arc (start -3.26 -4.3) (mid -3.613553 -4.446447) (end -3.76 -4.8) (layer "F.Fab") (width 0.1) (tstamp fe7c43cf-d085-4d04-815e-e11c2e1ebf1a)) + (pad "1" smd rect locked (at -3.105 -5.45 270) (size 0.85 1.1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 30 "SD_DAT2") (pinfunction "DAT2") (pintype "bidirectional") (tstamp a8001b0a-90f4-41c0-9e90-7de61cb33a20)) + (pad "2" smd rect locked (at -2.005 -5.45 270) (size 0.85 1.1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 31 "SD_DAT3") (pinfunction "DAT3/CD") (pintype "bidirectional") (tstamp 01d4e3ad-61fc-4426-affa-e230f9fb216f)) + (pad "3" smd rect locked (at -0.905 -5.45 270) (size 0.85 1.1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 32 "SD_CMD") (pinfunction "CMD") (pintype "input") (tstamp 2f6ac8f8-0dac-4b3a-ac6c-ea098d585e18)) + (pad "4" smd rect locked (at 0.195 -5.45 270) (size 0.85 1.1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 50 "+3V3") (pinfunction "VDD") (pintype "power_in") (tstamp abf3028d-7209-432b-b7bb-a0b7eaa79757)) + (pad "5" smd rect locked (at 1.295 -5.45 270) (size 0.85 1.1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 33 "SD_CLK") (pinfunction "CLK") (pintype "input") (tstamp 0f7a212b-a487-46e3-8a9a-73aa78b3787f)) + (pad "6" smd rect locked (at 2.395 -5.45 270) (size 0.85 1.1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 46 "GND") (pinfunction "VSS") (pintype "power_in") (tstamp 79bc4e25-69ec-493f-bdf4-592f01af9f21)) + (pad "7" smd rect locked (at 3.495 -5.45 270) (size 0.85 1.1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 34 "SD_DAT0") (pinfunction "DAT0") (pintype "bidirectional") (tstamp e421fba9-c9c2-4df7-90fc-dd86a3cd1504)) + (pad "8" smd rect locked (at 4.545 -5.45 270) (size 0.75 1.1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 35 "SD_DAT1") (pinfunction "DAT1") (pintype "bidirectional") (tstamp 2027144f-771f-46c3-b6ca-52c6c8df2d36)) + (pad "9" smd rect locked (at -5.74 0.7 270) (size 1.2 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 36 "SD_DET") (pinfunction "DET_B") (pintype "passive") (tstamp ae329257-37d5-43c3-9759-ce77b26ddd44)) + (pad "10" smd rect locked (at -5.74 4.4 270) (size 1.2 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 46 "GND") (pinfunction "DET_A") (pintype "passive") (tstamp 109a4694-154b-4660-b557-a9872a22bcf1)) + (pad "11" smd rect locked (at -5.565 -5.325 270) (size 1.55 1.35) (layers "F.Cu" "F.Paste" "F.Mask") + (net 46 "GND") (pinfunction "SHIELD") (pintype "passive") (tstamp 0c6fec6c-34dd-47ec-a6bd-46a2bbbf10aa)) + (pad "11" smd rect locked (at 5.755 -5.1 270) (size 1.17 1.8) (layers "F.Cu" "F.Paste" "F.Mask") + (net 46 "GND") (pinfunction "SHIELD") (pintype "passive") (tstamp 0d251e07-71ac-4ae3-a0f7-94ec4accabe1)) + (pad "11" smd rect locked (at 3.73 5.375 270) (size 1.9 1.35) (layers "F.Cu" "F.Paste" "F.Mask") + (net 46 "GND") (pinfunction "SHIELD") (pintype "passive") (tstamp 64eba968-9d48-4557-a188-a228a6458787)) + (pad "11" smd rect locked (at -2.24 5.375 270) (size 1.9 1.35) (layers "F.Cu" "F.Paste" "F.Mask") + (net 46 "GND") (pinfunction "SHIELD") (pintype "passive") (tstamp 97fd7d31-678b-44f7-94b3-a6dbc8e2f182)) + (model "${KICAD6_3DMODEL_DIR}/Connector_Card.3dshapes/microSD_HC_Molex_104031-0811.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + (model "${KIPRJMOD}/sc64v2.3dshapes/1040310811.stp" + (offset (xyz 44.485 -1.7 0.61)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 180)) + ) + ) + + (footprint "Package_QFP:TQFP-144_20x20mm_P0.5mm" (layer "F.Cu") + (tedit 5D9F72B1) (tstamp 66a6c512-7433-4466-b35a-68e49d351a5e) + (at 158.25 105) + (descr "TQFP, 144 Pin (http://www.microsemi.com/index.php?option=com_docman&task=doc_download&gid=131095), generated with kicad-footprint-generator ipc_gullwing_generator.py") + (tags "TQFP QFP") + (property "Sheetfile" "sc64v2.kicad_sch") + (property "Sheetname" "") + (path "/93ddce85-c1a8-42b3-a16c-75b607cf3749") + (attr smd) + (fp_text reference "U8" (at 0 -12.35) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 5446b27e-a028-47da-9660-5b63165e967a) + ) + (fp_text value "LCMXO2-7000Hx-xTG144x" (at 0 12.35) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp c2037d43-7f25-42d0-b395-5b43175f9944) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp b341dfd3-46d2-4fd8-b31c-966da7e6faf5) + ) + (fp_line (start 10.11 -10.11) (end 10.11 -9.16) (layer "F.SilkS") (width 0.12) (tstamp 1437ba0b-0053-41eb-bc61-cbccf6279fc9)) + (fp_line (start -9.16 -10.11) (end -10.11 -10.11) (layer "F.SilkS") (width 0.12) (tstamp 2b886276-dd55-4b24-9805-ffa937415d2c)) + (fp_line (start 9.16 -10.11) (end 10.11 -10.11) (layer "F.SilkS") (width 0.12) (tstamp 4888375b-5458-4871-a1fa-505252626301)) + (fp_line (start -9.16 10.11) (end -10.11 10.11) (layer "F.SilkS") (width 0.12) (tstamp 573709ba-970a-43b6-bdd2-b76923af50ff)) + (fp_line (start -10.11 -10.11) (end -10.11 -9.16) (layer "F.SilkS") (width 0.12) (tstamp 712360a5-aaf8-4267-800c-0958b8a0ea4d)) + (fp_line (start 9.16 10.11) (end 10.11 10.11) (layer "F.SilkS") (width 0.12) (tstamp a15b1a81-421d-431c-975d-5ca2fb5503b1)) + (fp_line (start -10.11 10.11) (end -10.11 9.16) (layer "F.SilkS") (width 0.12) (tstamp c8928d2d-8cea-43ac-afea-ea4baec6d359)) + (fp_line (start -10.11 -9.16) (end -11.4 -9.16) (layer "F.SilkS") (width 0.12) (tstamp e2e6cccb-54a1-4e96-9738-4d65179c25dd)) + (fp_line (start 10.11 10.11) (end 10.11 9.16) (layer "F.SilkS") (width 0.12) (tstamp fcd0e196-8d7e-4c4c-a99c-c0d8a5f57856)) + (fp_line (start -9.15 11.65) (end -9.15 10.25) (layer "F.CrtYd") (width 0.05) (tstamp 03c52c21-9f81-4a19-9890-eb9cfb396402)) + (fp_line (start 0 11.65) (end 9.15 11.65) (layer "F.CrtYd") (width 0.05) (tstamp 0a7ad466-7b8b-4d6b-93ef-3281d9e54a91)) + (fp_line (start -10.25 10.25) (end -10.25 9.15) (layer "F.CrtYd") (width 0.05) (tstamp 30e6da1a-ec42-41f7-ae0a-ea640aeea44d)) + (fp_line (start -9.15 -10.25) (end -10.25 -10.25) (layer "F.CrtYd") (width 0.05) (tstamp 3292aeac-3252-4f8c-bd26-e21f54d3d5b1)) + (fp_line (start 10.25 10.25) (end 10.25 9.15) (layer "F.CrtYd") (width 0.05) (tstamp 3d91e159-b28f-4016-b781-d485dbd0a7a5)) + (fp_line (start -10.25 -9.15) (end -11.65 -9.15) (layer "F.CrtYd") (width 0.05) (tstamp 43ab088d-55f8-46b8-986c-bb03b3caee8e)) + (fp_line (start 10.25 9.15) (end 11.65 9.15) (layer "F.CrtYd") (width 0.05) (tstamp 49cb8972-6f22-4d13-a568-a1f0fbf7c0c8)) + (fp_line (start 9.15 11.65) (end 9.15 10.25) (layer "F.CrtYd") (width 0.05) (tstamp 6c3934dd-f810-43cc-8ae1-96240df64d43)) + (fp_line (start 10.25 -10.25) (end 10.25 -9.15) (layer "F.CrtYd") (width 0.05) (tstamp 6f2d24f7-ad92-48c4-acf3-fa47d8165bf8)) + (fp_line (start 11.65 -9.15) (end 11.65 0) (layer "F.CrtYd") (width 0.05) (tstamp 6f33e751-5043-4b65-8e41-ecfd62467ed0)) + (fp_line (start 0 -11.65) (end -9.15 -11.65) (layer "F.CrtYd") (width 0.05) (tstamp 71517e18-659e-4b8b-96d1-04596acf7ee1)) + (fp_line (start 0 -11.65) (end 9.15 -11.65) (layer "F.CrtYd") (width 0.05) (tstamp 74bfcd55-5e85-4660-be65-06062a0c760e)) + (fp_line (start -11.65 -9.15) (end -11.65 0) (layer "F.CrtYd") (width 0.05) (tstamp 7ad70d78-f911-4e78-bfa3-eb4384fdc5b5)) + (fp_line (start 9.15 -11.65) (end 9.15 -10.25) (layer "F.CrtYd") (width 0.05) (tstamp 7f6fba64-d703-43eb-b7f6-258edb7c6c13)) + (fp_line (start -9.15 -11.65) (end -9.15 -10.25) (layer "F.CrtYd") (width 0.05) (tstamp 850aa5f6-5bb1-4c2a-99c5-f3161b79c2ed)) + (fp_line (start 0 11.65) (end -9.15 11.65) (layer "F.CrtYd") (width 0.05) (tstamp 8fca82dc-76fc-4c97-bdbc-ecc655347bdd)) + (fp_line (start -10.25 9.15) (end -11.65 9.15) (layer "F.CrtYd") (width 0.05) (tstamp 933bdaf9-bdfa-49e6-9976-af82252c335b)) + (fp_line (start 9.15 -10.25) (end 10.25 -10.25) (layer "F.CrtYd") (width 0.05) (tstamp 985bbd29-6d41-4ae3-9f3e-e089452a70cf)) + (fp_line (start -11.65 9.15) (end -11.65 0) (layer "F.CrtYd") (width 0.05) (tstamp 9fb77340-8959-4e08-80d3-d396d0f40578)) + (fp_line (start 9.15 10.25) (end 10.25 10.25) (layer "F.CrtYd") (width 0.05) (tstamp a57a044f-1e0a-4f57-b98f-7a871f824575)) + (fp_line (start 11.65 9.15) (end 11.65 0) (layer "F.CrtYd") (width 0.05) (tstamp ba02f3db-57f7-4799-9ce3-03986dd885d6)) + (fp_line (start -10.25 -10.25) (end -10.25 -9.15) (layer "F.CrtYd") (width 0.05) (tstamp cd2bb6f9-575e-4667-9ed7-a872f550651d)) + (fp_line (start 10.25 -9.15) (end 11.65 -9.15) (layer "F.CrtYd") (width 0.05) (tstamp e9c78314-8654-4ecf-a53f-5325fdab5e45)) + (fp_line (start -9.15 10.25) (end -10.25 10.25) (layer "F.CrtYd") (width 0.05) (tstamp fce99f12-458b-4b11-8764-608b1da370db)) + (fp_line (start 10 10) (end -10 10) (layer "F.Fab") (width 0.1) (tstamp 064b9ab8-0beb-4dbf-ade3-e5bc4486b94b)) + (fp_line (start 10 -10) (end 10 10) (layer "F.Fab") (width 0.1) (tstamp 29e940a4-5585-4ada-9128-8f5117fdfd22)) + (fp_line (start -9 -10) (end 10 -10) (layer "F.Fab") (width 0.1) (tstamp 53279ea3-2f0b-40ec-b25e-0a09cafac841)) + (fp_line (start -10 10) (end -10 -9) (layer "F.Fab") (width 0.1) (tstamp 80fff2e6-73a5-4e2a-9349-b3401445275e)) + (fp_line (start -10 -9) (end -9 -10) (layer "F.Fab") (width 0.1) (tstamp c1cde119-1828-42e3-ba3f-bb14b24a1fe9)) + (pad "1" smd roundrect (at -10.6625 -8.75) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 129 "BUTTON") (pinfunction "PL3A/L_GPLLT_FB") (pintype "bidirectional") (tstamp 42431c3c-d404-476a-ab39-67c4ebc4b409)) + (pad "2" smd roundrect (at -10.6625 -8.25) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 125 "FTDI_C7") (pinfunction "PL3B/L_GPLLC_FB") (pintype "bidirectional") (tstamp a610b07c-7f3b-4c0e-9bb1-e2cb93d86394)) + (pad "3" smd roundrect (at -10.6625 -7.75) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 91 "FPGA_CLK") (pinfunction "PL4A/L_GPLLT_IN") (pintype "bidirectional") (tstamp 5ab6004f-4465-4571-8b2d-3382439f4946)) + (pad "4" smd roundrect (at -10.6625 -7.25) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 121 "FTDI_C6") (pinfunction "PL4B/L_GPLLC_IN") (pintype "bidirectional") (tstamp 6e949fce-de9f-4bf3-9185-14c0698c4776)) + (pad "5" smd roundrect (at -10.6625 -6.75) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 120 "FTDI_C5") (pinfunction "PL6A/PCLKT5_0") (pintype "bidirectional") (tstamp dfb29bdc-6736-44f8-89c0-a48dc27b37d0)) + (pad "6" smd roundrect (at -10.6625 -6.25) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 119 "FTDI_C4") (pinfunction "PL6B/PCLKC5_0") (pintype "bidirectional") (tstamp 633aafcb-e7f5-4f49-b90e-2af365a07e52)) + (pad "7" smd roundrect (at -10.6625 -5.75) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 50 "+3V3") (pinfunction "VCCIO5") (pintype "power_in") (tstamp c834efbe-45e0-42bb-931e-de0f2ded443b)) + (pad "8" smd roundrect (at -10.6625 -5.25) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 46 "GND") (pinfunction "GND") (pintype "power_in") (tstamp b0e1ba30-3d47-4cfc-b463-17bf7eb4384b)) + (pad "9" smd roundrect (at -10.6625 -4.75) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 118 "FTDI_C3") (pinfunction "PL8A") (pintype "bidirectional") (tstamp e526f61b-e3b2-4d3e-9288-0bfaf281b2d3)) + (pad "10" smd roundrect (at -10.6625 -4.25) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 69 "FTDI_C2") (pinfunction "PL8B") (pintype "bidirectional") (tstamp aaa9f8c5-595e-4132-8261-8afe673c2e15)) + (pad "11" smd roundrect (at -10.6625 -3.75) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 68 "FTDI_C1") (pinfunction "PL9A") (pintype "bidirectional") (tstamp 1fbc3851-58ff-483f-a7ba-e5bb11c0ff82)) + (pad "12" smd roundrect (at -10.6625 -3.25) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 67 "FTDI_C0") (pinfunction "PL9B") (pintype "bidirectional") (tstamp 88f2c5d0-ef3f-49a8-aa6f-586a595bc1a1)) + (pad "13" smd roundrect (at -10.6625 -2.75) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 66 "FTDI_D7") (pinfunction "PL10A") (pintype "bidirectional") (tstamp 0a8e5772-4e87-4c0e-ac55-8093e1186174)) + (pad "14" smd roundrect (at -10.6625 -2.25) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 65 "FTDI_D6") (pinfunction "PL10B") (pintype "bidirectional") (tstamp 52b33ec3-16e7-45be-84d8-729e484832c4)) + (pad "15" smd roundrect (at -10.6625 -1.75) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 64 "FTDI_D5") (pinfunction "PL11A") (pintype "bidirectional") (tstamp 89999550-331b-456c-b849-35cde1161a86)) + (pad "16" smd roundrect (at -10.6625 -1.25) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 50 "+3V3") (pinfunction "VCCIO4") (pintype "power_in") (tstamp 35db62c3-5d93-4309-9d77-e83edad225b9)) + (pad "17" smd roundrect (at -10.6625 -0.75) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 63 "FTDI_D4") (pinfunction "PL11B") (pintype "bidirectional") (tstamp 94c7e981-d805-45c6-8d0e-a325204dc389)) + (pad "18" smd roundrect (at -10.6625 -0.25) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 46 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 84ee3321-ec06-4133-b3a9-20a94c446fab)) + (pad "19" smd roundrect (at -10.6625 0.25) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 62 "FTDI_D3") (pinfunction "PL12A/PCLKT4_0") (pintype "bidirectional") (tstamp b947bf12-b4f5-4bd3-98b5-9933de05b6df)) + (pad "20" smd roundrect (at -10.6625 0.75) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 61 "FTDI_D2") (pinfunction "PL12B/PCLKC4_0") (pintype "bidirectional") (tstamp 6b92cad9-6d2f-47bc-840d-86983f23dbd1)) + (pad "21" smd roundrect (at -10.6625 1.25) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 60 "FTDI_D1") (pinfunction "PL15A") (pintype "bidirectional") (tstamp e95464ad-5115-4da7-9d42-07f7afedab43)) + (pad "22" smd roundrect (at -10.6625 1.75) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 59 "FTDI_D0") (pinfunction "PL15B") (pintype "bidirectional") (tstamp 5a7031ce-a2cb-49c2-9f46-45c089956e0d)) + (pad "23" smd roundrect (at -10.6625 2.25) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 123 "TEST1") (pinfunction "PL17A") (pintype "bidirectional") (tstamp d68a66cc-6d36-4a6e-808f-1c460e627892)) + (pad "24" smd roundrect (at -10.6625 2.75) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 124 "TEST2") (pinfunction "PL17B") (pintype "bidirectional") (tstamp e61ab269-9ed2-4997-9d04-ff45179c9616)) + (pad "25" smd roundrect (at -10.6625 3.25) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 133 "TEST3") (pinfunction "PL19A") (pintype "bidirectional") (tstamp a7b10037-0242-494d-a441-dc48bef50b50)) + (pad "26" smd roundrect (at -10.6625 3.75) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 29 "N64_VIDEO_SYNC") (pinfunction "PL19B") (pintype "bidirectional") (tstamp 43b23daf-d608-4350-bf79-4c6f2f9112a0)) + (pad "27" smd roundrect (at -10.6625 4.25) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 17 "N64_JOYBUS") (pinfunction "PL22A/PCLKT3_0") (pintype "bidirectional") (tstamp 39914c8f-a638-4a5b-b724-6333c70faf11)) + (pad "28" smd roundrect (at -10.6625 4.75) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 74 "~{N64_NMI}") (pinfunction "PL22B/PCLKC3_0") (pintype "bidirectional") (tstamp cac9229c-7b32-4b01-95ef-e7c9af14b791)) + (pad "29" smd roundrect (at -10.6625 5.25) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 46 "GND") (pinfunction "GND") (pintype "power_in") (tstamp d2d8b90f-1cc3-46b8-ae12-2efddeab106a)) + (pad "30" smd roundrect (at -10.6625 5.75) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 50 "+3V3") (pinfunction "VCCIO3") (pintype "power_in") (tstamp 4fd71c9f-fda7-44dc-a730-71f9a293687a)) + (pad "31" smd roundrect (at -10.6625 6.25) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 72 "~{N64_RESET}") (pinfunction "PL23D") (pintype "bidirectional") (tstamp f049ccda-80da-4a79-bdbd-120ab1cbdd64)) + (pad "32" smd roundrect (at -10.6625 6.75) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 73 "~{N64_INT}") (pinfunction "PL24A") (pintype "bidirectional") (tstamp 85b30a72-2286-4f4b-9644-be52d496f960)) + (pad "33" smd roundrect (at -10.6625 7.25) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 16 "N64_PIF_CLK") (pinfunction "PL24B") (pintype "bidirectional") (tstamp ce6fab27-0c0a-45c5-b304-bedf2a2363ca)) + (pad "34" smd roundrect (at -10.6625 7.75) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 28 "N64_CIC_CLK") (pinfunction "PL25A") (pintype "bidirectional") (tstamp 7491255a-1f2f-444b-a433-59664b45004d)) + (pad "35" smd roundrect (at -10.6625 8.25) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 15 "N64_CIC_DATA") (pinfunction "PL25B") (pintype "bidirectional") (tstamp dd833fe9-3e27-4443-b300-ef655e704311)) + (pad "36" smd roundrect (at -10.6625 8.75) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 50 "+3V3") (pinfunction "VCC") (pintype "power_in") (tstamp 8f379579-e294-46e7-ab1c-e5e4b2ef7d0c)) + (pad "37" smd roundrect (at -8.75 10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 50 "+3V3") (pinfunction "VCCIO2") (pintype "power_in") (tstamp f7af00b0-76c3-459f-8c46-f5a83dd3bee8)) + (pad "38" smd roundrect (at -8.25 10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 27 "N64_AD7") (pinfunction "PB4A") (pintype "bidirectional") (tstamp da8c55c6-63df-473c-9e1e-fdce7c38b264)) + (pad "39" smd roundrect (at -7.75 10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 14 "N64_AD8") (pinfunction "PB4B") (pintype "bidirectional") (tstamp 7d646651-5aef-453f-ad93-710225b27da3)) + (pad "40" smd roundrect (at -7.25 10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 26 "N64_AD6") (pinfunction "PB6A/CSSPIN") (pintype "bidirectional") (tstamp cada0bea-1c13-43de-8c76-f71887adf217)) + (pad "41" smd roundrect (at -6.75 10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 13 "N64_AD9") (pinfunction "PB6B") (pintype "bidirectional") (tstamp 08f043dd-bcf7-4acd-8635-32f5deb1da95)) + (pad "42" smd roundrect (at -6.25 10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 12 "N64_AD10") (pinfunction "PB9A") (pintype "bidirectional") (tstamp a98f71dd-c354-4853-808a-84ac5a6fd5b8)) + (pad "43" smd roundrect (at -5.75 10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 25 "N64_AD5") (pinfunction "PB9B") (pintype "bidirectional") (tstamp 77c3608e-7555-4ea2-9cf6-8b737d2fa1ab)) + (pad "44" smd roundrect (at -5.25 10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 11 "N64_AD11") (pinfunction "PB12A/MCLK/CCLK") (pintype "bidirectional") (tstamp 24217754-65f8-4058-9ca8-8216e58d0e1a)) + (pad "45" smd roundrect (at -4.75 10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 24 "N64_AD4") (pinfunction "PB12B/SO/SPISO") (pintype "bidirectional") (tstamp 8a32e254-34b1-4b9c-b7a4-13d903135be2)) + (pad "46" smd roundrect (at -4.25 10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 46 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 1c29e414-397e-4fe3-9282-d1236b08d101)) + (pad "47" smd roundrect (at -3.75 10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 71 "~{N64_READ}") (pinfunction "PB13A") (pintype "bidirectional") (tstamp 27208b49-19e9-4d2b-ae5f-f9d3f61dd96a)) + (pad "48" smd roundrect (at -3.25 10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 23 "N64_ALEH") (pinfunction "PB13B") (pintype "bidirectional") (tstamp e1db068e-8ed8-4edf-a7f4-035239f5ddee)) + (pad "49" smd roundrect (at -2.75 10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "~{N64_WRITE}") (pinfunction "PB16A/PCLKT2_0") (pintype "bidirectional") (tstamp 80e098c7-ff0f-40d9-839f-9ee0ada137c7)) + (pad "50" smd roundrect (at -2.25 10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 22 "N64_ALEL") (pinfunction "PB16B/PCLKC2_0") (pintype "bidirectional") (tstamp 931b2192-b16b-4ebe-b929-3a8ff8451b32)) + (pad "51" smd roundrect (at -1.75 10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 50 "+3V3") (pinfunction "VCCIO2") (pintype "power_in") (tstamp 52a0f0b5-ecc7-4927-b13c-0655c9a7b663)) + (pad "52" smd roundrect (at -1.25 10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 10 "N64_AD12") (pinfunction "PB18A") (pintype "bidirectional") (tstamp 6c900bf0-cac7-4987-a689-121ebc5161e1)) + (pad "53" smd roundrect (at -0.75 10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 46 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 5de151cb-70ef-4de6-8791-7554d8803ed7)) + (pad "54" smd roundrect (at -0.25 10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 21 "N64_AD3") (pinfunction "PB18B") (pintype "bidirectional") (tstamp adeb541d-0e55-423b-b1a5-ac8cec777f8d)) + (pad "55" smd roundrect (at 0.25 10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 9 "N64_AD13") (pinfunction "PB23A/PCLKT2_1") (pintype "bidirectional") (tstamp ae2697a6-5b6c-4144-a80c-f59c20cf01e1)) + (pad "56" smd roundrect (at 0.75 10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 20 "N64_AD2") (pinfunction "PB23B/PCLKC2_1") (pintype "bidirectional") (tstamp 7c047bc0-9e6e-464e-8bc2-a129de6017bb)) + (pad "57" smd roundrect (at 1.25 10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 8 "N64_AD14") (pinfunction "PB26A") (pintype "bidirectional") (tstamp e417e4c5-b508-4e5a-afd1-7dc505f57056)) + (pad "58" smd roundrect (at 1.75 10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 19 "N64_AD1") (pinfunction "PB26B") (pintype "bidirectional") (tstamp f535cada-7757-4632-8f16-ee8a06da7cc6)) + (pad "59" smd roundrect (at 2.25 10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 7 "N64_AD15") (pinfunction "PB29A") (pintype "bidirectional") (tstamp 67522698-b563-4886-bdbf-5c433326fba2)) + (pad "60" smd roundrect (at 2.75 10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 18 "N64_AD0") (pinfunction "PB29B") (pintype "bidirectional") (tstamp 9f3fe97e-1e9e-485d-9bc4-cdfd2b3b791e)) + (pad "61" smd roundrect (at 3.25 10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 116 "SDRAM_CLK") (pinfunction "PB31A") (pintype "bidirectional") (tstamp 94e07222-a37e-40c1-9001-a754ffe8b148)) + (pad "62" smd roundrect (at 3.75 10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 115 "SDRAM_A12") (pinfunction "PB31B") (pintype "bidirectional") (tstamp 6ab0df03-3bba-4c66-ae19-73cf336e8ecc)) + (pad "63" smd roundrect (at 4.25 10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 114 "SDRAM_A11") (pinfunction "PB31D") (pintype "bidirectional") (tstamp 511544ba-bbf8-4f33-a977-0fcd63e86ccc)) + (pad "64" smd roundrect (at 4.75 10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 46 "GND") (pinfunction "GND") (pintype "power_in") (tstamp dbac7066-2fdd-4dac-b00e-c1d1e9a2ff24)) + (pad "65" smd roundrect (at 5.25 10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 113 "SDRAM_A9") (pinfunction "PB35A") (pintype "bidirectional") (tstamp 896232b1-e057-4484-b9cd-53ea18de7753)) + (pad "66" smd roundrect (at 5.75 10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 50 "+3V3") (pinfunction "VCCIO2") (pintype "power_in") (tstamp 1fa53ba4-9572-4824-9c7a-49a94b999a8e)) + (pad "67" smd roundrect (at 6.25 10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 112 "SDRAM_A8") (pinfunction "PB35B") (pintype "bidirectional") (tstamp 8e1c7203-9931-4994-8c3c-15dd9e303d95)) + (pad "68" smd roundrect (at 6.75 10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 111 "SDRAM_A7") (pinfunction "PB37A") (pintype "bidirectional") (tstamp 08732a30-5b7f-491c-9f7e-c143a39ece72)) + (pad "69" smd roundrect (at 7.25 10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 110 "SDRAM_A6") (pinfunction "PB37B") (pintype "bidirectional") (tstamp 8797612e-14ed-44eb-a090-2a8aad427753)) + (pad "70" smd roundrect (at 7.75 10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 109 "SDRAM_A5") (pinfunction "PB38A/SN") (pintype "bidirectional") (tstamp a63bc584-eeb6-40c6-8804-6cb35a542b24)) + (pad "71" smd roundrect (at 8.25 10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 108 "SDRAM_A4") (pinfunction "PB38B/SI/SISPI") (pintype "bidirectional") (tstamp 89e5d9c8-0bb3-4443-b8fd-6941fecb950e)) + (pad "72" smd roundrect (at 8.75 10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 50 "+3V3") (pinfunction "VCC") (pintype "power_in") (tstamp 0b7445ea-e103-4e5b-9a00-dd53e991655e)) + (pad "73" smd roundrect (at 10.6625 8.75) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 117 "SDRAM_DQMH") (pinfunction "PR24B") (pintype "bidirectional") (tstamp 0f5e332d-aeaa-4ead-958c-993a39728c19)) + (pad "74" smd roundrect (at 10.6625 8.25) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 83 "SDRAM_DQ8") (pinfunction "PR24A") (pintype "bidirectional") (tstamp e9ceb823-c334-4f2e-8d99-229361de873b)) + (pad "75" smd roundrect (at 10.6625 7.75) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 84 "SDRAM_DQ9") (pinfunction "PR23B") (pintype "bidirectional") (tstamp 323166a4-910a-417b-8c7d-c03feb7ffad4)) + (pad "76" smd roundrect (at 10.6625 7.25) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 85 "SDRAM_DQ10") (pinfunction "PR23A") (pintype "bidirectional") (tstamp eaa51897-930a-4f8d-a8ef-555c39f2a2a9)) + (pad "77" smd roundrect (at 10.6625 6.75) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 86 "SDRAM_DQ11") (pinfunction "PR21B") (pintype "bidirectional") (tstamp c98d36b8-9034-4cc3-842e-e855c4c8d2d2)) + (pad "78" smd roundrect (at 10.6625 6.25) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 87 "SDRAM_DQ12") (pinfunction "PR21A") (pintype "bidirectional") (tstamp 906b5f7a-936d-45b9-9540-4d3934a93429)) + (pad "79" smd roundrect (at 10.6625 5.75) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 50 "+3V3") (pinfunction "VCCIO1") (pintype "power_in") (tstamp c6e0a66c-1a0d-4a98-bcfd-2d9fcc773fcc)) + (pad "80" smd roundrect (at 10.6625 5.25) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 46 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 5b945d17-5db9-4f27-954f-2f26254feeb9)) + (pad "81" smd roundrect (at 10.6625 4.75) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 88 "SDRAM_DQ13") (pinfunction "PR18B") (pintype "bidirectional") (tstamp b59c4726-b377-4e6b-88de-b9168b63598e)) + (pad "82" smd roundrect (at 10.6625 4.25) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 89 "SDRAM_DQ14") (pinfunction "PR18A") (pintype "bidirectional") (tstamp 9b5d8fad-a9d5-4261-94ae-888d349a7fb4)) + (pad "83" smd roundrect (at 10.6625 3.75) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 90 "SDRAM_DQ15") (pinfunction "PR17B") (pintype "bidirectional") (tstamp a2634fce-63a7-464e-949e-676604bb3aff)) + (pad "84" smd roundrect (at 10.6625 3.25) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 103 "SDRAM_A10") (pinfunction "PR17A") (pintype "bidirectional") (tstamp 251dee92-c362-41db-a1bd-8b45e6177357)) + (pad "85" smd roundrect (at 10.6625 2.75) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 104 "SDRAM_A0") (pinfunction "PR16B") (pintype "bidirectional") (tstamp f5a2439b-06cb-41f4-a35c-c0e90d1afb13)) + (pad "86" smd roundrect (at 10.6625 2.25) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 105 "SDRAM_A1") (pinfunction "PR16A") (pintype "bidirectional") (tstamp 076e6292-e03c-4264-8e1a-38fc388ff7ba)) + (pad "87" smd roundrect (at 10.6625 1.75) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 106 "SDRAM_A2") (pinfunction "PR15B") (pintype "bidirectional") (tstamp 0ccea004-159c-4140-b5bd-f9753bf6d9f0)) + (pad "88" smd roundrect (at 10.6625 1.25) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 50 "+3V3") (pinfunction "VCCIO1") (pintype "power_in") (tstamp 3e23ff80-976e-481f-b649-d3b6afc29a05)) + (pad "89" smd roundrect (at 10.6625 0.75) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 107 "SDRAM_A3") (pinfunction "PR15A") (pintype "bidirectional") (tstamp 4afbcab0-8772-4bb7-8c18-21fe06bf2973)) + (pad "90" smd roundrect (at 10.6625 0.25) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 46 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 1f52d044-35a1-4971-a033-158db0c09f89)) + (pad "91" smd roundrect (at 10.6625 -0.25) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 102 "SDRAM_BA1") (pinfunction "PR12B/PCLKC1_0") (pintype "bidirectional") (tstamp 2cd4200f-d3e2-47d7-9e04-6340e4870ffc)) + (pad "92" smd roundrect (at 10.6625 -0.75) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 101 "SDRAM_BA0") (pinfunction "PR12A/PCLKT1_0") (pintype "bidirectional") (tstamp 696fc689-c13d-4a9e-abe6-d97c1df0b026)) + (pad "93" smd roundrect (at 10.6625 -1.25) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 100 "~{SDRAM_CS}") (pinfunction "PR11B") (pintype "bidirectional") (tstamp 44ceed4d-02f1-4589-87bb-12242eec59cf)) + (pad "94" smd roundrect (at 10.6625 -1.75) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 99 "~{SDRAM_RAS}") (pinfunction "PR11A") (pintype "bidirectional") (tstamp fd359357-19b0-4f4e-8f3e-cbd63c2c758b)) + (pad "95" smd roundrect (at 10.6625 -2.25) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 98 "~{SDRAM_CAS}") (pinfunction "PR9B") (pintype "bidirectional") (tstamp 058f45a1-dd8e-4168-b397-fb3e1bc2348f)) + (pad "96" smd roundrect (at 10.6625 -2.75) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 97 "~{SDRAM_WE}") (pinfunction "PR9A") (pintype "bidirectional") (tstamp 60e57f7a-b7c2-462d-8ea4-3cfe65fa213f)) + (pad "97" smd roundrect (at 10.6625 -3.25) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 96 "SDRAM_DQML") (pinfunction "PR7B") (pintype "bidirectional") (tstamp f9a301ba-8e22-467c-a4bf-770701a350e1)) + (pad "98" smd roundrect (at 10.6625 -3.75) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 82 "SDRAM_DQ7") (pinfunction "PR7A") (pintype "bidirectional") (tstamp 87107e8d-4714-46d3-85da-82a3135d91f4)) + (pad "99" smd roundrect (at 10.6625 -4.25) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 81 "SDRAM_DQ6") (pinfunction "PR5B") (pintype "bidirectional") (tstamp ac7bce60-1c51-4a11-8e7a-4d41cdbafddb)) + (pad "100" smd roundrect (at 10.6625 -4.75) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 80 "SDRAM_DQ5") (pinfunction "PR5A") (pintype "bidirectional") (tstamp d0ddeb8e-759f-4e61-939c-35c1d55a0f23)) + (pad "101" smd roundrect (at 10.6625 -5.25) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 46 "GND") (pinfunction "GND") (pintype "power_in") (tstamp a28caf18-fdd6-4a0c-871f-ca6a401f0fb2)) + (pad "102" smd roundrect (at 10.6625 -5.75) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 50 "+3V3") (pinfunction "VCCIO1") (pintype "power_in") (tstamp c3ff307f-501b-4398-bfbe-c3dbcec3c80e)) + (pad "103" smd roundrect (at 10.6625 -6.25) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 79 "SDRAM_DQ4") (pinfunction "PR4C") (pintype "bidirectional") (tstamp df586398-15bb-43ad-9ce4-b6a3c8d718d7)) + (pad "104" smd roundrect (at 10.6625 -6.75) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 78 "SDRAM_DQ3") (pinfunction "PR3B/R_GPLLC_IN") (pintype "bidirectional") (tstamp e9a7f20e-5d66-4602-9dea-2e7c86ca5af1)) + (pad "105" smd roundrect (at 10.6625 -7.25) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 77 "SDRAM_DQ2") (pinfunction "PR3A/R_GPLLT_IN") (pintype "bidirectional") (tstamp 04d40121-7041-4649-b08c-38ac599b43db)) + (pad "106" smd roundrect (at 10.6625 -7.75) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 76 "SDRAM_DQ1") (pinfunction "PR2B/R_GPLLC_FB") (pintype "bidirectional") (tstamp 8a425bc4-2b70-4b54-b386-801fdff7394d)) + (pad "107" smd roundrect (at 10.6625 -8.25) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 75 "SDRAM_DQ0") (pinfunction "PR2A/R_GPLLT_FB") (pintype "bidirectional") (tstamp 64d8d7b7-14ab-4042-9ee8-f1aec24a139c)) + (pad "108" smd roundrect (at 10.6625 -8.75) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 50 "+3V3") (pinfunction "VCC") (pintype "power_in") (tstamp 57349e08-abba-4d14-877b-12ee057b548b)) + (pad "109" smd roundrect (at 8.75 -10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 35 "SD_DAT1") (pinfunction "PT36D/DONE") (pintype "bidirectional") (tstamp e31b0488-ce9c-49e1-a4b9-0f34915571dc)) + (pad "110" smd roundrect (at 8.25 -10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 34 "SD_DAT0") (pinfunction "PT36C/INITN") (pintype "bidirectional") (tstamp d86cf991-97ae-4fd2-a241-76b0dfd1e5f8)) + (pad "111" smd roundrect (at 7.75 -10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 33 "SD_CLK") (pinfunction "PT35B") (pintype "bidirectional") (tstamp 1290b743-65ab-4980-b135-236ff3e100b0)) + (pad "112" smd roundrect (at 7.25 -10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 32 "SD_CMD") (pinfunction "PT35A") (pintype "bidirectional") (tstamp 5d3209c8-1fa2-42e1-89a8-60ec6ab2682a)) + (pad "113" smd roundrect (at 6.75 -10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 31 "SD_DAT3") (pinfunction "PT33B") (pintype "bidirectional") (tstamp 4ccddf64-8097-4c49-bf42-d86353b5f7b0)) + (pad "114" smd roundrect (at 6.25 -10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 30 "SD_DAT2") (pinfunction "PT33A") (pintype "bidirectional") (tstamp 567a84aa-faec-4f5e-b4f6-63f428439809)) + (pad "115" smd roundrect (at 5.75 -10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 36 "SD_DET") (pinfunction "PT28B") (pintype "bidirectional") (tstamp aefc27eb-12fe-4b03-82b8-4f5ea1b48fef)) + (pad "116" smd roundrect (at 5.25 -10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 46 "GND") (pinfunction "GND") (pintype "power_in") (tstamp c3571a90-f3db-4d0f-b074-e6cc898f7921)) + (pad "117" smd roundrect (at 4.75 -10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 95 "MCU_INT") (pinfunction "PT28A") (pintype "bidirectional") (tstamp e420f8e5-ffc8-42a9-9342-9eec085f7288)) + (pad "118" smd roundrect (at 4.25 -10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 50 "+3V3") (pinfunction "VCCIO0") (pintype "power_in") (tstamp f03fc069-cba6-471f-842b-09342e567306)) + (pad "119" smd roundrect (at 3.75 -10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 94 "MCU_MISO") (pinfunction "PT27D/PROGRAMN") (pintype "bidirectional") (tstamp e3433533-3c75-4c09-9fd7-b400a02b8643)) + (pad "120" smd roundrect (at 3.25 -10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 93 "MCU_MOSI") (pinfunction "PT27C/JTAGENB") (pintype "bidirectional") (tstamp 08fac9fb-bd01-4e29-bb0a-a4ebc41404d7)) + (pad "121" smd roundrect (at 2.75 -10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 134 "MCU_SCLK") (pinfunction "PT25B") (pintype "bidirectional") (tstamp c7afafc6-d548-4bba-b86b-59cb1615caf9)) + (pad "122" smd roundrect (at 2.25 -10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 92 "MCU_CS") (pinfunction "PT25A") (pintype "bidirectional") (tstamp a2eb388d-0b35-4968-b572-1fb6a3e2fda5)) + (pad "123" smd roundrect (at 1.75 -10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 50 "+3V3") (pinfunction "VCCIO0") (pintype "power_in") (tstamp 27650710-7c55-4cb4-bd34-c80fcc619774)) + (pad "124" smd roundrect (at 1.25 -10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 46 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 85ab3b9b-c1e5-4710-9414-2f3fc15e90a8)) + (pad "125" smd roundrect (at 0.75 -10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 47 "I2C_SDA") (pinfunction "PT22D/SDA/PCLKC0_0") (pintype "bidirectional") (tstamp 70587800-7a81-4d85-8f29-25c4513540ec)) + (pad "126" smd roundrect (at 0.25 -10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 48 "I2C_SCL") (pinfunction "PT22C/SCL/PCLKT0_0") (pintype "bidirectional") (tstamp 3a0ae96c-df09-4372-b20d-54fddebbdf39)) + (pad "127" smd roundrect (at -0.25 -10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 40 "UART_RX") (pinfunction "PT18B/PCLKC0_1") (pintype "bidirectional") (tstamp 0311fc11-2032-440f-a4be-df1fd105e702)) + (pad "128" smd roundrect (at -0.75 -10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 39 "UART_TX") (pinfunction "PT18A/PCLKT0_1") (pintype "bidirectional") (tstamp 9e08eaf7-a538-49e1-acb3-91e047bc3fd7)) + (pad "129" smd roundrect (at -1.25 -10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 152 "unconnected-(U8-Pad129)") (pinfunction "NC") (pintype "no_connect") (tstamp 5ecc883d-1aa9-4578-8b5c-1384fffb9705)) + (pad "130" smd roundrect (at -1.75 -10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 44 "JTAG_TMS") (pinfunction "PT17D/TMS") (pintype "bidirectional") (tstamp 31722a14-7c0c-48ed-a9ba-b6a2e0a2f3ad)) + (pad "131" smd roundrect (at -2.25 -10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 43 "JTAG_TCK") (pinfunction "PT17C/TCK") (pintype "bidirectional") (tstamp 23b3bf9d-8854-4004-98d8-f0ad17c5a13a)) + (pad "132" smd roundrect (at -2.75 -10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 49 "RTC_MFP") (pinfunction "PT15B") (pintype "bidirectional") (tstamp ae32f0d6-28f3-4cba-a889-c8c4f4e4b03b)) + (pad "133" smd roundrect (at -3.25 -10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 122 "LED") (pinfunction "PT15A") (pintype "bidirectional") (tstamp 4beafc0d-b0ab-4186-951b-fd14bb9f83b5)) + (pad "134" smd roundrect (at -3.75 -10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 46 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 5d2c038b-6646-4fcb-986f-765218b65ea0)) + (pad "135" smd roundrect (at -4.25 -10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 50 "+3V3") (pinfunction "VCCIO0") (pintype "power_in") (tstamp 0be98f3e-b1de-48aa-bf2f-b705e6291adc)) + (pad "136" smd roundrect (at -4.75 -10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 42 "JTAG_TDI") (pinfunction "PT14D/TDI") (pintype "bidirectional") (tstamp 3f36ae2b-271c-4042-baa2-71f36dd4a92c)) + (pad "137" smd roundrect (at -5.25 -10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 41 "JTAG_TDO") (pinfunction "PT14C/TDO") (pintype "bidirectional") (tstamp 0737a056-888e-4abf-8e90-747fcb02ac5b)) + (pad "138" smd roundrect (at -5.75 -10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 53 "FLASH_CS") (pinfunction "PT11B") (pintype "bidirectional") (tstamp 5a81c55e-ac9f-41e3-b747-46a9bd8d0814)) + (pad "139" smd roundrect (at -6.25 -10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 54 "FLASH_IO1") (pinfunction "PT11A") (pintype "bidirectional") (tstamp 82393777-34e1-4bbb-88d1-89e4aa816583)) + (pad "140" smd roundrect (at -6.75 -10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 55 "FLASH_IO2") (pinfunction "PT10B") (pintype "bidirectional") (tstamp 8af47142-29dc-40d4-aa7a-27ae26471a34)) + (pad "141" smd roundrect (at -7.25 -10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 56 "FLASH_IO0") (pinfunction "PT10A") (pintype "bidirectional") (tstamp 024aec87-418d-43e2-982b-d40b3ec576b4)) + (pad "142" smd roundrect (at -7.75 -10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 57 "FLASH_CLK") (pinfunction "PT9B") (pintype "bidirectional") (tstamp 82379ead-3508-4425-94ba-5e4ce4df8beb)) + (pad "143" smd roundrect (at -8.25 -10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 58 "FLASH_IO3") (pinfunction "PT9A") (pintype "bidirectional") (tstamp d6a7f71a-4da6-4997-9a3d-af77c0308253)) + (pad "144" smd roundrect (at -8.75 -10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 50 "+3V3") (pinfunction "VCC") (pintype "power_in") (tstamp c1dbaaae-23f6-44fb-bee9-acc7bb52b326)) + (model "${KICAD6_3DMODEL_DIR}/Package_QFP.3dshapes/TQFP-144_20x20mm_P0.5mm.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "MountingHole:MountingHole_2mm" (layer "F.Cu") + (tedit 5B924920) (tstamp 6cd7230b-6aa4-494f-9e03-693973367cf3) + (at 197.5 128.25) + (descr "Mounting Hole 2mm, no annular") + (tags "mounting hole 2mm no annular") + (attr board_only exclude_from_pos_files exclude_from_bom) + (fp_text reference "REF**" (at 0 -3.2) (layer "F.SilkS") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 61c538ce-5749-4db0-a518-f60c5cd03cbc) + ) + (fp_text value "MountingHole_2mm" (at 0 3.1) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 65737210-ed42-4840-8807-1cd452e30c86) + ) + (fp_text user "${REFERENCE}" (at 0.3 0) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 09ee5f74-4e72-4f06-9b8e-4b6a989a0a48) + ) + (fp_circle (center 0 0) (end 2 0) (layer "Cmts.User") (width 0.15) (fill none) (tstamp 6ada18a1-cda2-4660-90f3-3f4f75f9908c)) + (fp_circle (center 0 0) (end 2.25 0) (layer "F.CrtYd") (width 0.05) (fill none) (tstamp c591474d-238d-4c84-a612-ee496f305afe)) + (pad "" np_thru_hole circle locked (at 0 0) (size 2 2) (drill 2) (layers *.Cu *.Mask) (tstamp c7193e35-dceb-447c-ad59-0ec8e4b4e718)) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric" (layer "F.Cu") + (tedit 5F68FEEE) (tstamp 6d6fb611-3435-4118-8e61-8c83f482fcbd) + (at 110 94.75 180) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (property "Sheetfile" "sc64v2.kicad_sch") + (property "Sheetname" "") + (path "/7ed06213-5fec-4fc2-a07b-97a7e844b4e4") + (attr smd) + (fp_text reference "R5" (at -2.75 0) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp d9c7062b-0043-42cf-b719-182da019b215) + ) + (fp_text value "5.1k" (at 0 1.43) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 891a7310-b287-43d4-b3fa-a428a1085e23) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp cccfbf96-16fd-4c63-b468-a5cf6a148237) + ) + (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) (layer "F.SilkS") (width 0.12) (tstamp 355a5374-52bb-4516-97c9-35efdb011768)) + (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) (layer "F.SilkS") (width 0.12) (tstamp 6537a54e-695b-4a10-bd14-465a9c474eee)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 1be62a73-5d71-4fce-b7dd-6b3adf438bf3)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 278bc3ba-8365-4b6d-9d6f-cabc924203d9)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp b07ccf35-7dda-4206-8bfc-81ec1a501de5)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp b419fccd-2d72-48c6-898b-54ef55e9a1b8)) + (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp 45819d4e-81e5-4bc4-80b9-41dd79211dd3)) + (fp_line (start 0.8 0.4125) (end -0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp 65e881c9-cff5-4351-bedc-d2443c06b38b)) + (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp 8e96603a-cd07-4880-a559-f6b33bd58ecb)) + (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp e5fcf9b9-52b1-424d-8b08-6bd97f8b50cd)) + (pad "1" smd roundrect (at -0.825 0 180) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 46 "GND") (pintype "passive") (tstamp f189c077-879c-4cf8-a29d-e909505d5303)) + (pad "2" smd roundrect (at 0.825 0 180) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 139 "Net-(J1-PadB5)") (pintype "passive") (tstamp 14393f11-bb47-450f-afe5-51f357cf8f67)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric" (layer "F.Cu") + (tedit 5F68FEEE) (tstamp 7737bf4d-b704-4040-93a0-f5c7a4e38617) + (at 110 89.75 180) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (property "Sheetfile" "sc64v2.kicad_sch") + (property "Sheetname" "") + (path "/9676acb4-ecdb-4bb9-8697-bbf28b479ff0") + (attr smd) + (fp_text reference "R4" (at -2.75 0) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 6af7bb84-edbe-486e-a3f6-0eb8ed9bea83) + ) + (fp_text value "5.1k" (at 0 1.43) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp fa6060fd-bd14-4094-a2ef-6a12bd7cb07b) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp d89288cc-d1e5-48cd-98ad-c4d1f6512a96) + ) + (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) (layer "F.SilkS") (width 0.12) (tstamp 03b70f01-821b-4f61-b0e2-d07a9945b4c8)) + (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) (layer "F.SilkS") (width 0.12) (tstamp 5515244b-010d-4643-b4a2-f2a0dc79c334)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 0a019d10-e2ed-48d3-8649-0881d6410b8d)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 7794a03d-12f8-4efd-aeeb-68a31ff2323c)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 967f5702-0d3c-45e8-ba2c-93d72f8ee708)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp fa920425-f0e9-4f5b-8f68-a8f0aee503f6)) + (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp 8d080573-9194-4f86-821a-334c5616686d)) + (fp_line (start 0.8 0.4125) (end -0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp b8b8401a-5d48-4e70-a6b0-c159cd669d3c)) + (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp d86eac90-738d-494b-b6f4-c6dc6ee093c9)) + (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp f577d95f-9608-49a9-a54e-86772ec751b5)) + (pad "1" smd roundrect (at -0.825 0 180) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 46 "GND") (pintype "passive") (tstamp f78b1322-cb57-4987-b36f-93e82026a55e)) + (pad "2" smd roundrect (at 0.825 0 180) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 137 "Net-(J1-PadA5)") (pintype "passive") (tstamp 5698405e-1abc-4ae4-93b1-53b67db3448c)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric" (layer "F.Cu") + (tedit 5F68FEEE) (tstamp 782db0de-547e-4ce8-a130-fa3400f8a1f7) + (at 131.5 114.25 90) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (property "Sheetfile" "sc64v2.kicad_sch") + (property "Sheetname" "") + (path "/0c635b0d-75d2-43fe-aa37-07274a83e016") + (attr smd) + (fp_text reference "R6" (at -2.75 0 90) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp aeeea69e-6e13-441b-8bc4-c00b8dc62384) + ) + (fp_text value "12k" (at 0 1.43 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 0d523222-55a6-4afc-a937-aca3c55637d0) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 79a49fd9-88bc-42bd-bb86-8c531635598d) + ) + (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) (layer "F.SilkS") (width 0.12) (tstamp 01874b97-ee3d-4c41-995e-692c2310d8c3)) + (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) (layer "F.SilkS") (width 0.12) (tstamp 56d80a1c-49fc-45e1-a416-5b348e52ae8c)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 06de90f2-8ae7-4cc2-81e2-cf0806d709ef)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 0bad396c-6d3d-45a6-8b97-0b118cc79baa)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 3bd3db14-873d-400d-acc0-0eaa85a000dd)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 6a228bde-3b50-41b5-8e32-6b095aa0566e)) + (fp_line (start 0.8 0.4125) (end -0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp 0ecc180a-df35-4367-aa73-dd3a180bbc60)) + (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp 3e5e0b3e-a0b2-4657-a554-f4a9e820e4de)) + (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp 8e814dbb-2a41-4e84-adbf-aaaa4878e26b)) + (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp 9ca7102d-95b1-424f-bf6b-9a45e0121eb3)) + (pad "1" smd roundrect (at -0.825 0 90) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 46 "GND") (pintype "passive") (tstamp 0b095846-5cf8-4882-aeab-836190192693)) + (pad "2" smd roundrect (at 0.825 0 90) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 161 "Net-(R6-Pad2)") (pintype "passive") (tstamp 0115bbe8-68cc-4a01-9f90-ca5980bff65f)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "F.Cu") + (tedit 5F68FEEE) (tstamp 7a2e6d83-1798-4363-b04b-61b376b0debb) + (at 122.5 103 180) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Sheetfile" "sc64v2.kicad_sch") + (property "Sheetname" "") + (path "/9b5d4a16-c0ac-4bd5-96ac-9f9edadfcd60") + (attr smd) + (fp_text reference "C16" (at 3.25 0) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 001e33d8-d2fc-4694-9594-8c48104b147c) + ) + (fp_text value "100nF" (at 0 1.43) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 730ac5a0-0d8d-497c-983e-e171e3203265) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 838027ed-7964-4749-a7d7-2e277531af40) + ) + (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "F.SilkS") (width 0.12) (tstamp e8631461-9815-42c8-80b1-a1670950eb29)) + (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "F.SilkS") (width 0.12) (tstamp ebbfdaf0-f25c-456b-b619-671351faa3ae)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 10ee5bf3-ce56-4cc5-9fb1-b67362edb676)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 5bf74d77-739e-487a-b613-85e0d77c4079)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp aa2b9cb1-1478-47a5-a391-d5f47050c973)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp bdd1bd3b-cbb0-4d45-9370-fcb95028c774)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 0f557cfb-60db-405e-85c1-509fb73c9222)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 6b38bd00-399f-473f-a9d5-946214912026)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp c2685ab2-dbd3-4e73-bf16-e8f0a5546e34)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp ec8f11ea-8197-4689-9ca9-942188fcc389)) + (pad "1" smd roundrect (at -0.775 0 180) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 46 "GND") (pintype "passive") (tstamp 042fac6a-1863-41b4-be68-0891667ad065)) + (pad "2" smd roundrect (at 0.775 0 180) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 50 "+3V3") (pintype "passive") (tstamp 4811d090-9de1-4a5d-9f2c-c5640f7c0422)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Package_SO:TSSOP-8_4.4x3mm_P0.65mm" (layer "F.Cu") + (tedit 5E476F32) (tstamp 7a80a7ec-90a6-448d-a238-3adf9e4be823) + (at 110 114) + (descr "TSSOP, 8 Pin (JEDEC MO-153 Var AA https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py") + (tags "TSSOP SO") + (property "Sheetfile" "sc64v2.kicad_sch") + (property "Sheetname" "") + (path "/11199015-56cf-4f32-a080-c15518c28d0f") + (attr smd) + (fp_text reference "U2" (at 0 -2.45) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp a21a8665-6645-4322-8830-ca35db372d6f) + ) + (fp_text value "TPS2111A" (at 0 2.45) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 81bab02b-4554-4d9e-bdf1-97e1a5108af7) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 3cbeafde-ede4-4686-8198-e2fee94ad726) + ) + (fp_line (start 0 1.61) (end -2.2 1.61) (layer "F.SilkS") (width 0.12) (tstamp 22d6ef43-f327-4cb4-9a52-41ddf75a39fb)) + (fp_line (start 0 1.61) (end 2.2 1.61) (layer "F.SilkS") (width 0.12) (tstamp 683c9e05-7c74-43f2-acd1-e03e47711e44)) + (fp_line (start 0 -1.61) (end 2.2 -1.61) (layer "F.SilkS") (width 0.12) (tstamp 8fea208a-c95b-4af1-8cfb-fa9fd7a4ea41)) + (fp_line (start 0 -1.61) (end -3.6 -1.61) (layer "F.SilkS") (width 0.12) (tstamp c612b3d0-befe-47cc-b9f6-44e8f7ff8ddf)) + (fp_line (start -3.85 -1.75) (end -3.85 1.75) (layer "F.CrtYd") (width 0.05) (tstamp 233c8737-295a-45a4-9db1-8efbb83b714d)) + (fp_line (start 3.85 -1.75) (end -3.85 -1.75) (layer "F.CrtYd") (width 0.05) (tstamp 9856cb61-ec19-4b27-a135-f0af4bf8da27)) + (fp_line (start -3.85 1.75) (end 3.85 1.75) (layer "F.CrtYd") (width 0.05) (tstamp e1cf6308-1e4e-4f1f-b65d-f72bf092e122)) + (fp_line (start 3.85 1.75) (end 3.85 -1.75) (layer "F.CrtYd") (width 0.05) (tstamp fff0627a-c2d0-4872-88fd-fc7d41e69170)) + (fp_line (start 2.2 -1.5) (end 2.2 1.5) (layer "F.Fab") (width 0.1) (tstamp 145450f2-0abe-4f2d-996e-9bd843cf8fcb)) + (fp_line (start 2.2 1.5) (end -2.2 1.5) (layer "F.Fab") (width 0.1) (tstamp 6a9f09f6-cb3e-49fa-9acf-6c48e7f16f0e)) + (fp_line (start -2.2 1.5) (end -2.2 -0.75) (layer "F.Fab") (width 0.1) (tstamp 866ec4c9-be51-4a69-bb9b-6d22adc0b61a)) + (fp_line (start -2.2 -0.75) (end -1.45 -1.5) (layer "F.Fab") (width 0.1) (tstamp d8613945-2287-4e6d-9f3d-acb979232158)) + (fp_line (start -1.45 -1.5) (end 2.2 -1.5) (layer "F.Fab") (width 0.1) (tstamp df26e782-be0c-417d-a40c-86daee561c39)) + (pad "1" smd roundrect (at -2.8625 -0.975) (size 1.475 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 135 "unconnected-(U2-Pad1)") (pinfunction "D0") (pintype "input+no_connect") (tstamp 488a18d9-635d-48b8-9b42-d70edcb6a05e)) + (pad "2" smd roundrect (at -2.8625 -0.325) (size 1.475 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 46 "GND") (pinfunction "D1") (pintype "input") (tstamp 7b383491-f576-4799-b6f2-d4cefc837494)) + (pad "3" smd roundrect (at -2.8625 0.325) (size 1.475 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 141 "Net-(R1-Pad2)") (pinfunction "VSNS") (pintype "input") (tstamp 6874eca7-c91d-456c-a3df-793eaf422b0b)) + (pad "4" smd roundrect (at -2.8625 0.975) (size 1.475 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 142 "Net-(R3-Pad1)") (pinfunction "ILM") (pintype "input") (tstamp 6fdcaf87-8338-4b72-82a4-2b3943f7eb40)) + (pad "5" smd roundrect (at 2.8625 0.975) (size 1.475 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 46 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 2caec8e2-d35d-4e35-973b-467fb5c8d8a5)) + (pad "6" smd roundrect (at 2.8625 0.325) (size 1.475 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 51 "N64_3V3") (pinfunction "IN2") (pintype "power_in") (tstamp 9ff22c74-dbfe-4d98-abfa-1f5db5f8eaf9)) + (pad "7" smd roundrect (at 2.8625 -0.325) (size 1.475 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 50 "+3V3") (pinfunction "OUT") (pintype "power_out") (tstamp 0621ad71-3b44-4af2-b215-f732d38285ef)) + (pad "8" smd roundrect (at 2.8625 -0.975) (size 1.475 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 136 "Net-(C3-Pad1)") (pinfunction "IN1") (pintype "power_in") (tstamp b0ac79b8-a7cb-4eb8-bce2-3ba8be9136e3)) + (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/TSSOP-8_4.4x3mm_P0.65mm.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "TestPoint:TestPoint_Pad_D1.5mm" (layer "F.Cu") + (tedit 5A0F774F) (tstamp 8079120a-6a0b-4692-9252-2a72c6e5d144) + (at 141 110) + (descr "SMD pad as test Point, diameter 1.5mm") + (tags "test point SMD pad") + (property "Sheetfile" "sc64v2.kicad_sch") + (property "Sheetname" "") + (property "exclude_from_bom" "") + (path "/fd9f5719-35a0-432f-9a74-8007f2818ce9") + (attr exclude_from_pos_files exclude_from_bom) + (fp_text reference "TP1" (at 3 0) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 09a9be5c-e70c-48a2-85e3-f415320a9ec4) + ) + (fp_text value "TestPoint" (at 0 1.75) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp dce1b0f7-f3ce-42a3-aa34-f5418ea16911) + ) + (fp_text user "${REFERENCE}" (at 0 -1.65) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp beca083b-6c14-4b1e-877d-bb541cd1ea3d) + ) + (fp_circle (center 0 0) (end 0 0.95) (layer "F.SilkS") (width 0.12) (fill none) (tstamp 9a10553f-259c-4fdd-9a37-6477312d1262)) + (fp_circle (center 0 0) (end 1.25 0) (layer "F.CrtYd") (width 0.05) (fill none) (tstamp cb92eb2b-a215-4d2f-8fbd-67f2c77e876d)) + (pad "1" smd circle (at 0 0) (size 1.5 1.5) (layers "F.Cu" "F.Mask") + (net 123 "TEST1") (pinfunction "1") (pintype "passive") (tstamp 498fa3fc-1a58-4235-b701-b903c311e3f6)) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric" (layer "F.Cu") + (tedit 5F68FEEE) (tstamp 8873c50a-2ec1-41d5-85b7-3206b0a14f01) + (at 122.5 97.75) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (property "Sheetfile" "sc64v2.kicad_sch") + (property "Sheetname" "") + (path "/53a3914e-49ab-47c6-9e8c-471b61f99f60") + (attr smd) + (fp_text reference "R7" (at -2.75 0) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp d36cf853-6bef-470e-8f16-9aa688984ba5) + ) + (fp_text value "12k" (at 0 1.43) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 703e5405-7f14-4cae-9f49-2fbd65ca9287) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 102cd2cd-6974-42bd-afb7-2081d62c282e) + ) + (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) (layer "F.SilkS") (width 0.12) (tstamp 3c315f69-cfdc-4e80-8a04-d95d72c2359d)) + (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) (layer "F.SilkS") (width 0.12) (tstamp 635fa66e-b8c2-4f67-bf1b-2f0bddd968ea)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 0b261f0a-6a62-48b0-b8b7-465fce8d7d08)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 7c1d02ba-7d8f-4ee5-8f86-683087780e8e)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp ba74709d-e7cb-4d5d-9a5d-9935a2bb51d2)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp f0be5c83-b5a8-4cc9-ab4a-2a7f9edb301e)) + (fp_line (start 0.8 0.4125) (end -0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp 0c043ff0-461c-4fbb-a8d4-0ac0a8ef9022)) + (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp 13b37f92-e902-43d6-8912-be6f8aadc8f1)) + (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp 3d38c5b7-8077-457d-8a7e-ad38e035ce34)) + (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp d9691803-77e0-40d5-b8e2-1db2c95e9c29)) + (pad "1" smd roundrect (at -0.825 0) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 50 "+3V3") (pintype "passive") (tstamp f4828636-41c1-4f0a-91e5-b2494f0986eb)) + (pad "2" smd roundrect (at 0.825 0) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 162 "Net-(R7-Pad2)") (pintype "passive") (tstamp 262ecef5-292b-4a37-9a31-8e4cffaec9dd)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Connector_PinHeader_2.54mm:PinHeader_1x03_P2.54mm_Vertical" (layer "F.Cu") + (tedit 59FED5CC) (tstamp 88ff81f2-a37c-4904-acad-09c6ccb6e0cc) + (at 125 82.225) + (descr "Through hole straight pin header, 1x03, 2.54mm pitch, single row") + (tags "Through hole pin header THT 1x03 2.54mm single row") + (property "Sheetfile" "sc64v2.kicad_sch") + (property "Sheetname" "") + (path "/18505a27-e048-4c99-939f-13bbdf43eb7b") + (attr through_hole) + (fp_text reference "J2" (at 0 7.525) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 5bbc58b1-1ec8-497e-b99a-0db817e3d77c) + ) + (fp_text value "Conn_01x03_Male" (at 0 7.41) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 7811af3b-9c94-470a-8757-e28d2b3bc1b3) + ) + (fp_text user "${REFERENCE}" (at 0 2.54 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 9bc5e88e-5aa7-4e35-a352-e15be3044ca1) + ) + (fp_line (start 1.33 1.27) (end 1.33 6.41) (layer "F.SilkS") (width 0.12) (tstamp 01108b60-a0b4-4105-ae2b-f44252c02da0)) + (fp_line (start -1.33 6.41) (end 1.33 6.41) (layer "F.SilkS") (width 0.12) (tstamp 10b6f5b8-7e42-4eae-9b53-a1b0b06dcd09)) + (fp_line (start -1.33 0) (end -1.33 -1.33) (layer "F.SilkS") (width 0.12) (tstamp 21347f51-7012-456d-9bc6-f659cad3f18a)) + (fp_line (start -1.33 1.27) (end 1.33 1.27) (layer "F.SilkS") (width 0.12) (tstamp 35065e2b-13a2-4e5d-aa4b-f93bcbcd3b52)) + (fp_line (start -1.33 -1.33) (end 0 -1.33) (layer "F.SilkS") (width 0.12) (tstamp 9a2e71b9-50d8-4a3f-83a2-82b92d051411)) + (fp_line (start -1.33 1.27) (end -1.33 6.41) (layer "F.SilkS") (width 0.12) (tstamp e4c94ef7-86c0-4266-8ab6-05561456477c)) + (fp_line (start -1.8 6.85) (end 1.8 6.85) (layer "F.CrtYd") (width 0.05) (tstamp 1d3e0d85-2a8c-4623-bd14-c479925562dd)) + (fp_line (start 1.8 6.85) (end 1.8 -1.8) (layer "F.CrtYd") (width 0.05) (tstamp 8277ce76-30d4-4f98-8298-e2851a9260f3)) + (fp_line (start 1.8 -1.8) (end -1.8 -1.8) (layer "F.CrtYd") (width 0.05) (tstamp e75389cb-6756-4938-b448-4ebe31017553)) + (fp_line (start -1.8 -1.8) (end -1.8 6.85) (layer "F.CrtYd") (width 0.05) (tstamp f0266147-e83b-4bd3-9dc3-5cc1d6f1e989)) + (fp_line (start 1.27 -1.27) (end 1.27 6.35) (layer "F.Fab") (width 0.1) (tstamp 2793c26d-5f32-48db-b6f4-582dd5eaf125)) + (fp_line (start -1.27 6.35) (end -1.27 -0.635) (layer "F.Fab") (width 0.1) (tstamp 9af16145-61fd-4aa8-a6bc-be6258aee7a1)) + (fp_line (start -1.27 -0.635) (end -0.635 -1.27) (layer "F.Fab") (width 0.1) (tstamp a0a5bf8b-b134-4f0b-afda-ef8ff32767f1)) + (fp_line (start 1.27 6.35) (end -1.27 6.35) (layer "F.Fab") (width 0.1) (tstamp b28790b3-13e9-4274-9cf9-1f8884ffd7a8)) + (fp_line (start -0.635 -1.27) (end 1.27 -1.27) (layer "F.Fab") (width 0.1) (tstamp d3856a83-3796-47b0-b3bf-1ec48e0d98c5)) + (pad "1" thru_hole rect (at 0 0) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 46 "GND") (pinfunction "Pin_1") (pintype "passive") (tstamp 531a98d1-805f-4101-a58f-ab271bb4eaea)) + (pad "2" thru_hole oval (at 0 2.54) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 40 "UART_RX") (pinfunction "Pin_2") (pintype "passive") (tstamp c612628c-42a7-4955-9826-0a5276868d11)) + (pad "3" thru_hole oval (at 0 5.08) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 39 "UART_TX") (pinfunction "Pin_3") (pintype "passive") (tstamp 362a131d-87bc-414b-8ad1-7ab39f833e8c)) + (model "${KICAD6_3DMODEL_DIR}/Connector_PinHeader_2.54mm.3dshapes/PinHeader_1x03_P2.54mm_Vertical.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Crystal:Crystal_SMD_3215-2Pin_3.2x1.5mm" (layer "F.Cu") + (tedit 5A0FD1B2) (tstamp 8a80658e-7443-4405-bf8e-41ad9202c0ab) + (at 169.5 84.1 90) + (descr "SMD Crystal FC-135 https://support.epson.biz/td/api/doc_check.php?dl=brief_FC-135R_en.pdf") + (tags "SMD SMT Crystal") + (property "Sheetfile" "sc64v2.kicad_sch") + (property "Sheetname" "") + (path "/c229caf9-bd8e-4df4-8266-2d39e81a3f5d") + (attr smd) + (fp_text reference "Y1" (at 0 2.25 180) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 91125ed1-04ac-414b-89bd-9ef46367e239) + ) + (fp_text value "FC-135" (at 0.05 2 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 6e2f7fa6-1ee9-4775-917f-ada02dc13bcd) + ) + (fp_text user "${REFERENCE}" (at 0 -2 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 1108f7d7-1300-4e64-9d0c-b460edb02c0e) + ) + (fp_line (start -0.675 0.875) (end 0.675 0.875) (layer "F.SilkS") (width 0.12) (tstamp 045e2b02-bbb9-4128-b50f-816a961b17ef)) + (fp_line (start -0.675 -0.875) (end 0.675 -0.875) (layer "F.SilkS") (width 0.12) (tstamp fd0c6a70-4754-40da-b8db-cbc81b3ceeb4)) + (fp_line (start -2 -1.15) (end -2 1.15) (layer "F.CrtYd") (width 0.05) (tstamp 694a41fe-e775-441c-bcd9-127b58faffa2)) + (fp_line (start -2 -1.15) (end 2 -1.15) (layer "F.CrtYd") (width 0.05) (tstamp b80aa845-c1c7-4a36-86eb-13202c5b8807)) + (fp_line (start -2 1.15) (end 2 1.15) (layer "F.CrtYd") (width 0.05) (tstamp d577f635-837f-4cd5-b539-f043f68e5a8d)) + (fp_line (start 2 -1.15) (end 2 1.15) (layer "F.CrtYd") (width 0.05) (tstamp d86ee7d3-b7d0-400c-a7d2-6d9a947e3d7b)) + (fp_line (start 1.6 -0.75) (end 1.6 0.75) (layer "F.Fab") (width 0.1) (tstamp 39b77ad4-840a-4880-8672-f09699d06495)) + (fp_line (start -1.6 0.75) (end 1.6 0.75) (layer "F.Fab") (width 0.1) (tstamp 61c5e7b9-ec75-459b-8f55-aa6dcdc47663)) + (fp_line (start -1.6 -0.75) (end 1.6 -0.75) (layer "F.Fab") (width 0.1) (tstamp ccf65e24-b980-469f-8862-e397985c8f5a)) + (fp_line (start -1.6 -0.75) (end -1.6 0.75) (layer "F.Fab") (width 0.1) (tstamp e17afcb0-49dd-4f12-a913-1d8e2e4c5b94)) + (pad "1" smd rect locked (at 1.25 0 90) (size 1 1.8) (layers "F.Cu" "F.Paste" "F.Mask") + (net 158 "Net-(C21-Pad1)") (pinfunction "1") (pintype "passive") (tstamp ffed2abe-19c1-484a-85f6-c11ad414bcd4)) + (pad "2" smd rect locked (at -1.25 0 90) (size 1 1.8) (layers "F.Cu" "F.Paste" "F.Mask") + (net 159 "Net-(C22-Pad2)") (pinfunction "2") (pintype "passive") (tstamp c50e5885-8a58-4ee4-a5e7-bcd8f4b418f2)) + (model "${KICAD6_3DMODEL_DIR}/Crystal.3dshapes/Crystal_SMD_3215-2Pin_3.2x1.5mm.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + (model "${KICAD6_3DMODEL_DIR}/Crystal.3dshapes/Crystal_SMD_MicroCrystal_CC7V-T1A-2Pin_3.2x1.5mm.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "TestPoint:TestPoint_Pad_D1.5mm" (layer "F.Cu") + (tedit 5A0F774F) (tstamp 90298e9e-3b06-4854-a2c7-5bea10b3fa68) + (at 141 113) + (descr "SMD pad as test Point, diameter 1.5mm") + (tags "test point SMD pad") + (property "Sheetfile" "sc64v2.kicad_sch") + (property "Sheetname" "") + (property "exclude_from_bom" "") + (path "/dc408f8d-04af-4d73-a1d2-90b29f263566") + (attr exclude_from_pos_files exclude_from_bom) + (fp_text reference "TP2" (at 3 0) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp a289a3b7-705d-4002-bb91-8d9212e90da7) + ) + (fp_text value "TestPoint" (at 0 1.75) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 85af37ce-5b9e-4687-a77c-7c91f57e8633) + ) + (fp_text user "${REFERENCE}" (at 0 -1.65) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp cdeb183d-03ed-4334-82ba-8b5ca29564c5) + ) + (fp_circle (center 0 0) (end 0 0.95) (layer "F.SilkS") (width 0.12) (fill none) (tstamp bcee6173-22b2-4630-9f74-a9e3887b8ff2)) + (fp_circle (center 0 0) (end 1.25 0) (layer "F.CrtYd") (width 0.05) (fill none) (tstamp 3703ee47-8549-4047-ae54-f351dd7ce1b3)) + (pad "1" smd circle (at 0 0) (size 1.5 1.5) (layers "F.Cu" "F.Mask") + (net 124 "TEST2") (pinfunction "1") (pintype "passive") (tstamp b5ea958d-0cfd-4df9-ac20-3edbdc47b6ee)) + ) + + (footprint "sc64v2:N64_Edge" locked (layer "F.Cu") + (tedit 6213F4C6) (tstamp 961e37cd-505c-40aa-baef-0a680d665d8f) + (at 150 125) + (property "Sheetfile" "sc64v2.kicad_sch") + (property "Sheetname" "") + (property "exclude_from_bom" "") + (path "/0ccace5b-45a7-46eb-82b5-c5e6d2488545") + (attr exclude_from_bom) + (fp_text reference "J_N1" (at -30 -1.75 unlocked) (layer "F.SilkS") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp f0efa3ea-26e1-4220-ae1d-41709ae949ef) + ) + (fp_text value "N64_Cartridge" (at -30 14 unlocked) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 7dfa6a3a-4e9d-4576-ba16-f19bf4781a79) + ) + (fp_text user "10" (at 7.5 1.25 unlocked) (layer "B.SilkS") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 02a96c24-0d82-47eb-873e-8d40348f697a) + ) + (fp_text user "FRONT" (at 13.75 1.25 unlocked) (layer "B.SilkS") + (effects (font (size 2 2) (thickness 0.15)) (justify mirror)) + (tstamp 1c391ca7-e610-404c-bdff-cd84da814875) + ) + (fp_text user "5" (at 20 1.25 unlocked) (layer "B.SilkS") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 29d37ced-1693-4fce-a991-b508060f0dfc) + ) + (fp_text user "1" (at 30 1.25 unlocked) (layer "B.SilkS") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 3804fc39-2d72-4f7a-9dd6-f57f608400a5) + ) + (fp_text user "20" (at -17.5 1.25 unlocked) (layer "B.SilkS") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp df917ce8-76f2-4592-b9d9-a6899cc26e5e) + ) + (fp_text user "15" (at -5 1.25 unlocked) (layer "B.SilkS") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp e2b3c6db-26a0-4a0f-91e3-f3850153add5) + ) + (fp_text user "25" (at -30 1.25 unlocked) (layer "B.SilkS") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp e9ea92b8-5fc3-45d6-8060-cc143ae0add8) + ) + (fp_text user "50" (at -30 1.25 unlocked) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 0d03cc43-b3c0-44e3-b277-ab5798119472) + ) + (fp_text user "45" (at -17.5 1.25 unlocked) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 6483a61d-b10a-47a8-8abb-aca573d14ee8) + ) + (fp_text user "30" (at 20 1.25 unlocked) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 666b891b-b018-4831-bf52-1949ea9940bc) + ) + (fp_text user "26" (at 30 1.25 unlocked) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 82a93119-2d30-45bb-a052-4a4952078f0b) + ) + (fp_text user "40" (at -5 1.25 unlocked) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 85b192e9-1296-47df-8a8b-8a83605903f9) + ) + (fp_text user "BACK" (at -11.25 1.25 unlocked) (layer "F.SilkS") + (effects (font (size 2 2) (thickness 0.15))) + (tstamp 87b77cd9-8a80-463a-8114-083d84bc0705) + ) + (fp_text user "35" (at 7.5 1.25 unlocked) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp c066cd7d-8290-4a60-bad8-b6ca2a3e6034) + ) + (fp_text user "${REFERENCE}" (at -30 12 unlocked) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp e29fbd41-23d7-49ea-bb95-609e3ee10525) + ) + (fp_poly (pts + (xy 32.25 9.5) + (xy 31.25 10.5) + (xy -31.25 10.5) + (xy -32.25 9.5) + (xy -32.25 3.5) + (xy 32.25 3.5) + ) (layer "B.Mask") (width 0.12) (fill solid) (tstamp f71ffbfe-7487-46f7-9787-7603011b3ed0)) + (fp_poly (pts + (xy 32.25 9.5) + (xy 31.25 10.5) + (xy -31.25 10.5) + (xy -32.25 9.5) + (xy -32.25 3.5) + (xy 32.25 3.5) + ) (layer "F.Mask") (width 0.12) (fill solid) (tstamp 1f797175-97b5-4fed-b82b-5985f9063700)) + (fp_line (start -32.25 9.5) (end -31.25 10.5) (layer "Edge.Cuts") (width 0.1) (tstamp 0bb2bec8-60b9-4621-862e-dd28e83eac02)) + (fp_line (start 31.25 10.5) (end 32.25 9.5) (layer "Edge.Cuts") (width 0.1) (tstamp 2ab0cdef-3157-4105-946f-bd107ad3a90b)) + (fp_line (start 0 10.5) (end 31.25 10.5) (layer "Edge.Cuts") (width 0.1) (tstamp 6ec197e0-b2d8-430a-861c-b9262679c80a)) + (fp_line (start 32.25 9.5) (end 32.25 0) (layer "Edge.Cuts") (width 0.1) (tstamp 86f9672f-2972-4389-8803-0beec1f46b13)) + (fp_line (start -32.25 9.5) (end -32.25 0) (layer "Edge.Cuts") (width 0.1) (tstamp a6c62207-e47b-4cb7-87a4-29e345d3d7b5)) + (fp_line (start 0 10.5) (end -31.25 10.5) (layer "Edge.Cuts") (width 0.1) (tstamp ae8b6961-8107-40d3-955d-29b1e559f30e)) + (pad "1" connect roundrect locked (at 30 6) (size 1.5 7) (layers "B.Cu") (roundrect_rratio 0.03333333333) + (net 46 "GND") (pinfunction "GND") (pintype "power_out") (tstamp 5d4cd7a9-9d01-410d-9083-4554f6297a52)) + (pad "2" connect roundrect locked (at 27.5 6) (size 1.5 7) (layers "B.Cu") (roundrect_rratio 0.03333333333) + (net 46 "GND") (pinfunction "GND") (pintype "power_out") (tstamp d3531ad3-ea7d-429d-956e-ff3da633d95c)) + (pad "3" connect roundrect locked (at 25 6) (size 1.5 7) (layers "B.Cu") (roundrect_rratio 0.03333333333) + (net 7 "N64_AD15") (pinfunction "AD15") (pintype "bidirectional") (tstamp 886549e8-81c2-4c47-8464-9e4afcaa7ca4)) + (pad "4" connect roundrect locked (at 22.5 6) (size 1.5 7) (layers "B.Cu") (roundrect_rratio 0.03333333333) + (net 8 "N64_AD14") (pinfunction "AD14") (pintype "bidirectional") (tstamp 3de3899f-ecd3-4a2a-a1ab-87cef4e94650)) + (pad "5" connect roundrect locked (at 20 6) (size 1.5 7) (layers "B.Cu") (roundrect_rratio 0.03333333333) + (net 9 "N64_AD13") (pinfunction "AD13") (pintype "bidirectional") (tstamp c8433008-0d24-4e18-80ed-1ae1b5db5976)) + (pad "6" connect roundrect locked (at 17.5 6) (size 1.5 7) (layers "B.Cu") (roundrect_rratio 0.03333333333) + (net 46 "GND") (pinfunction "GND") (pintype "power_out") (tstamp 023011fd-8c6b-4c59-927b-38a6b78ac7d1)) + (pad "7" connect roundrect locked (at 15 6) (size 1.5 7) (layers "B.Cu") (roundrect_rratio 0.03333333333) + (net 10 "N64_AD12") (pinfunction "AD12") (pintype "bidirectional") (tstamp 0a75f060-9ad0-4ebe-ae07-34bbdb9c610f)) + (pad "8" connect roundrect locked (at 12.5 6) (size 1.5 7) (layers "B.Cu") (roundrect_rratio 0.03333333333) + (net 70 "~{N64_WRITE}") (pinfunction "~{WRITE}") (pintype "output") (tstamp 826883eb-791a-4f94-b3a6-d07e9c71fba1)) + (pad "9" connect roundrect locked (at 10 6) (size 1.5 7) (layers "B.Cu") (roundrect_rratio 0.03333333333) + (net 51 "N64_3V3") (pinfunction "3V3") (pintype "power_out") (tstamp c46a482e-bfa4-4ed5-a49f-3179709c39a1)) + (pad "10" connect roundrect locked (at 7.5 6) (size 1.5 7) (layers "B.Cu") (roundrect_rratio 0.03333333333) + (net 71 "~{N64_READ}") (pinfunction "~{READ}") (pintype "output") (tstamp a5424812-224a-4644-80cd-92ea92f4e925)) + (pad "11" connect roundrect locked (at 5 6) (size 1.5 7) (layers "B.Cu") (roundrect_rratio 0.03333333333) + (net 11 "N64_AD11") (pinfunction "AD11") (pintype "bidirectional") (tstamp 03470583-2232-4b86-bf64-2b41cf6830fa)) + (pad "12" connect roundrect locked (at 2.5 6) (size 1.5 7) (layers "B.Cu") (roundrect_rratio 0.03333333333) + (net 12 "N64_AD10") (pinfunction "AD10") (pintype "bidirectional") (tstamp c0b69167-0a59-4444-b4cb-8bf56c302e75)) + (pad "13" connect roundrect locked (at 0 6) (size 1.5 7) (layers "B.Cu") (roundrect_rratio 0.03333333333) + (net 6 "unconnected-(J_N1-Pad13)") (pinfunction "12V") (pintype "power_out+no_connect") (tstamp 54b537a8-62a1-4be1-9498-f1c4ca3d7436)) + (pad "14" connect roundrect locked (at -2.5 6) (size 1.5 7) (layers "B.Cu") (roundrect_rratio 0.03333333333) + (net 5 "unconnected-(J_N1-Pad14)") (pinfunction "KEY") (pintype "no_connect") (tstamp 39dd521d-c0d3-4dc3-9f04-954364f19b5c)) + (pad "15" connect roundrect locked (at -5 6) (size 1.5 7) (layers "B.Cu") (roundrect_rratio 0.03333333333) + (net 13 "N64_AD9") (pinfunction "AD9") (pintype "bidirectional") (tstamp bc2aaecf-ae79-4198-ab1e-39c2988be733)) + (pad "16" connect roundrect locked (at -7.5 6) (size 1.5 7) (layers "B.Cu") (roundrect_rratio 0.03333333333) + (net 14 "N64_AD8") (pinfunction "AD8") (pintype "bidirectional") (tstamp f1f7556c-fcf2-4b20-867c-8cb0f74b0683)) + (pad "17" connect roundrect locked (at -10 6) (size 1.5 7) (layers "B.Cu") (roundrect_rratio 0.03333333333) + (net 51 "N64_3V3") (pinfunction "3V3") (pintype "power_out") (tstamp 19e307b0-9d00-403a-8bb3-c92eee75b593)) + (pad "18" connect roundrect locked (at -12.5 6) (size 1.5 7) (layers "B.Cu") (roundrect_rratio 0.03333333333) + (net 15 "N64_CIC_DATA") (pinfunction "CIC_DATA") (pintype "bidirectional") (tstamp 35a12103-805d-4405-8e54-4107a0ca3f53)) + (pad "19" connect roundrect locked (at -15 6) (size 1.5 7) (layers "B.Cu") (roundrect_rratio 0.03333333333) + (net 16 "N64_PIF_CLK") (pinfunction "PIF_CLK") (pintype "output") (tstamp 53c0fa19-4857-45ef-a0b0-d2d75f95cdd8)) + (pad "20" connect roundrect locked (at -17.5 6) (size 1.5 7) (layers "B.Cu") (roundrect_rratio 0.03333333333) + (net 72 "~{N64_RESET}") (pinfunction "~{RESET}") (pintype "output") (tstamp 49e9801a-ca64-4887-acaa-1852b72c90b0)) + (pad "21" connect roundrect locked (at -20 6) (size 1.5 7) (layers "B.Cu") (roundrect_rratio 0.03333333333) + (net 17 "N64_JOYBUS") (pinfunction "JOYBUS") (pintype "bidirectional") (tstamp ed7edbba-6232-4633-a3d9-aa5db534a6f7)) + (pad "22" connect roundrect locked (at -22.5 6) (size 1.5 7) (layers "B.Cu") (roundrect_rratio 0.03333333333) + (net 46 "GND") (pinfunction "GND") (pintype "power_out") (tstamp f1a29b82-192c-4de7-a23b-43420d01f97d)) + (pad "23" connect roundrect locked (at -25 6) (size 1.5 7) (layers "B.Cu") (roundrect_rratio 0.03333333333) + (net 46 "GND") (pinfunction "GND") (pintype "power_out") (tstamp 7f8fbb93-4f2a-4425-aea4-b1770dc59032)) + (pad "24" connect roundrect locked (at -27.5 6) (size 1.5 7) (layers "B.Cu") (roundrect_rratio 0.03333333333) + (net 131 "unconnected-(J_N1-Pad24)") (pinfunction "AUDIO_L") (pintype "input+no_connect") (tstamp d9e327bb-1596-4eec-a37e-dc1a060a7642)) + (pad "25" connect roundrect locked (at -30 6) (size 1.5 7) (layers "B.Cu") (roundrect_rratio 0.03333333333) + (net 46 "GND") (pinfunction "GND") (pintype "power_out") (tstamp c3d1cefa-d91a-4950-b899-566ac1f71848)) + (pad "26" connect roundrect locked (at 30 6) (size 1.5 7) (layers "F.Cu") (roundrect_rratio 0.03333333333) + (net 46 "GND") (pinfunction "GND") (pintype "power_out") (tstamp abc47acb-1014-4dc0-b4ea-4c6ec1f014cb)) + (pad "27" connect roundrect locked (at 27.5 6) (size 1.5 7) (layers "F.Cu") (roundrect_rratio 0.03333333333) + (net 46 "GND") (pinfunction "GND") (pintype "power_out") (tstamp ea3686cf-49e2-4eee-bcb5-157cb4912daa)) + (pad "28" connect roundrect locked (at 25 6) (size 1.5 7) (layers "F.Cu") (roundrect_rratio 0.03333333333) + (net 18 "N64_AD0") (pinfunction "AD0") (pintype "bidirectional") (tstamp 378cfa89-f09c-4c8c-af77-cd02a8552a7a)) + (pad "29" connect roundrect locked (at 22.5 6) (size 1.5 7) (layers "F.Cu") (roundrect_rratio 0.03333333333) + (net 19 "N64_AD1") (pinfunction "AD1") (pintype "bidirectional") (tstamp a7acf19a-b646-4516-930d-cc3a712cfb93)) + (pad "30" connect roundrect locked (at 20 6) (size 1.5 7) (layers "F.Cu") (roundrect_rratio 0.03333333333) + (net 20 "N64_AD2") (pinfunction "AD2") (pintype "bidirectional") (tstamp 74afa8b0-4911-4ea3-a426-5a8473b668c7)) + (pad "31" connect roundrect locked (at 17.5 6) (size 1.5 7) (layers "F.Cu") (roundrect_rratio 0.03333333333) + (net 46 "GND") (pinfunction "GND") (pintype "power_out") (tstamp 61d94332-0639-4424-8336-c9d6898c23db)) + (pad "32" connect roundrect locked (at 15 6) (size 1.5 7) (layers "F.Cu") (roundrect_rratio 0.03333333333) + (net 21 "N64_AD3") (pinfunction "AD3") (pintype "bidirectional") (tstamp 70a0f318-f409-4702-b87e-5a45304f2899)) + (pad "33" connect roundrect locked (at 12.5 6) (size 1.5 7) (layers "F.Cu") (roundrect_rratio 0.03333333333) + (net 22 "N64_ALEL") (pinfunction "ALEL") (pintype "output") (tstamp 15050c72-4761-4f45-adda-e792a17aff6e)) + (pad "34" connect roundrect locked (at 10 6) (size 1.5 7) (layers "F.Cu") (roundrect_rratio 0.03333333333) + (net 51 "N64_3V3") (pinfunction "3V3") (pintype "power_out") (tstamp facc7a1b-48f7-432d-8c99-461c31468767)) + (pad "35" connect roundrect locked (at 7.5 6) (size 1.5 7) (layers "F.Cu") (roundrect_rratio 0.03333333333) + (net 23 "N64_ALEH") (pinfunction "ALEH") (pintype "output") (tstamp 46fd1604-52c4-4275-97de-e76c2fafee1c)) + (pad "36" connect roundrect locked (at 5 6) (size 1.5 7) (layers "F.Cu") (roundrect_rratio 0.03333333333) + (net 24 "N64_AD4") (pinfunction "AD4") (pintype "bidirectional") (tstamp 9d88ec4c-16ba-42ca-8cb0-a045e547e117)) + (pad "37" connect roundrect locked (at 2.5 6) (size 1.5 7) (layers "F.Cu") (roundrect_rratio 0.03333333333) + (net 25 "N64_AD5") (pinfunction "AD5") (pintype "bidirectional") (tstamp a53fdea7-3617-4e29-ba39-1fc4cced14bc)) + (pad "38" connect roundrect locked (at 0 6) (size 1.5 7) (layers "F.Cu") (roundrect_rratio 0.03333333333) + (net 4 "unconnected-(J_N1-Pad38)") (pinfunction "12V") (pintype "power_out+no_connect") (tstamp df6a19e9-6359-4874-b780-a07aa80e9e7b)) + (pad "39" connect roundrect locked (at -2.5 6) (size 1.5 7) (layers "F.Cu") (roundrect_rratio 0.03333333333) + (net 3 "unconnected-(J_N1-Pad39)") (pinfunction "KEY") (pintype "no_connect") (tstamp 85fabedf-a691-4822-be4c-4415cd1d4dcf)) + (pad "40" connect roundrect locked (at -5 6) (size 1.5 7) (layers "F.Cu") (roundrect_rratio 0.03333333333) + (net 26 "N64_AD6") (pinfunction "AD6") (pintype "bidirectional") (tstamp a3f793ac-c8f8-4b6b-a1a0-68bdc0e9870a)) + (pad "41" connect roundrect locked (at -7.5 6) (size 1.5 7) (layers "F.Cu") (roundrect_rratio 0.03333333333) + (net 27 "N64_AD7") (pinfunction "AD7") (pintype "bidirectional") (tstamp e5588e62-caec-4581-8753-afa8055836e7)) + (pad "42" connect roundrect locked (at -10 6) (size 1.5 7) (layers "F.Cu") (roundrect_rratio 0.03333333333) + (net 51 "N64_3V3") (pinfunction "3V3") (pintype "power_out") (tstamp 0684fa04-c65a-4231-8382-299958b436ea)) + (pad "43" connect roundrect locked (at -12.5 6) (size 1.5 7) (layers "F.Cu") (roundrect_rratio 0.03333333333) + (net 28 "N64_CIC_CLK") (pinfunction "CIC_CLK") (pintype "output") (tstamp f14c9d55-3fd6-454b-8099-321d8e7884dd)) + (pad "44" connect roundrect locked (at -15 6) (size 1.5 7) (layers "F.Cu") (roundrect_rratio 0.03333333333) + (net 73 "~{N64_INT}") (pinfunction "~{INT}") (pintype "output") (tstamp 8e0100ab-5bec-42c9-88a7-058bf79e923b)) + (pad "45" connect roundrect locked (at -17.5 6) (size 1.5 7) (layers "F.Cu") (roundrect_rratio 0.03333333333) + (net 74 "~{N64_NMI}") (pinfunction "~{NMI}") (pintype "output") (tstamp c17a692d-d9c3-4fb6-bb86-5b6537026a30)) + (pad "46" connect roundrect locked (at -20 6) (size 1.5 7) (layers "F.Cu") (roundrect_rratio 0.03333333333) + (net 29 "N64_VIDEO_SYNC") (pinfunction "VIDEO_SYNC") (pintype "output") (tstamp 3c79fe7d-3551-4b1d-b325-197084f4b84b)) + (pad "47" connect roundrect locked (at -22.5 6) (size 1.5 7) (layers "F.Cu") (roundrect_rratio 0.03333333333) + (net 46 "GND") (pinfunction "GND") (pintype "power_out") (tstamp fd65a7a2-1ecb-46f9-946f-192774b5bf17)) + (pad "48" connect roundrect locked (at -25 6) (size 1.5 7) (layers "F.Cu") (roundrect_rratio 0.03333333333) + (net 46 "GND") (pinfunction "GND") (pintype "power_out") (tstamp a529e7ed-8f49-4463-ab2a-c3de3fcceb55)) + (pad "49" connect roundrect locked (at -27.5 6) (size 1.5 7) (layers "F.Cu") (roundrect_rratio 0.03333333333) + (net 132 "unconnected-(J_N1-Pad49)") (pinfunction "AUDIO_R") (pintype "input+no_connect") (tstamp 16a6fd43-9f0e-44ac-9133-5182c45db8d2)) + (pad "50" connect roundrect locked (at -30 6) (size 1.5 7) (layers "F.Cu") (roundrect_rratio 0.03333333333) + (net 46 "GND") (pinfunction "GND") (pintype "power_out") (tstamp 36d58d7d-a4b8-4f86-acfc-a10a897dba24)) + (zone (net 0) (net_name "") (layer "F.Cu") (tstamp 87a79767-538e-4d08-8134-e360e8713c22) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) + (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour allowed) (footprints not_allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 182.25 134.5) + (xy 181.25 135.5) + (xy 118.75 135.5) + (xy 117.75 134.5) + (xy 117.75 124) + (xy 182.25 124) + ) + ) + ) + (zone (net 0) (net_name "") (layer "B.Cu") (tstamp b425b92a-180f-40c3-80f1-78d9319641fc) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) + (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour allowed) (footprints not_allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 182.25 134.5) + (xy 181.25 135.5) + (xy 118.75 135.5) + (xy 117.75 134.5) + (xy 117.75 124) + (xy 182.25 124) + ) + ) + ) + ) + + (footprint "sc64v2:TC2050-FP" (layer "F.Cu") + (tedit 61F716F2) (tstamp 97208e50-b896-4df8-8da4-ea2fc6b46da5) + (at 132 80.94 -90) + (property "Sheetfile" "sc64v2.kicad_sch") + (property "Sheetname" "") + (property "exclude_from_bom" "") + (path "/51744168-bfea-4a8e-8692-c99342c4a1b3") + (attr smd exclude_from_bom) + (fp_text reference "J3" (at 3 2.75 unlocked) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 18382497-0224-492a-b35f-f90361f34b68) + ) + (fp_text value "TC2050-FP" (at 3 -4.5 -270 unlocked) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 2e6c0123-06e0-4da5-8471-6a21e382f348) + ) + (fp_text user "${REFERENCE}" (at 9 0 -180 unlocked) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp ad5f95c7-66c0-42fc-b897-3dd71ae9e72d) + ) + (pad "" np_thru_hole circle (at 0 -2.54 270) (size 2.3749 2.3749) (drill 2.3749) (layers F&B.Cu *.Mask) (tstamp 21a67ce2-1d06-4d27-88f6-2f14f00f87d4)) + (pad "" np_thru_hole circle (at 7.62 1.016 270) (size 0.9906 0.9906) (drill 0.9906) (layers F&B.Cu *.Mask) (tstamp 25d0b121-6b40-48f6-a45b-eda610bd7ba4)) + (pad "" np_thru_hole circle (at 5.715 2.54 270) (size 2.3749 2.3749) (drill 2.3749) (layers F&B.Cu *.Mask) (tstamp 2f665c04-b8d3-4200-aea6-f7dfbd45dad5)) + (pad "" np_thru_hole circle (at 5.715 -2.54 270) (size 2.3749 2.3749) (drill 2.3749) (layers F&B.Cu *.Mask) (tstamp 35546445-b28a-4214-b61d-c3f329600a4d)) + (pad "" np_thru_hole circle (at 0 2.54 270) (size 2.3749 2.3749) (drill 2.3749) (layers F&B.Cu *.Mask) (tstamp 3ceba409-ec88-4d3c-b38e-79282c1b320f)) + (pad "" np_thru_hole circle (at 0 0 270) (size 0.9906 0.9906) (drill 0.9906) (layers F&B.Cu *.Mask) (tstamp 456a0b0b-f929-4685-9cbb-f29029921bd6)) + (pad "" np_thru_hole circle (at 7.62 -1.016 270) (size 0.9906 0.9906) (drill 0.9906) (layers F&B.Cu *.Mask) (tstamp 8e43cb05-e61e-44ec-9945-441dd2c1b289)) + (pad "1" smd circle (at 1.27 0.635 270) (size 0.7874 0.7874) (property pad_prop_testpoint) (layers "F.Cu" "F.Mask") + (net 38 "SWCLK") (pinfunction "SWCLK") (pintype "output") (tstamp 8f2aa97c-5272-486d-8068-f5b5adf2014f)) + (pad "2" smd circle (at 2.54 0.635 270) (size 0.7874 0.7874) (property pad_prop_testpoint) (layers "F.Cu" "F.Mask") + (net 37 "SWDIO") (pinfunction "SWDIO") (pintype "bidirectional") (tstamp fa158836-1c16-4475-ac49-a879d6934105)) + (pad "3" smd circle (at 3.81 0.635 270) (size 0.7874 0.7874) (property pad_prop_testpoint) (layers "F.Cu" "F.Mask") + (net 40 "UART_RX") (pinfunction "RX") (pintype "input") (tstamp ce7811b1-0aa4-4f3f-b567-b86827cd4704)) + (pad "4" smd circle (at 5.08 0.635 270) (size 0.7874 0.7874) (property pad_prop_testpoint) (layers "F.Cu" "F.Mask") + (net 39 "UART_TX") (pinfunction "TX") (pintype "output") (tstamp 34d69b8e-5243-453a-9992-25785fb1126e)) + (pad "5" smd circle (at 6.35 0.635 270) (size 0.7874 0.7874) (property pad_prop_testpoint) (layers "F.Cu" "F.Mask") + (net 46 "GND") (pinfunction "GND") (pintype "power_out") (tstamp 99a885b5-8b03-4078-bbb2-f9a5ced4eced)) + (pad "6" smd circle (at 6.35 -0.635 270) (size 0.7874 0.7874) (property pad_prop_testpoint) (layers "F.Cu" "F.Mask") + (net 50 "+3V3") (pinfunction "VCC") (pintype "power_out") (tstamp 6f6be05c-6643-48d2-9120-ce868f8018f9)) + (pad "7" smd circle (at 5.08 -0.635 270) (size 0.7874 0.7874) (property pad_prop_testpoint) (layers "F.Cu" "F.Mask") + (net 41 "JTAG_TDO") (pinfunction "TDO") (pintype "output") (tstamp 1f7a9c9a-0b0c-4eb9-a515-b14f2e96c69d)) + (pad "8" smd circle (at 3.81 -0.635 270) (size 0.7874 0.7874) (property pad_prop_testpoint) (layers "F.Cu" "F.Mask") + (net 42 "JTAG_TDI") (pinfunction "TDI") (pintype "input") (tstamp 79a19541-1c76-4c83-8a47-1770f48127e3)) + (pad "9" smd circle (at 2.54 -0.635 270) (size 0.7874 0.7874) (property pad_prop_testpoint) (layers "F.Cu" "F.Mask") + (net 43 "JTAG_TCK") (pinfunction "TCK") (pintype "output") (tstamp ce49b81f-7e9c-47f7-8587-daed1d8b14e9)) + (pad "10" smd circle (at 1.27 -0.635 270) (size 0.7874 0.7874) (property pad_prop_testpoint) (layers "F.Cu" "F.Mask") + (net 44 "JTAG_TMS") (pinfunction "TMS") (pintype "output") (tstamp 65b5559d-a027-46ec-9f9a-e3b73214fae4)) + (zone (net 0) (net_name "") (layer "F.Cu") (tstamp d447e5cb-6b7b-44f7-b105-615c656a2052) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) + (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 131.365 87.29) + (xy 131.365 82.21) + (xy 132.635 82.21) + (xy 132.635 87.29) + ) + ) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric" (layer "F.Cu") + (tedit 5F68FEEE) (tstamp 9c39837b-e9ba-4d66-bd86-6117c5138f51) + (at 122.5 101.25 180) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (property "Sheetfile" "sc64v2.kicad_sch") + (property "Sheetname" "") + (path "/38f041d3-336d-4c45-9e25-efeece1e2340") + (attr smd) + (fp_text reference "R9" (at 2.75 0) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 24e3454d-163b-45a9-9a1d-ef72708283f1) + ) + (fp_text value "5.1k" (at 0 1.43) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp d20ebd14-f580-4afc-bd76-d42fc9ff7fd5) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 3e397f2a-adcb-4f41-8588-8968c3e0458f) + ) + (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) (layer "F.SilkS") (width 0.12) (tstamp 5a2b832f-a115-4ea5-9e49-fced8c465331)) + (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) (layer "F.SilkS") (width 0.12) (tstamp 6811b311-024a-4f0d-b3c6-c2720b862f56)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 024f077a-d9c7-4fff-8b6a-6d35592ce8cc)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 2813d2b1-f2d5-43e3-93b9-78f5fde71fa8)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 5a3286ef-e21b-4281-9b00-f53b66c8c516)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp becdfdff-a39d-4c59-9c6c-c669cb8b040c)) + (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp 45d4b33c-1b96-488b-aea8-432eb6983b17)) + (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp 45f754e9-ddab-4988-97ff-174b9ceb1c87)) + (fp_line (start 0.8 0.4125) (end -0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp 61101d92-a4de-459b-94c8-23733da11f51)) + (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp a4c548e6-fa84-405d-ba9c-8f38da9ecdca)) + (pad "1" smd roundrect (at -0.825 0 180) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 125 "FTDI_C7") (pintype "passive") (tstamp 3a14da04-fc1a-44ad-a1b5-fff5a6d576db)) + (pad "2" smd roundrect (at 0.825 0 180) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 52 "+5V") (pintype "passive") (tstamp c2610aeb-6217-41c4-9da7-e64d2684e841)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0805_2012Metric" (layer "F.Cu") + (tedit 5F68FEEE) (tstamp 9d01f6c8-93d0-4db8-a08f-09eb826bd5f6) + (at 116.75 110.75 90) + (descr "Capacitor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf, https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Sheetfile" "sc64v2.kicad_sch") + (property "Sheetname" "") + (path "/4d57f96b-3724-40e6-ab93-f23413cb8642") + (attr smd) + (fp_text reference "C4" (at -2.75 0 90) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 48dbf7f8-ccee-4a07-a0b9-da592e8c0bf9) + ) + (fp_text value "47uF" (at 0 1.68 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 7c24740a-e2dd-488f-9544-a4692ce03ba4) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.5 0.5) (thickness 0.08))) + (tstamp cf28c211-86b4-424b-95de-7501e16f7a2d) + ) + (fp_line (start -0.261252 0.735) (end 0.261252 0.735) (layer "F.SilkS") (width 0.12) (tstamp 27065e09-a48c-4abc-8f82-cd8f967ea7d9)) + (fp_line (start -0.261252 -0.735) (end 0.261252 -0.735) (layer "F.SilkS") (width 0.12) (tstamp b1777de2-bbf1-4265-b4a2-1f9444d5a152)) + (fp_line (start 1.7 0.98) (end -1.7 0.98) (layer "F.CrtYd") (width 0.05) (tstamp 14865317-3ce1-4d4d-a55a-29169330f4e8)) + (fp_line (start -1.7 -0.98) (end 1.7 -0.98) (layer "F.CrtYd") (width 0.05) (tstamp 291534b4-07db-44a3-8b53-3aeecf28e652)) + (fp_line (start -1.7 0.98) (end -1.7 -0.98) (layer "F.CrtYd") (width 0.05) (tstamp d82b3c17-7c41-4e46-9fb9-4486c206368b)) + (fp_line (start 1.7 -0.98) (end 1.7 0.98) (layer "F.CrtYd") (width 0.05) (tstamp f1f5f508-e392-4bd3-be76-e96e89e0a973)) + (fp_line (start 1 -0.625) (end 1 0.625) (layer "F.Fab") (width 0.1) (tstamp 059864fc-b7ed-48e6-b5a4-0398b0206348)) + (fp_line (start 1 0.625) (end -1 0.625) (layer "F.Fab") (width 0.1) (tstamp 31ff4587-96a5-47f8-9613-6122b8611c87)) + (fp_line (start -1 -0.625) (end 1 -0.625) (layer "F.Fab") (width 0.1) (tstamp 620f1b14-32b4-437b-acd7-7ddf6c3a9291)) + (fp_line (start -1 0.625) (end -1 -0.625) (layer "F.Fab") (width 0.1) (tstamp e268d06e-c156-4c6b-b211-24feefa5470d)) + (pad "1" smd roundrect (at -0.95 0 90) (size 1 1.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 50 "+3V3") (pintype "passive") (tstamp fcbc0219-c9d2-4b03-a838-71fb2940a22d)) + (pad "2" smd roundrect (at 0.95 0 90) (size 1 1.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 46 "GND") (pintype "passive") (tstamp 816969a7-3552-4174-83d1-1a9e19b02d7f)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "F.Cu") + (tedit 5F68FEEE) (tstamp b62b630a-2ad0-40fd-91aa-cc3ce5f1da57) + (at 118.75 117.25 -90) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Sheetfile" "sc64v2.kicad_sch") + (property "Sheetname" "") + (path "/8875e69d-d89e-41ba-bde2-45767e9df445") + (attr smd) + (fp_text reference "C6" (at 2.75 0 90) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 3017a899-d6a7-4854-8ce5-01f8810b6e3d) + ) + (fp_text value "100nF" (at 0 1.43 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 75e31b99-395b-4524-833e-b7192a4cce54) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 0c1bd035-a2b7-4b56-aab1-c4de8a853547) + ) + (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "F.SilkS") (width 0.12) (tstamp 33744e0c-6340-4847-b155-5a1fc06298c9)) + (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 9148d1da-7d68-4cd5-9dd4-6731174a4355)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 1a004572-bf4e-4b55-ae27-9bd1cc6aadd1)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 2c0e1d21-88bd-4ea8-9f33-8970367277ea)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp bbcd4749-0e0a-4953-8fba-2e3faa1ead8b)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp d54e3ebf-9446-448a-bb00-2c93229f6771)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 80780af0-6d8b-4697-b5c7-c1abea18584f)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 917d057a-bb35-40a6-8203-a8380bffc477)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 96e904cc-4257-4557-9e3c-3458e42b885d)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp c2092e07-5458-4baf-be07-b5066fb8a7b5)) + (pad "1" smd roundrect (at -0.775 0 270) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 51 "N64_3V3") (pintype "passive") (tstamp 5c97f08b-dd59-4ab1-bc9d-ba519653b7cb)) + (pad "2" smd roundrect (at 0.775 0 270) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 46 "GND") (pintype "passive") (tstamp 71929d14-3717-4b37-85c8-d8d73e9c17f5)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric" (layer "F.Cu") + (tedit 5F68FEEE) (tstamp b73ca8cb-72bb-4b0f-96cb-baddcfbe81e4) + (at 122.5 108.5) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (property "Sheetfile" "sc64v2.kicad_sch") + (property "Sheetname" "") + (path "/f47d1b56-1473-4960-b027-88c1d1af2f5a") + (attr smd) + (fp_text reference "R10" (at 3.25 0) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 6df89c7b-7121-4f18-b8f0-9e8be87ebcaa) + ) + (fp_text value "2.2k" (at 0 1.43) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 6a70286d-e197-40fa-b074-c66226a4a085) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp cd5a7e97-0dab-49c1-8d9c-78347484c0a6) + ) + (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) (layer "F.SilkS") (width 0.12) (tstamp 53baa137-7981-47dc-8c7f-66bece97e52b)) + (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) (layer "F.SilkS") (width 0.12) (tstamp 91735ea5-853d-4dbd-8704-ba57d73075b0)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 903798cf-bce6-4942-873a-9294370987a1)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp a5fb5044-dd92-4baf-877d-3197fd06bb79)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp b92b97db-bcb8-4d62-a86c-cd845471d7bb)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp e0f46275-3950-4ae7-8f48-f8266e8ac5f3)) + (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp 398732b2-ef19-43ab-b5d7-4260c06e0078)) + (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp 538c8d1c-ae5f-420d-8195-2fe0d10d0799)) + (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp 5640c9e1-a7fc-4089-8482-037c5aa21fdd)) + (fp_line (start 0.8 0.4125) (end -0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp ed75817f-bee3-4a50-b2e5-13b51c6166a5)) + (pad "1" smd roundrect (at -0.825 0) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 143 "Net-(R10-Pad1)") (pintype "passive") (tstamp b661a003-258b-4b1a-a94d-314c012750f4)) + (pad "2" smd roundrect (at 0.825 0) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 144 "USB_EEDATA") (pintype "passive") (tstamp f4f6c50a-1a43-4084-aac4-65443fd3ccc4)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Package_TO_SOT_SMD:SOT-223-3_TabPin2" (layer "F.Cu") + (tedit 5A02FF57) (tstamp b8eca2b9-5fdd-486b-99c7-ea2929826016) + (at 111 105.5) + (descr "module CMS SOT223 4 pins") + (tags "CMS SOT") + (property "Sheetfile" "sc64v2.kicad_sch") + (property "Sheetname" "") + (path "/2d0fed17-815d-432f-a7eb-ae8520ef2c33") + (zone_connect 2) + (attr smd) + (fp_text reference "U1" (at 0 -4.25) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp ba027193-a0a8-4a4b-a5a4-87184e4a3561) + ) + (fp_text value "TC1264-3.3VDB" (at -5 0 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp adaee13f-562e-4da0-8885-e0caceed26ff) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp 3bdf0db5-95fa-40cc-b340-ff31b9761a6f) + ) + (fp_line (start 1.91 -3.41) (end 1.91 -2.15) (layer "F.SilkS") (width 0.12) (tstamp 00c921d2-37c1-4153-beff-ba96ae5ab1bf)) + (fp_line (start -1.85 3.41) (end 1.91 3.41) (layer "F.SilkS") (width 0.12) (tstamp b1dc0135-6766-43e8-a2ab-282dda6670a5)) + (fp_line (start 1.91 3.41) (end 1.91 2.15) (layer "F.SilkS") (width 0.12) (tstamp b920d34b-6658-4c8c-9982-36cb5b4da1bd)) + (fp_line (start -4.1 -3.41) (end 1.91 -3.41) (layer "F.SilkS") (width 0.12) (tstamp e20c1003-6f8a-4159-a10b-d8684e8602d2)) + (fp_line (start 4.4 3.6) (end 4.4 -3.6) (layer "F.CrtYd") (width 0.05) (tstamp 27446558-7788-4f7c-b16e-3d9d0f2eff8e)) + (fp_line (start -4.4 -3.6) (end -4.4 3.6) (layer "F.CrtYd") (width 0.05) (tstamp 3dd825c1-406d-4bf9-98b1-759302ff2ecc)) + (fp_line (start -4.4 3.6) (end 4.4 3.6) (layer "F.CrtYd") (width 0.05) (tstamp adea7937-94ae-4a25-a416-6214a86d5848)) + (fp_line (start 4.4 -3.6) (end -4.4 -3.6) (layer "F.CrtYd") (width 0.05) (tstamp faa6f73e-98f5-4973-8a4d-08d85f5fef86)) + (fp_line (start -0.85 -3.35) (end 1.85 -3.35) (layer "F.Fab") (width 0.1) (tstamp 25cf6537-642d-49e7-89ca-890d4b1e81cd)) + (fp_line (start 1.85 -3.35) (end 1.85 3.35) (layer "F.Fab") (width 0.1) (tstamp a441fca0-92ad-486a-a70d-7e9cc4d7faec)) + (fp_line (start -1.85 -2.35) (end -0.85 -3.35) (layer "F.Fab") (width 0.1) (tstamp ae6b7e20-53ba-45a7-af97-a6611cebda7b)) + (fp_line (start -1.85 -2.35) (end -1.85 3.35) (layer "F.Fab") (width 0.1) (tstamp c159ac8b-7ba9-4657-9e20-1388037b4e72)) + (fp_line (start -1.85 3.35) (end 1.85 3.35) (layer "F.Fab") (width 0.1) (tstamp d8201216-8798-430e-a5fd-6984f54fdfc0)) + (pad "1" smd rect locked (at -3.15 -2.3) (size 2 1.5) (layers "F.Cu" "F.Paste" "F.Mask") + (net 52 "+5V") (pinfunction "VI") (pintype "power_in") (tstamp b305e328-b839-487f-bbe4-25b5e340425d)) + (pad "2" smd rect locked (at 3.15 0) (size 2 3.8) (layers "F.Cu" "F.Paste" "F.Mask") + (net 46 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 264978ea-23af-4d8b-b83c-9843d7076f6a)) + (pad "2" smd rect locked (at -3.15 0) (size 2 1.5) (layers "F.Cu" "F.Paste" "F.Mask") + (net 46 "GND") (pinfunction "GND") (pintype "power_in") (tstamp b049bbf8-521b-4e4a-a54f-ff317142ab96)) + (pad "3" smd rect locked (at -3.15 2.3) (size 2 1.5) (layers "F.Cu" "F.Paste" "F.Mask") + (net 136 "Net-(C3-Pad1)") (pinfunction "VO") (pintype "power_out") (tstamp 0e0b3902-c476-4a75-95a7-168d2374cd7d)) + (model "${KICAD6_3DMODEL_DIR}/Package_TO_SOT_SMD.3dshapes/SOT-223.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Oscillator:Oscillator_SMD_SeikoEpson_SG8002CE-4Pin_3.2x2.5mm" (layer "F.Cu") + (tedit 58CD3345) (tstamp bfcb654e-4ad7-4a0f-a144-17954a18fef7) + (at 140 93.75) + (descr "SMD Crystal Oscillator Seiko Epson SG-8002CE https://support.epson.biz/td/api/doc_check.php?mode=dl&lang=en&Parts=SG-8002DC, 3.2x2.5mm^2 package") + (tags "SMD SMT crystal oscillator") + (property "Sheetfile" "sc64v2.kicad_sch") + (property "Sheetname" "") + (path "/fd95e6e1-2b37-4604-8f85-981efac5e15c") + (attr smd) + (fp_text reference "X2" (at -3.5 0) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 2400e8d9-88fb-499d-90ff-9426f2f33639) + ) + (fp_text value "ECS-3225MV-500-BN" (at 0 2.55) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp bd00a43f-0d7d-4792-966a-8fbd4465035a) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.7 0.7) (thickness 0.105))) + (tstamp 858b530f-5695-4201-adb4-62e744cfbc34) + ) + (fp_circle (center 0 0) (end 0.133333 0) (layer "F.Adhes") (width 0.083333) (fill none) (tstamp 11110978-d822-4ca0-925c-510be691ffb1)) + (fp_circle (center 0 0) (end 0.208333 0) (layer "F.Adhes") (width 0.083333) (fill none) (tstamp 8e5ae4de-ddb1-4d83-8dea-7a43dd4d204d)) + (fp_circle (center 0 0) (end 0.058333 0) (layer "F.Adhes") (width 0.116667) (fill none) (tstamp 999bf80e-3d98-4ae7-bb44-0af7b4ebd53f)) + (fp_circle (center 0 0) (end 0.25 0) (layer "F.Adhes") (width 0.1) (fill none) (tstamp d7f434f4-d2f5-4ce9-94cb-67fc883bafe2)) + (fp_line (start -2.1 1.75) (end 2.1 1.75) (layer "F.SilkS") (width 0.12) (tstamp 87e1ac8f-2d27-4ece-948e-eeb04d232307)) + (fp_line (start -2.1 -1.75) (end -2.1 1.75) (layer "F.SilkS") (width 0.12) (tstamp af97f458-9b18-4e0a-9c28-c054428c4654)) + (fp_line (start 2.2 -1.8) (end -2.2 -1.8) (layer "F.CrtYd") (width 0.05) (tstamp 221a45a0-5e83-443d-b3f3-50b1f35eee69)) + (fp_line (start -2.2 1.8) (end 2.2 1.8) (layer "F.CrtYd") (width 0.05) (tstamp 3ea7494f-78a7-4ed9-9654-ee0e6caec508)) + (fp_line (start -2.2 -1.8) (end -2.2 1.8) (layer "F.CrtYd") (width 0.05) (tstamp 45f7b609-cc0d-4831-982c-d26c574d92a6)) + (fp_line (start 2.2 1.8) (end 2.2 -1.8) (layer "F.CrtYd") (width 0.05) (tstamp d3a9967c-8ccb-45db-8fa0-33fecf12c639)) + (fp_line (start -1.5 1.25) (end -1.6 1.15) (layer "F.Fab") (width 0.1) (tstamp 0742a9e5-7586-4255-8261-d833940b3e92)) + (fp_line (start 1.5 1.25) (end -1.5 1.25) (layer "F.Fab") (width 0.1) (tstamp 0e71e918-2d0e-4ab0-838f-2e3fe16e1a06)) + (fp_line (start -1.6 -1.15) (end -1.5 -1.25) (layer "F.Fab") (width 0.1) (tstamp 0f50bba4-5852-4888-af72-fc27f258d45a)) + (fp_line (start -1.6 0.25) (end -0.6 1.25) (layer "F.Fab") (width 0.1) (tstamp 64fb210f-1eee-4332-b0c1-5ba56d1a4fea)) + (fp_line (start 1.5 -1.25) (end 1.6 -1.15) (layer "F.Fab") (width 0.1) (tstamp 932d2bec-5e70-491f-83e0-aa3019ce2286)) + (fp_line (start 1.6 -1.15) (end 1.6 1.15) (layer "F.Fab") (width 0.1) (tstamp c330a2e9-7579-48f1-a297-becab4bd4fc5)) + (fp_line (start -1.6 1.15) (end -1.6 -1.15) (layer "F.Fab") (width 0.1) (tstamp ef22aac6-318e-428d-b1c0-a4eed1860bf2)) + (fp_line (start -1.5 -1.25) (end 1.5 -1.25) (layer "F.Fab") (width 0.1) (tstamp f129adbd-76c8-4c55-9b74-139d50f715bb)) + (fp_line (start 1.6 1.15) (end 1.5 1.25) (layer "F.Fab") (width 0.1) (tstamp fe8da216-7ab2-46f6-b849-16fd35a1c9d5)) + (pad "1" smd rect (at -1.2 0.95) (size 1.4 1.2) (layers "F.Cu" "F.Paste" "F.Mask") + (net 2 "unconnected-(X2-Pad1)") (pinfunction "EN") (pintype "input+no_connect") (tstamp 04fd6d55-2bc4-4421-858c-4b6b50f9e66c)) + (pad "2" smd rect (at 1.2 0.95) (size 1.4 1.2) (layers "F.Cu" "F.Paste" "F.Mask") + (net 46 "GND") (pinfunction "GND") (pintype "power_in") (tstamp b02db1a5-bb3f-46b4-b59a-60d886a78d9d)) + (pad "3" smd rect (at 1.2 -0.95) (size 1.4 1.2) (layers "F.Cu" "F.Paste" "F.Mask") + (net 91 "FPGA_CLK") (pinfunction "OUT") (pintype "output") (tstamp 74ffcbad-d628-4b16-ab39-550457caeca0)) + (pad "4" smd rect (at -1.2 -0.95) (size 1.4 1.2) (layers "F.Cu" "F.Paste" "F.Mask") + (net 50 "+3V3") (pinfunction "V+") (pintype "power_in") (tstamp 979ab94f-5abb-49d2-8914-3c090cbd6724)) + (model "${KICAD6_3DMODEL_DIR}/Oscillator.3dshapes/Oscillator_SMD_SeikoEpson_SG8002CE-4Pin_3.2x2.5mm.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + (model "${KICAD6_3DMODEL_DIR}/Crystal.3dshapes/Crystal_SMD_SeikoEpson_TSX3225-4Pin_3.2x2.5mm.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "F.Cu") + (tedit 5F68FEEE) (tstamp bffccaf5-5117-4e0d-9369-6db98e9ab9ac) + (at 110 99.25) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Sheetfile" "sc64v2.kicad_sch") + (property "Sheetname" "") + (path "/23f0bb46-eb5d-4798-b7ac-fd1aad5c7d6a") + (attr smd) + (fp_text reference "C2" (at 2.75 0) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp bd517d11-5cf6-4e78-9c07-b60661078220) + ) + (fp_text value "100nF" (at 0 1.43) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp ef309c72-c931-44bb-9f9f-007084e06234) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 6a8e01b0-db99-4b46-b4aa-2a9fb1e3be5b) + ) + (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "F.SilkS") (width 0.12) (tstamp 454d83bf-fab3-4e47-9f84-ac32d9bc075a)) + (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "F.SilkS") (width 0.12) (tstamp b97243b7-fc3f-4bc3-b4dc-efdb170642cf)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 2a0044f7-26f9-44eb-9d2b-8bdfee35b952)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 5350dd23-887f-4074-bbcb-22fc75f677ba)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 6e37345c-829f-4db8-b459-ca93a1797df5)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp bea8e616-c7cc-4998-8d40-0f932cdf52ff)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 44bace34-020e-4e63-80d6-63490d3ad599)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 4bd14e0a-6199-4983-b511-33c720882a75)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 8bfaf516-874a-42b3-bab2-7567ab59b39d)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp d351b1a7-fb3b-46b8-9e6e-d5225d086e07)) + (pad "1" smd roundrect (at -0.775 0) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 52 "+5V") (pintype "passive") (tstamp 9457164b-eee1-4d3c-b2fb-d1f46e5fad05)) + (pad "2" smd roundrect (at 0.775 0) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 46 "GND") (pintype "passive") (tstamp b4b82ef4-c5df-46d4-9c0b-b40b2fc5e691)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Oscillator:Oscillator_SMD_SeikoEpson_SG8002CE-4Pin_3.2x2.5mm" (layer "F.Cu") + (tedit 58CD3345) (tstamp c1c99cdf-2c23-4940-af9f-b1a7497acbc8) + (at 127 114.25) + (descr "SMD Crystal Oscillator Seiko Epson SG-8002CE https://support.epson.biz/td/api/doc_check.php?mode=dl&lang=en&Parts=SG-8002DC, 3.2x2.5mm^2 package") + (tags "SMD SMT crystal oscillator") + (property "Sheetfile" "sc64v2.kicad_sch") + (property "Sheetname" "") + (path "/a73f4f5a-6e4d-472e-9b51-954e3d116bd7") + (attr smd) + (fp_text reference "X1" (at -3.5 0) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp dfc34f46-4996-4095-b590-1195563e1ac7) + ) + (fp_text value "ECS-3225MV-120-CN" (at 0 2.55) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 739a0ce1-5bca-466c-8a05-d7fc790eaded) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.7 0.7) (thickness 0.105))) + (tstamp 175549c7-bd7f-428a-90e1-6c01457690f2) + ) + (fp_circle (center 0 0) (end 0.133333 0) (layer "F.Adhes") (width 0.083333) (fill none) (tstamp 0107a097-3e56-4feb-86aa-b00a4419b74d)) + (fp_circle (center 0 0) (end 0.208333 0) (layer "F.Adhes") (width 0.083333) (fill none) (tstamp 3a6aec1b-2781-44b0-949e-2cd972f4ab48)) + (fp_circle (center 0 0) (end 0.25 0) (layer "F.Adhes") (width 0.1) (fill none) (tstamp a8bd8d25-d868-4711-b98d-68b7c80e1dbf)) + (fp_circle (center 0 0) (end 0.058333 0) (layer "F.Adhes") (width 0.116667) (fill none) (tstamp b77fcc32-460c-4f2b-83cb-3ec681142ce6)) + (fp_line (start -2.1 1.75) (end 2.1 1.75) (layer "F.SilkS") (width 0.12) (tstamp 81ed5e72-6abc-4a01-9e4c-a4ed3eb1cecc)) + (fp_line (start -2.1 -1.75) (end -2.1 1.75) (layer "F.SilkS") (width 0.12) (tstamp 94a7f8e5-9a31-437f-99e6-45b0d72eba83)) + (fp_line (start -2.2 -1.8) (end -2.2 1.8) (layer "F.CrtYd") (width 0.05) (tstamp 1483cdbb-96c0-4440-a03f-d5c256f3559f)) + (fp_line (start -2.2 1.8) (end 2.2 1.8) (layer "F.CrtYd") (width 0.05) (tstamp 1a489ad7-20ef-4130-b7a2-7214996b0498)) + (fp_line (start 2.2 1.8) (end 2.2 -1.8) (layer "F.CrtYd") (width 0.05) (tstamp 9de43a43-3a3c-48cf-9d67-42ab71b99d99)) + (fp_line (start 2.2 -1.8) (end -2.2 -1.8) (layer "F.CrtYd") (width 0.05) (tstamp bac7c6af-8146-4662-a942-28e0172bdaad)) + (fp_line (start -1.6 1.15) (end -1.6 -1.15) (layer "F.Fab") (width 0.1) (tstamp 18dd9e01-fc69-4980-8984-c0c1495e8e78)) + (fp_line (start 1.6 -1.15) (end 1.6 1.15) (layer "F.Fab") (width 0.1) (tstamp 3977c122-0d76-4359-9073-1b73a3b9171a)) + (fp_line (start -1.6 -1.15) (end -1.5 -1.25) (layer "F.Fab") (width 0.1) (tstamp 536fa033-b489-4d93-bcfb-a41136b29c21)) + (fp_line (start -1.5 1.25) (end -1.6 1.15) (layer "F.Fab") (width 0.1) (tstamp 68e77337-8500-4502-bc75-38eec64058bc)) + (fp_line (start 1.5 1.25) (end -1.5 1.25) (layer "F.Fab") (width 0.1) (tstamp 821cdc8b-cc6d-40f7-b00b-0340c5eeab5b)) + (fp_line (start -1.6 0.25) (end -0.6 1.25) (layer "F.Fab") (width 0.1) (tstamp 9640393f-683d-4793-a0d4-7ad128569025)) + (fp_line (start 1.5 -1.25) (end 1.6 -1.15) (layer "F.Fab") (width 0.1) (tstamp 9b99f0ee-7634-4be5-905a-24631a7e4fdb)) + (fp_line (start -1.5 -1.25) (end 1.5 -1.25) (layer "F.Fab") (width 0.1) (tstamp a4556954-a0e5-46a3-856d-333455062ed9)) + (fp_line (start 1.6 1.15) (end 1.5 1.25) (layer "F.Fab") (width 0.1) (tstamp e35e4bb3-7082-4416-8b26-19d2a115c3dd)) + (pad "1" smd rect (at -1.2 0.95) (size 1.4 1.2) (layers "F.Cu" "F.Paste" "F.Mask") + (net 1 "unconnected-(X1-Pad1)") (pinfunction "EN") (pintype "input+no_connect") (tstamp 3dd7ab25-c7b2-42fe-870e-d2b9bb83f3d8)) + (pad "2" smd rect (at 1.2 0.95) (size 1.4 1.2) (layers "F.Cu" "F.Paste" "F.Mask") + (net 46 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 92b50eac-e787-47fc-84a1-d88e1884ddfc)) + (pad "3" smd rect (at 1.2 -0.95) (size 1.4 1.2) (layers "F.Cu" "F.Paste" "F.Mask") + (net 145 "USB_CLK") (pinfunction "OUT") (pintype "output") (tstamp 84b42302-301d-407b-be46-72e51b64cfc3)) + (pad "4" smd rect (at -1.2 -0.95) (size 1.4 1.2) (layers "F.Cu" "F.Paste" "F.Mask") + (net 50 "+3V3") (pinfunction "V+") (pintype "power_in") (tstamp f315edd5-9b0e-4bac-96a2-1ec6c0307b57)) + (model "${KICAD6_3DMODEL_DIR}/Oscillator.3dshapes/Oscillator_SMD_SeikoEpson_SG8002CE-4Pin_3.2x2.5mm.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + (model "${KICAD6_3DMODEL_DIR}/Crystal.3dshapes/Crystal_SMD_SeikoEpson_TSX3225-4Pin_3.2x2.5mm.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Package_SO:TSSOP-20_4.4x6.5mm_P0.65mm" (layer "F.Cu") + (tedit 5E476F32) (tstamp c9098210-56d2-47de-9119-39fa6c06c27d) + (at 158 84.75) + (descr "TSSOP, 20 Pin (JEDEC MO-153 Var AC https://www.jedec.org/document_search?search_api_views_fulltext=MO-153), generated with kicad-footprint-generator ipc_gullwing_generator.py") + (tags "TSSOP SO") + (property "Sheetfile" "sc64v2.kicad_sch") + (property "Sheetname" "") + (path "/8a46818f-80b6-48c6-9d8f-04eb3e3ccdb1") + (attr smd) + (fp_text reference "U6" (at 0 -4.2) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 22a87442-825f-470c-832d-92354598fa07) + ) + (fp_text value "STM32G030F6P6" (at 0 4.2) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 181df7f3-7bd5-4953-8aa0-52e70b4cdda9) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 41808856-4e18-41ee-9195-b99dd2cf2bb8) + ) + (fp_line (start 0 -3.385) (end 2.2 -3.385) (layer "F.SilkS") (width 0.12) (tstamp 032e55f6-e466-482d-a6c7-1b653691f5c3)) + (fp_line (start 0 -3.385) (end -3.6 -3.385) (layer "F.SilkS") (width 0.12) (tstamp 067a9840-9e86-478d-bd31-a4ee2d96544b)) + (fp_line (start 0 3.385) (end 2.2 3.385) (layer "F.SilkS") (width 0.12) (tstamp 675f100e-e701-4262-86ec-3c0a1f0dcb43)) + (fp_line (start 0 3.385) (end -2.2 3.385) (layer "F.SilkS") (width 0.12) (tstamp 8004e0ba-3dd4-4bf9-9f62-e1a7788f10f5)) + (fp_line (start -3.85 -3.5) (end -3.85 3.5) (layer "F.CrtYd") (width 0.05) (tstamp 45b9cb40-dfaf-444c-8962-7408b5da52ce)) + (fp_line (start 3.85 -3.5) (end -3.85 -3.5) (layer "F.CrtYd") (width 0.05) (tstamp c8577024-d645-4cf9-b69e-d83703db82d4)) + (fp_line (start 3.85 3.5) (end 3.85 -3.5) (layer "F.CrtYd") (width 0.05) (tstamp d4f2cfb6-524d-422b-b1f1-cd6683ae92ab)) + (fp_line (start -3.85 3.5) (end 3.85 3.5) (layer "F.CrtYd") (width 0.05) (tstamp de913da6-f5b2-4208-b922-30025eba791c)) + (fp_line (start 2.2 -3.25) (end 2.2 3.25) (layer "F.Fab") (width 0.1) (tstamp 12318a57-1be9-400d-b439-d21008059281)) + (fp_line (start -2.2 3.25) (end -2.2 -2.25) (layer "F.Fab") (width 0.1) (tstamp 430e95c9-4229-4c3a-8b68-c4db22ebbdd8)) + (fp_line (start -1.2 -3.25) (end 2.2 -3.25) (layer "F.Fab") (width 0.1) (tstamp 912a927e-ffea-4e0d-9df8-b2c238b7cf4f)) + (fp_line (start 2.2 3.25) (end -2.2 3.25) (layer "F.Fab") (width 0.1) (tstamp b90cbad1-5060-4d97-87df-dc1ef9ea6335)) + (fp_line (start -2.2 -2.25) (end -1.2 -3.25) (layer "F.Fab") (width 0.1) (tstamp c99f9c19-70ff-4cff-9876-0e333fb4345f)) + (pad "1" smd roundrect (at -2.8625 -2.925) (size 1.475 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 47 "I2C_SDA") (pinfunction "PB7/PB8") (pintype "bidirectional") (tstamp 82e01682-fbb1-427d-ad00-e4ee267e8876)) + (pad "2" smd roundrect (at -2.8625 -2.275) (size 1.475 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 49 "RTC_MFP") (pinfunction "PB9/PC14-OSC32_IN") (pintype "bidirectional") (tstamp d90e3549-41e5-4bf7-afd7-049e4d1b0fe8)) + (pad "3" smd roundrect (at -2.8625 -1.625) (size 1.475 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 151 "unconnected-(U6-Pad3)") (pinfunction "PC15-OSC32_OUT") (pintype "bidirectional+no_connect") (tstamp fbe9efea-fa8c-4de0-9d49-6a96c47a5978)) + (pad "4" smd roundrect (at -2.8625 -0.975) (size 1.475 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 50 "+3V3") (pinfunction "VDD/VDDA") (pintype "power_in") (tstamp 185596a0-f601-41b9-9300-235f3f38763f)) + (pad "5" smd roundrect (at -2.8625 -0.325) (size 1.475 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 46 "GND") (pinfunction "VSS/VSSA") (pintype "power_in") (tstamp 322d2042-8cef-4887-99a6-19a63a44e0d0)) + (pad "6" smd roundrect (at -2.8625 0.325) (size 1.475 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 157 "Net-(R13-Pad1)") (pinfunction "NRST") (pintype "input") (tstamp 8fff959a-e307-4969-b2f6-4169b2c44326)) + (pad "7" smd roundrect (at -2.8625 0.975) (size 1.475 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 72 "~{N64_RESET}") (pinfunction "PA0") (pintype "bidirectional") (tstamp 9869fac5-317d-466c-af8e-82444fe44ed6)) + (pad "8" smd roundrect (at -2.8625 1.625) (size 1.475 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 28 "N64_CIC_CLK") (pinfunction "PA1") (pintype "bidirectional") (tstamp ae0839c6-a525-4874-8eae-9ac946a29701)) + (pad "9" smd roundrect (at -2.8625 2.275) (size 1.475 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 15 "N64_CIC_DATA") (pinfunction "PA2") (pintype "bidirectional") (tstamp 3969a464-7ee0-4c38-b2e6-2ea21b9b1dcf)) + (pad "10" smd roundrect (at -2.8625 2.925) (size 1.475 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 122 "LED") (pinfunction "PA3") (pintype "bidirectional") (tstamp 5bd83b29-62ca-44eb-8f31-8e8daf31e9a1)) + (pad "11" smd roundrect (at 2.8625 2.925) (size 1.475 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 92 "MCU_CS") (pinfunction "PA4") (pintype "bidirectional") (tstamp 8749dd7f-9f91-49df-9b62-446ec4fea0d5)) + (pad "12" smd roundrect (at 2.8625 2.275) (size 1.475 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 134 "MCU_SCLK") (pinfunction "PA5") (pintype "bidirectional") (tstamp 36bd1f3b-03c7-4a26-9361-26cea99c50f5)) + (pad "13" smd roundrect (at 2.8625 1.625) (size 1.475 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 94 "MCU_MISO") (pinfunction "PA6") (pintype "bidirectional") (tstamp 5b757afb-009d-494f-b81d-5d7499ec4246)) + (pad "14" smd roundrect (at 2.8625 0.975) (size 1.475 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 93 "MCU_MOSI") (pinfunction "PA7") (pintype "bidirectional") (tstamp 1a9cba95-a3b8-4932-9221-d5b0bd593b13)) + (pad "15" smd roundrect (at 2.8625 0.325) (size 1.475 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 95 "MCU_INT") (pinfunction "PB0/PB1/PB2/PA8") (pintype "bidirectional") (tstamp 0d45ef55-1936-4b97-929a-c3efc35bb4ab)) + (pad "16" smd roundrect (at 2.8625 -0.325) (size 1.475 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 39 "UART_TX") (pinfunction "PA11[PA9]") (pintype "bidirectional") (tstamp 815b243b-ab49-48a5-8c6b-2da396e03504)) + (pad "17" smd roundrect (at 2.8625 -0.975) (size 1.475 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 40 "UART_RX") (pinfunction "PA12[PA10]") (pintype "bidirectional") (tstamp 4a70c3a0-c5f9-494d-8e3f-004a241b3cbf)) + (pad "18" smd roundrect (at 2.8625 -1.625) (size 1.475 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 37 "SWDIO") (pinfunction "PA13") (pintype "bidirectional") (tstamp f065770f-73a1-47b0-96df-492c13f6655f)) + (pad "19" smd roundrect (at 2.8625 -2.275) (size 1.475 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 38 "SWCLK") (pinfunction "PA15/PA14-BOOT0") (pintype "bidirectional") (tstamp 16552311-a4f5-43ea-9320-58453e63ef4d)) + (pad "20" smd roundrect (at 2.8625 -2.925) (size 1.475 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 48 "I2C_SCL") (pinfunction "PB3/PB4/PB5/PB6") (pintype "bidirectional") (tstamp 01958f24-8f90-47f6-a931-a560e2111a2e)) + (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/TSSOP-20_4.4x6.5mm_P0.65mm.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric" (layer "F.Cu") + (tedit 5F68FEEE) (tstamp e2915d5d-cb0e-43f9-b878-16340bc0edc3) + (at 107.825 120.5) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (property "Sheetfile" "sc64v2.kicad_sch") + (property "Sheetname" "") + (path "/f29baa2d-b8f7-45f8-96ad-5b304188d80b") + (attr smd) + (fp_text reference "R3" (at 2.675 0) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 98e75b74-3dc9-4a2c-999c-e9e6cb1448ad) + ) + (fp_text value "470R" (at 0 1.43) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 2f417a7e-add7-4fb4-b9e4-8eaa9cad3994) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp c9c99d14-fbd2-41a1-aafc-eefdbc3e219a) + ) + (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) (layer "F.SilkS") (width 0.12) (tstamp 129ad2a7-160f-4e37-90aa-bc0cd30b2e90)) + (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) (layer "F.SilkS") (width 0.12) (tstamp 9fd7fa2f-1d74-49e0-8e72-c2ad02b88d74)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 6af0104c-8bc6-45e3-919f-e1d40cf470be)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 86fcd482-1de5-4d13-a4a5-ff025ffb0d27)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp fba7ebc8-f542-42b0-b30f-209523365f00)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp fc00c967-487d-431b-b758-78c92f44c68a)) + (fp_line (start 0.8 0.4125) (end -0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp 04101976-aa6f-492e-aaa2-281dff642db6)) + (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp 18a5d58a-32be-4a61-958e-b8bbd264006d)) + (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp e255a493-2708-4f43-be75-ca4b7d3ce91f)) + (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp efe79cd2-696c-43f1-92bf-cab31ead8397)) + (pad "1" smd roundrect (at -0.825 0) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 142 "Net-(R3-Pad1)") (pintype "passive") (tstamp 893b3462-a53a-48e9-801d-50d34e881255)) + (pad "2" smd roundrect (at 0.825 0) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 46 "GND") (pintype "passive") (tstamp c5c6026e-2ca9-42e7-b14c-bd386a62ab2d)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "TestPoint:TestPoint_Pad_D1.5mm" (layer "F.Cu") + (tedit 5A0F774F) (tstamp e322e877-fa4b-40a1-b9eb-04bd2db5ed6c) + (at 141 116) + (descr "SMD pad as test Point, diameter 1.5mm") + (tags "test point SMD pad") + (property "Sheetfile" "sc64v2.kicad_sch") + (property "Sheetname" "") + (property "exclude_from_bom" "") + (path "/e3a53687-1d2c-4f55-a6dc-3cb068c0e853") + (attr exclude_from_pos_files exclude_from_bom) + (fp_text reference "TP3" (at 3 0) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp d3b90378-8614-4c96-a4f4-d65cbd7c44b7) + ) + (fp_text value "TestPoint" (at 0 1.75) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 9f9b037b-a248-426c-ae3e-f105bd37f00a) + ) + (fp_text user "${REFERENCE}" (at 0 -1.65) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp e82b805d-1c2f-4bd1-ae25-66f0bd1c394e) + ) + (fp_circle (center 0 0) (end 0 0.95) (layer "F.SilkS") (width 0.12) (fill none) (tstamp 346ffbad-d7c0-4cda-a689-f10f685fb94c)) + (fp_circle (center 0 0) (end 1.25 0) (layer "F.CrtYd") (width 0.05) (fill none) (tstamp 499449eb-e511-416f-8570-302fcdc7b9d6)) + (pad "1" smd circle (at 0 0) (size 1.5 1.5) (layers "F.Cu" "F.Mask") + (net 133 "TEST3") (pinfunction "1") (pintype "passive") (tstamp 81867adc-5032-40cc-a9f7-86bcb8dbe1d0)) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "F.Cu") + (tedit 5F68FEEE) (tstamp e47d2193-a1d7-4860-874a-3755838f3545) + (at 166.75 86.85) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Sheetfile" "sc64v2.kicad_sch") + (property "Sheetname" "") + (path "/13265650-3af2-4401-adaf-15efd42a756b") + (attr smd) + (fp_text reference "C22" (at 0 -1.43) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 4ae7954e-f72e-49a5-b4d8-fc719978c90b) + ) + (fp_text value "12pF" (at 0 1.43) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp dc4f236f-046b-4bf4-ac2b-f6f417326432) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 46a2922f-08f3-47e2-8dd5-09de40d5392e) + ) + (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 3d06a2c9-5d25-487f-b9ec-fce78696d633)) + (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "F.SilkS") (width 0.12) (tstamp 6e51fc0c-c3cc-4b82-aa3e-59c8d709368a)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 26b95fc0-d87a-40cd-bbf0-4ebb09bc8224)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 27d69d55-61e0-4c14-a7fd-a240db44eb12)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 694dde34-27de-4b59-82fe-aca39c237f71)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp d8f4f6db-fca7-4ea5-8e7b-bd2288272804)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 39c589aa-d14d-403e-a809-46e00df8f5a9)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 4ead47ff-9f6f-4a86-addb-20403c5bbf7a)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 86598e33-a7b7-4334-a002-d0b348c2efb4)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp a037cd1d-ebcc-4fc2-98c7-1e580be12c66)) + (pad "1" smd roundrect (at -0.775 0) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 46 "GND") (pintype "passive") (tstamp 5942ae05-7dfa-4b72-916d-6676e72d0ed7)) + (pad "2" smd roundrect (at 0.775 0) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 159 "Net-(C22-Pad2)") (pintype "passive") (tstamp 5bc84d89-655b-4ce6-b615-e38ca9faa6ef)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Connector_USB:USB_C_Receptacle_JAE_DX07S016JA1R1500" (layer "F.Cu") + (tedit 6213F845) (tstamp e8cb6cb3-dd2b-4328-8592-132e369ebb71) + (at 102.2 92.5 -90) + (descr "USB TYPE C, USB 2.0, SMT, https://www.jae.com/en/connectors/series/detail/product/id=91780") + (tags "USB C Type-C Receptacle SMD USB 2.0") + (property "Sheetfile" "sc64v2.kicad_sch") + (property "Sheetname" "") + (path "/defdcc3f-5dd1-462d-9a3c-629711ed4f38") + (attr smd) + (fp_text reference "J1" (at 0 -5.3 180) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp a6460cc6-b11c-4dff-a0ea-9de680e68ca8) + ) + (fp_text value "DX07S016JA3R1500" (at 0 5 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 3aec5e23-e675-4bcf-9a9e-48cb59d51927) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 3c5840eb-164e-426c-ab78-faa89624b9dc) + ) + (fp_line (start -4.58 -1.215) (end -4.58 -0.36) (layer "F.SilkS") (width 0.12) (tstamp 43b7aab0-ec9b-4c58-bfa1-8dda8fccb53f)) + (fp_line (start 4.58 -1.215) (end 4.58 -0.36) (layer "F.SilkS") (width 0.12) (tstamp a26bc030-7d8a-4b19-aa84-9206cc0de2b0)) + (fp_line (start 5.47 -4.33) (end 5.47 4.1) (layer "F.CrtYd") (width 0.05) (tstamp 054f8e07-0141-451f-a3c4-ea786b83b680)) + (fp_line (start -5.47 4.1) (end 5.47 4.1) (layer "F.CrtYd") (width 0.05) (tstamp 3d19e22b-2666-4e7d-825d-37a04ed07fa1)) + (fp_line (start -5.47 -4.33) (end -5.47 4.1) (layer "F.CrtYd") (width 0.05) (tstamp 62af6e3c-7d06-438a-b62f-014ae3262ea1)) + (fp_line (start -5.47 -4.33) (end 5.47 -4.33) (layer "F.CrtYd") (width 0.05) (tstamp ed6caead-58a0-4a37-97cf-621d3ffb0ca4)) + (fp_line (start -4.47 3.6) (end 4.47 3.6) (layer "F.Fab") (width 0.1) (tstamp 248d15cd-dd0c-425d-94cb-b44ccf865457)) + (fp_line (start 4.47 -3.3) (end 4.47 3.6) (layer "F.Fab") (width 0.1) (tstamp 42688fc6-3e24-4a56-9963-828da46dcdfb)) + (fp_line (start -4.47 -3.3) (end 4.47 -3.3) (layer "F.Fab") (width 0.1) (tstamp afc1392c-4488-4251-8167-de520abba754)) + (fp_line (start -4.47 -3.3) (end -4.47 3.6) (layer "F.Fab") (width 0.1) (tstamp c546008e-7661-419e-94b3-0bbb9fd14ec8)) + (pad "" np_thru_hole circle (at -3 -1.95 270) (size 0.6 0.6) (drill 0.6) (layers F&B.Cu *.Mask) (tstamp 08d1dac8-0d6e-4029-9a06-c8863d7fbd51)) + (pad "" np_thru_hole oval (at 3 -1.95 270) (size 0.85 0.6) (drill oval 0.85 0.6) (layers F&B.Cu *.Mask) (tstamp 40962e92-90b6-487d-b0dc-0a6c42b5ebc2)) + (pad "" smd rect (at 1.4 1.15 270) (size 1 2) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp c374668c-56af-42dd-a650-35352e96de63)) + (pad "" smd rect (at -1.4 1.15 270) (size 1 2) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp f630bdcd-b048-45d2-91a0-928349b89dad)) + (pad "A1" smd rect (at -3.1 -3.05 270) (size 0.52 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 46 "GND") (pinfunction "GND") (pintype "passive") (tstamp 25b39db8-8576-4473-b331-b912323e85f4)) + (pad "A4" smd rect (at -2.35 -3.05 270) (size 0.52 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 52 "+5V") (pinfunction "VBUS") (pintype "passive") (tstamp ffde4898-4c0e-4c24-bd8c-aadcd7279172)) + (pad "A5" smd rect (at -1.75 -3.05 270) (size 0.27 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 137 "Net-(J1-PadA5)") (pinfunction "CC1") (pintype "bidirectional") (tstamp 5aa0e472-160b-49ac-864f-0fa7cd9cf9b0)) + (pad "A6" smd rect (at -0.25 -3.05 270) (size 0.27 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 126 "USB_D+") (pinfunction "D+") (pintype "bidirectional") (tstamp 086ab04d-4086-427c-992f-819b91a9021d)) + (pad "A7" smd rect (at 0.75 -3.05 270) (size 0.27 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 127 "USB_D-") (pinfunction "D-") (pintype "bidirectional") (tstamp 59246647-4e57-4b5f-9f1e-b0cc1fb90bb2)) + (pad "A8" smd rect (at 1.75 -3.05 270) (size 0.27 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 138 "unconnected-(J1-PadA8)") (pinfunction "SBU1") (pintype "bidirectional+no_connect") (tstamp 51bdd1cb-8a01-4b1c-940a-3ff4dd1de87c)) + (pad "A9" smd rect (at 2.35 -3.05 270) (size 0.52 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 52 "+5V") (pinfunction "VBUS") (pintype "passive") (tstamp 6025c071-1487-4c03-a645-f67437519813)) + (pad "A12" smd rect (at 3.1 -3.05 270) (size 0.52 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 46 "GND") (pinfunction "GND") (pintype "passive") (tstamp b79d8d99-88b5-4d84-a010-b6d768d67ec8)) + (pad "B1" smd rect (at 3.1 -3.05 270) (size 0.52 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 46 "GND") (pinfunction "GND") (pintype "passive") (tstamp a2c0fc07-9ed2-42e8-8fef-f02fce3412ee)) + (pad "B4" smd rect (at 2.35 -3.05 270) (size 0.52 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 52 "+5V") (pinfunction "VBUS") (pintype "passive") (tstamp 0d678ff1-21aa-4e6f-ae06-abf24406f3c8)) + (pad "B5" smd rect (at 1.25 -3.05 270) (size 0.27 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 139 "Net-(J1-PadB5)") (pinfunction "CC2") (pintype "bidirectional") (tstamp e7c8f673-e523-47ce-91b8-92cf1c7605ce)) + (pad "B6" smd rect (at 0.25 -3.05 270) (size 0.27 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 126 "USB_D+") (pinfunction "D+") (pintype "bidirectional") (tstamp eb06cbed-9a37-40e7-bc33-37acd0ee650a)) + (pad "B7" smd rect (at -0.75 -3.05 270) (size 0.27 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 127 "USB_D-") (pinfunction "D-") (pintype "bidirectional") (tstamp 172b515f-13aa-42a2-b6ac-db67c2e524e7)) + (pad "B8" smd rect (at -1.25 -3.05 270) (size 0.27 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 140 "unconnected-(J1-PadB8)") (pinfunction "SBU2") (pintype "bidirectional+no_connect") (tstamp a5c35670-98af-44c6-a3f4-bbad7ffecfd3)) + (pad "B9" smd rect (at -2.35 -3.05 270) (size 0.52 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 52 "+5V") (pinfunction "VBUS") (pintype "passive") (tstamp 5bd90e77-727e-49e2-881e-09f4ce3768d4)) + (pad "B12" smd rect (at -3.1 -3.05 270) (size 0.52 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 46 "GND") (pinfunction "GND") (pintype "passive") (tstamp af7ccd5a-4c05-4a49-a412-ca568e4c81d2)) + (pad "S1" thru_hole oval (at 4.32 -2.675 270) (size 1.3 2.3) (drill oval 0.6 1.6) (layers *.Cu *.Mask) + (net 46 "GND") (pinfunction "SHIELD") (pintype "passive") (tstamp 67320774-1745-4c89-bec7-2213f7bb7ecc)) + (pad "S1" thru_hole oval (at -4.32 -2.675 270) (size 1.3 2.3) (drill oval 0.6 1.6) (layers *.Cu *.Mask) + (net 46 "GND") (pinfunction "SHIELD") (pintype "passive") (tstamp 911557e5-adec-4d13-9794-a18b325eb4ea)) + (pad "S1" thru_hole oval (at -4.32 1.15 270) (size 1.3 2.6) (drill oval 0.6 1.9) (layers *.Cu *.Mask) + (net 46 "GND") (pinfunction "SHIELD") (pintype "passive") (tstamp cab0d0a9-e089-4f0b-8483-22b4e0addcae)) + (pad "S1" thru_hole oval (at 4.32 1.15 270) (size 1.3 2.6) (drill oval 0.6 1.9) (layers *.Cu *.Mask) + (net 46 "GND") (pinfunction "SHIELD") (pintype "passive") (tstamp d40ed1bf-6a69-492a-acf3-f71f1c7a81f2)) + (model "${KICAD6_3DMODEL_DIR}/Connector_USB.3dshapes/USB_C_Receptacle_JAE_DX07S016JA1R1500.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + (model "${KIPRJMOD}/sc64v2.3dshapes/K3D-DX07S016JA3-V1_JAE_Proprietary.STEP" + (offset (xyz 0 1.1 1.6)) + (scale (xyz 1 1 1)) + (rotate (xyz -90 0 0)) + ) + ) + + (footprint "MountingHole:MountingHole_2mm" (layer "F.Cu") + (tedit 5B924920) (tstamp ea8156d5-694a-4447-ab3e-6346cc03f8ef) + (at 102.5 128.25) + (descr "Mounting Hole 2mm, no annular") + (tags "mounting hole 2mm no annular") + (attr board_only exclude_from_pos_files exclude_from_bom) + (fp_text reference "REF**" (at 0 -3.2) (layer "F.SilkS") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp b2abe3e2-bea4-41af-b320-b8d376a311a6) + ) + (fp_text value "MountingHole_2mm" (at 0 3.1) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp bd41e015-e1b9-4e71-9917-b4fc7b51ba97) + ) + (fp_text user "${REFERENCE}" (at 0.3 0) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 91398f11-237b-430e-b2bb-c6f4aea2e538) + ) + (fp_circle (center 0 0) (end 2 0) (layer "Cmts.User") (width 0.15) (fill none) (tstamp 9eecae69-62ca-4572-aee0-fa0e5463ad89)) + (fp_circle (center 0 0) (end 2.25 0) (layer "F.CrtYd") (width 0.05) (fill none) (tstamp e6a2c566-ab8e-4468-9856-def92a6b2f2f)) + (pad "" np_thru_hole circle locked (at 0 0) (size 2 2) (drill 2) (layers *.Cu *.Mask) (tstamp df924fac-cbe5-4c6e-ad8b-c486578cd2ca)) + ) + + (footprint "LED_SMD:LED_0603_1608Metric" (layer "B.Cu") + (tedit 5F68FEF1) (tstamp 003ea756-553c-44af-959e-01183db13dbd) + (at 198.75 84.25) + (descr "LED SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "LED") + (property "Sheetfile" "sc64v2.kicad_sch") + (property "Sheetname" "") + (path "/45c5d7eb-fb02-4045-bae4-ce53aa49b4f2") + (attr smd) + (fp_text reference "D1" (at -2.75 0) (layer "B.SilkS") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 9ffe88bf-99db-4742-83ff-cac30af8f3f0) + ) + (fp_text value "150060RS75000" (at 0 -1.43) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp f6da1765-37b9-464b-a3e1-4027b149f798) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "B.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + (tstamp ed586bdf-e6ce-472a-958b-3e245475d04c) + ) + (fp_line (start 0.8 0.735) (end -1.485 0.735) (layer "B.SilkS") (width 0.12) (tstamp a15d8bf6-7670-49d5-a459-f65266d1fda5)) + (fp_line (start -1.485 0.735) (end -1.485 -0.735) (layer "B.SilkS") (width 0.12) (tstamp aa8c8ca3-a6c5-4ac0-8459-c0d01e8108b4)) + (fp_line (start -1.485 -0.735) (end 0.8 -0.735) (layer "B.SilkS") (width 0.12) (tstamp cdc9b361-8ab8-4ab7-a39d-35256d175d92)) + (fp_line (start 1.48 -0.73) (end -1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 5e472551-e34b-47f9-8d2d-d48ab129b9c6)) + (fp_line (start 1.48 0.73) (end 1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 69f2083f-e925-4d3e-9401-aa3000e04b8e)) + (fp_line (start -1.48 0.73) (end 1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 8590a7db-0c18-431a-9a93-569e8ec2f020)) + (fp_line (start -1.48 -0.73) (end -1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 8dfb9f3a-f923-4c27-bd45-0b32af7742ad)) + (fp_line (start -0.8 0.1) (end -0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp 00c69372-5e13-45b6-bde0-8b49fef1ae13)) + (fp_line (start 0.8 0.4) (end -0.5 0.4) (layer "B.Fab") (width 0.1) (tstamp 5909ab77-d323-497b-9864-351c8334b0f1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp b5d70107-5b5b-43e9-b911-ee259e099919)) + (fp_line (start -0.5 0.4) (end -0.8 0.1) (layer "B.Fab") (width 0.1) (tstamp b9838915-8ed4-401c-bf47-24a925f18929)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp ed223383-bd7a-497d-acd3-8627163f4156)) + (pad "1" smd roundrect (at -0.7875 0) (size 0.875 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 46 "GND") (pinfunction "K") (pintype "passive") (tstamp 011a2c87-db9f-4cb5-9e2a-7580ffdf0937)) + (pad "2" smd roundrect (at 0.7875 0) (size 0.875 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 128 "Net-(D1-Pad2)") (pinfunction "A") (pintype "passive") (tstamp a222f360-9fdf-4db6-84d2-661528e11c40)) + (model "${KICAD6_3DMODEL_DIR}/LED_SMD.3dshapes/LED_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "B.Cu") + (tedit 5F68FEEE) (tstamp 05361618-ae16-448a-beea-cdc67ad2df33) + (at 129.5 110.25 -90) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Sheetfile" "sc64v2.kicad_sch") + (property "Sheetname" "") + (path "/2fe178e5-f305-4d73-9f34-5dc926fbad07") + (attr smd) + (fp_text reference "C9" (at 0 1.5 90) (layer "B.SilkS") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 6f2ba881-4faa-476a-bacf-3633910d4276) + ) + (fp_text value "100nF" (at 0 -1.43 90) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 7d009ac7-8755-4787-a9c9-cb7f3646b97c) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "B.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + (tstamp 5dad5629-4391-4518-8ed4-8db58e13586f) + ) + (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "B.SilkS") (width 0.12) (tstamp 6fd5b44d-5fdc-4235-87a2-abe6837f3fcf)) + (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "B.SilkS") (width 0.12) (tstamp 7c437d3f-8d24-46a2-96f9-02ac3044fbe3)) + (fp_line (start -1.48 0.73) (end 1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 1c207263-f41d-4a2c-afc1-0508f960015c)) + (fp_line (start 1.48 0.73) (end 1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 5b79cb44-7d2e-4e89-9313-da2199204bff)) + (fp_line (start -1.48 -0.73) (end -1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 637eeb72-1a56-454a-b9c8-343ea0014612)) + (fp_line (start 1.48 -0.73) (end -1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp f52b4037-9fff-42a9-a975-bf6c458a24ba)) + (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp 06777725-b7d9-4475-b7d1-35aff005d3b8)) + (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp 63c1c3ef-23dd-4a2a-b0f6-1c1fafbf8215)) + (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp 78d504a4-22b7-4d0e-a497-da4dc40beea9)) + (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp eb7ba403-04a1-47c4-9424-37971adb3f66)) + (pad "1" smd roundrect (at -0.775 0 270) (size 0.9 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 50 "+3V3") (pintype "passive") (tstamp 6938bbed-d949-4057-9cd2-378b4c2fe88c)) + (pad "2" smd roundrect (at 0.775 0 270) (size 0.9 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 46 "GND") (pintype "passive") (tstamp 800af155-b039-40d5-9b6a-ae2811f8624d)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric" (layer "B.Cu") + (tedit 5F68FEEE) (tstamp 251d49be-1e46-4e1b-a503-035a36b84a12) + (at 138.75 87.75 90) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (property "Sheetfile" "sc64v2.kicad_sch") + (property "Sheetname" "") + (path "/f9c93d04-ae88-4458-aada-7c0f1c1f482b") + (attr smd) + (fp_text reference "R12" (at 0 -1.5 90) (layer "B.SilkS") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 2a40328c-6ab6-45b3-a0ad-27d0fc64e033) + ) + (fp_text value "3.3k" (at 0 -1.43 90) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 10ab8e79-b69d-41c5-8896-213178a41441) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "B.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + (tstamp ee949f12-1707-47b4-9f64-63bce4f0ed5b) + ) + (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) (layer "B.SilkS") (width 0.12) (tstamp 326b16aa-142b-4d94-910b-8c4d8d58192e)) + (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) (layer "B.SilkS") (width 0.12) (tstamp 59d86311-ef56-425e-8a3a-8cb9a484848f)) + (fp_line (start 1.48 0.73) (end 1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 1345fe6c-df84-4a0d-a25f-4df35d8c3944)) + (fp_line (start 1.48 -0.73) (end -1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 17523888-0c94-4f78-9301-e081a7c0654e)) + (fp_line (start -1.48 -0.73) (end -1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp bd604ff5-35e3-4ba7-8648-177453be4324)) + (fp_line (start -1.48 0.73) (end 1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp dc2fa600-5b36-4eee-8f9b-5ebb2e1397ee)) + (fp_line (start 0.8 0.4125) (end 0.8 -0.4125) (layer "B.Fab") (width 0.1) (tstamp 428e319c-ae9f-496e-b5ce-43e9294eb6be)) + (fp_line (start -0.8 -0.4125) (end -0.8 0.4125) (layer "B.Fab") (width 0.1) (tstamp 71853353-d626-4ad0-b514-7b110e993725)) + (fp_line (start -0.8 0.4125) (end 0.8 0.4125) (layer "B.Fab") (width 0.1) (tstamp ae783bc4-3203-4a37-bb01-722d598bf249)) + (fp_line (start 0.8 -0.4125) (end -0.8 -0.4125) (layer "B.Fab") (width 0.1) (tstamp bab6d7d7-d406-4beb-85b0-0129b20844c0)) + (pad "1" smd roundrect (at -0.825 0 90) (size 0.8 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 129 "BUTTON") (pintype "passive") (tstamp 05f2b594-652a-4856-b3af-0e6623a24bba)) + (pad "2" smd roundrect (at 0.825 0 90) (size 0.8 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 50 "+3V3") (pintype "passive") (tstamp 1c0b3d7f-c91f-41c3-877b-164614190bcc)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "B.Cu") + (tedit 5F68FEEE) (tstamp 29ead8f7-9a32-4a87-8a65-25bffbd5fc25) + (at 146.75 110.75 180) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Sheetfile" "sc64v2.kicad_sch") + (property "Sheetname" "") + (path "/e1f00983-a235-4d69-9d9e-906d079c4b90") + (attr smd) + (fp_text reference "C25" (at 3.25 0) (layer "B.SilkS") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 98bc9df5-b9dc-436a-a7e6-26e42a2d657b) + ) + (fp_text value "100nF" (at 0 -1.43) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 7744cd9a-880e-4175-a255-8f2b8c66188c) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "B.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + (tstamp f551c4d4-3cfd-4c27-a73a-e70bb322feba) + ) + (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "B.SilkS") (width 0.12) (tstamp 3ed4bc7d-697b-48bf-9d15-f267e170eb2d)) + (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "B.SilkS") (width 0.12) (tstamp d493c4ea-b460-4361-9213-21786f3f85c0)) + (fp_line (start -1.48 -0.73) (end -1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 00338c06-6298-411e-a1b3-17937f0ad40a)) + (fp_line (start 1.48 -0.73) (end -1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 045ba246-783e-475e-a540-980433ab0757)) + (fp_line (start 1.48 0.73) (end 1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 5474886e-af41-4518-8a9c-100c1b3cd30f)) + (fp_line (start -1.48 0.73) (end 1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp e1178854-3502-4cad-ba0a-1116550ef976)) + (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp 70488a8b-41c9-493a-960d-3325a749bb54)) + (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp 8bce378c-2637-4c15-b509-68e10b237b90)) + (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp cd7fdd43-abbd-4f16-baa8-2f922ebae9dd)) + (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp f152746a-f665-4da2-ad90-82b1c0ccc1be)) + (pad "1" smd roundrect (at -0.775 0 180) (size 0.9 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 50 "+3V3") (pintype "passive") (tstamp 1bf4a695-7813-4267-921a-68f0f7efa67c)) + (pad "2" smd roundrect (at 0.775 0 180) (size 0.9 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 46 "GND") (pintype "passive") (tstamp ffd0dec2-332e-45ef-ac1a-1fb0e8f920d5)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "B.Cu") + (tedit 5F68FEEE) (tstamp 2a6a8865-f869-4252-9b55-cc9dec767869) + (at 156.25 116.5 -90) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Sheetfile" "sc64v2.kicad_sch") + (property "Sheetname" "") + (path "/84b8b021-1eac-40ec-9d18-9bb490fec58a") + (attr smd) + (fp_text reference "C38" (at -3.25 0 90) (layer "B.SilkS") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp fdabd807-346f-4318-b43a-01c21f10f254) + ) + (fp_text value "100nF" (at 0 -1.43 90) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 20aec792-6fa9-47c5-a6f7-8aa9e098f9e5) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "B.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + (tstamp e426ad62-4408-4356-ad3e-0ce707a173c2) + ) + (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "B.SilkS") (width 0.12) (tstamp 11703537-9c44-4dfe-9ce5-873e40bb06c0)) + (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "B.SilkS") (width 0.12) (tstamp b64b9bd2-a120-4cbc-9027-52e0e97ba188)) + (fp_line (start -1.48 0.73) (end 1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 07df67ae-e9cd-4388-b56b-202a2240aa8d)) + (fp_line (start 1.48 -0.73) (end -1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 5bc49ce6-c0d4-4b8a-91bf-5467ab225ebc)) + (fp_line (start -1.48 -0.73) (end -1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp b0c21bb7-9bf3-4b0f-a7e0-7d55f802d7d8)) + (fp_line (start 1.48 0.73) (end 1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp e320dace-2d07-498d-898e-bd9ba97bc73b)) + (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp 1d023e34-8f3f-486b-86dd-d3e4ccf3f6ec)) + (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp 3021ba53-23b3-45c7-9e2b-11ac4172fa61)) + (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp 562c92af-4159-4153-98d5-810088d08d4b)) + (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp dd933d3c-ce9c-4922-9197-eca8fb8d36ca)) + (pad "1" smd roundrect (at -0.775 0 270) (size 0.9 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 50 "+3V3") (pintype "passive") (tstamp e6097060-5b38-4544-96b9-c4f9020c5ffa)) + (pad "2" smd roundrect (at 0.775 0 270) (size 0.9 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 46 "GND") (pintype "passive") (tstamp ad127880-562e-4217-bdc9-fe432aa3c3ad)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "B.Cu") + (tedit 5F68FEEE) (tstamp 2a6b9008-1420-44eb-a60b-2a1f0ee361fb) + (at 169.75 110.75) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Sheetfile" "sc64v2.kicad_sch") + (property "Sheetname" "") + (path "/5a894943-2e60-4640-96a2-a55eb4cfef14") + (attr smd) + (fp_text reference "C35" (at 3.25 0) (layer "B.SilkS") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp bc1fc674-9cd1-4501-9142-da44a4c88317) + ) + (fp_text value "100nF" (at 0 -1.43) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 986edde4-a6e3-47d6-95ba-fd4619ced1f7) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "B.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + (tstamp c278978f-c1ad-4d2f-8e2d-5366b1729024) + ) + (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "B.SilkS") (width 0.12) (tstamp 04b8eb05-76c2-40fb-8a12-7ebea08e8904)) + (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "B.SilkS") (width 0.12) (tstamp d636effd-6368-480c-9174-ac2e7420af13)) + (fp_line (start -1.48 -0.73) (end -1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 2cb09f1d-268b-4f7b-9a95-2abe02a576a6)) + (fp_line (start 1.48 -0.73) (end -1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 4b449423-e5aa-4285-9e3e-e896888a113c)) + (fp_line (start 1.48 0.73) (end 1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 7b5d3f8c-6114-42e1-b50c-579811e9188f)) + (fp_line (start -1.48 0.73) (end 1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp d719ae76-2b48-4812-b83c-ba456baf05e1)) + (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp 137886ba-9f86-412b-b1bf-1b56f5961a6f)) + (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp 53f536bf-5719-4ec0-a130-c2086d89c258)) + (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp 6298c7d7-3b8a-4216-8a23-cc1ce39f5874)) + (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp e382dbd3-c8b9-42de-a972-3b0ec2bc60e6)) + (pad "1" smd roundrect (at -0.775 0) (size 0.9 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 50 "+3V3") (pintype "passive") (tstamp 4abe2e0c-a85d-4af0-9d8a-67a415509f9c)) + (pad "2" smd roundrect (at 0.775 0) (size 0.9 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 46 "GND") (pintype "passive") (tstamp 2dcead03-e068-4192-bcec-6a922f93bb1c)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "B.Cu") + (tedit 5F68FEEE) (tstamp 3418355a-2e70-4b8e-a09c-7ef9732851f5) + (at 153.25 93.5 90) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Sheetfile" "sc64v2.kicad_sch") + (property "Sheetname" "") + (path "/2bbbf7e2-fec0-4e0e-af5e-d1d876305dd1") + (attr smd) + (fp_text reference "C29" (at -3.25 0 90) (layer "B.SilkS") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 110e63af-bb20-4149-b004-9f65d6ecae1d) + ) + (fp_text value "100nF" (at 0 -1.43 90) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 4b5c67d7-2ec1-4df6-9eb8-31e32b0e9d0b) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "B.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + (tstamp e6988291-ce5b-4f30-9f64-5190e18a0138) + ) + (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "B.SilkS") (width 0.12) (tstamp 4de0a77a-9059-40d8-bcc1-7dc3504394e1)) + (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "B.SilkS") (width 0.12) (tstamp 6e997c33-60fc-4cd6-9519-45de5f584de7)) + (fp_line (start -1.48 0.73) (end 1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 4ab51dda-3098-47ac-a770-3e4691b7fb98)) + (fp_line (start 1.48 0.73) (end 1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp a6323535-3664-48b3-a77d-2fc1f6426324)) + (fp_line (start 1.48 -0.73) (end -1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp c68e8aeb-b5e2-4dee-8218-e2bc2ee0a75f)) + (fp_line (start -1.48 -0.73) (end -1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp f4a9846d-8df7-4255-99c8-bd83d0d44b88)) + (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp 41108e13-c7f5-4d27-af9d-9c1769b069dc)) + (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp 4a4d326b-7933-4a9f-aa0c-d01bf8304477)) + (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp 4fdde9b9-bbf8-4278-a813-c5b4067c95c4)) + (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp 7ec78c58-9241-4b12-83e8-9a38030acc25)) + (pad "1" smd roundrect (at -0.775 0 90) (size 0.9 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 50 "+3V3") (pintype "passive") (tstamp 4c5d6ff5-1267-46d7-b831-2dd908a6631c)) + (pad "2" smd roundrect (at 0.775 0 90) (size 0.9 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 46 "GND") (pintype "passive") (tstamp 456ce071-69d4-4c36-81b0-2fc50ca72cb7)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "B.Cu") + (tedit 5F68FEEE) (tstamp 399c2030-e1cb-4e3a-983e-135ba9181773) + (at 131.25 104.5 -90) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Sheetfile" "sc64v2.kicad_sch") + (property "Sheetname" "") + (path "/81faf8c0-1827-4289-ab03-9e47b8583766") + (attr smd) + (fp_text reference "C11" (at 0 -1.5 90) (layer "B.SilkS") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp e99397c2-a8b8-4cfd-8d16-022a9e6eb9df) + ) + (fp_text value "100nF" (at 0 -1.43 90) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp cd6ffc92-c966-424b-a68c-28a122f0d65d) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "B.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + (tstamp 6e2fb201-3f9e-49a4-8094-5a233ad7aad4) + ) + (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "B.SilkS") (width 0.12) (tstamp 4a0284be-5976-4931-9fc2-f6760c7aeaa4)) + (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "B.SilkS") (width 0.12) (tstamp 9cbf8a0c-15e7-4b2b-842c-abdfb2435920)) + (fp_line (start -1.48 0.73) (end 1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 32c3c50d-a73f-4c10-b7bc-d50f91caa82c)) + (fp_line (start 1.48 -0.73) (end -1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 4f12ca69-b323-4bf3-af6e-05cd1e2b73c8)) + (fp_line (start 1.48 0.73) (end 1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 8e2acbdb-9e9d-428e-8781-26e6d9da5903)) + (fp_line (start -1.48 -0.73) (end -1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp ce959f25-ee17-455a-ac9e-36f4e224fbaf)) + (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp 3ab1e458-594a-4b59-9dcd-03841aab0122)) + (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp 65be2138-819f-4440-ac39-3ad0bf9372a8)) + (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp 7c17fb64-5ca1-4021-923f-dc295e2531b5)) + (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp 81c710ec-0800-4368-8e5c-d7efb3a5950c)) + (pad "1" smd roundrect (at -0.775 0 270) (size 0.9 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 50 "+3V3") (pintype "passive") (tstamp 42b87f20-a1d6-4278-946b-b93947e3c36d)) + (pad "2" smd roundrect (at 0.775 0 270) (size 0.9 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 46 "GND") (pintype "passive") (tstamp a15130a0-88b1-44cd-b75e-c7b512318c58)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric" (layer "B.Cu") + (tedit 5F68FEEE) (tstamp 3b64249a-93a4-4cc2-8c86-f80cfd0188f2) + (at 198.75 89.5) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (property "Sheetfile" "sc64v2.kicad_sch") + (property "Sheetname" "") + (path "/57757361-0691-4a91-bc3d-0dc7562fcbe2") + (attr smd) + (fp_text reference "R19" (at -3.25 0) (layer "B.SilkS") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 801d565e-1ba1-4c9b-a717-fa50001782d1) + ) + (fp_text value "10k" (at 0 -1.43) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp bdf44d3b-b8b9-4bac-916d-8281b1336f25) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "B.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + (tstamp e22b7200-fd48-4ff3-a3d5-56264cc8f7a9) + ) + (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) (layer "B.SilkS") (width 0.12) (tstamp 10d45985-cb9e-40f3-88ce-c5fb48b59efa)) + (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) (layer "B.SilkS") (width 0.12) (tstamp 42878619-7b8d-4f92-b591-19e6e34c39a7)) + (fp_line (start 1.48 0.73) (end 1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 3a83afd9-c751-4aec-82bb-6cc8947efa66)) + (fp_line (start 1.48 -0.73) (end -1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 68871408-78c4-4c9d-bc45-88222a3673e1)) + (fp_line (start -1.48 0.73) (end 1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 6af87bd1-c643-4de4-a92f-3f0ff9a7fad8)) + (fp_line (start -1.48 -0.73) (end -1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 7da80533-bd8b-4bc3-8ad6-3d93e85b4e83)) + (fp_line (start -0.8 -0.4125) (end -0.8 0.4125) (layer "B.Fab") (width 0.1) (tstamp 04a4cb43-3430-4099-8cd6-124df4ba20be)) + (fp_line (start -0.8 0.4125) (end 0.8 0.4125) (layer "B.Fab") (width 0.1) (tstamp 754767d8-077f-4888-9a34-f38dc8ac384f)) + (fp_line (start 0.8 -0.4125) (end -0.8 -0.4125) (layer "B.Fab") (width 0.1) (tstamp 862ce772-62d1-4035-b1d5-1d1f366683ca)) + (fp_line (start 0.8 0.4125) (end 0.8 -0.4125) (layer "B.Fab") (width 0.1) (tstamp 9beb5608-9856-4c24-ad27-4b048b8f3ab6)) + (pad "1" smd roundrect (at -0.825 0) (size 0.8 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 36 "SD_DET") (pintype "passive") (tstamp 1dddf885-f5a4-4aef-a856-19a48090d217)) + (pad "2" smd roundrect (at 0.825 0) (size 0.8 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 50 "+3V3") (pintype "passive") (tstamp 99f1ccda-3e5d-4e90-8e7c-95762602317f)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric" (layer "B.Cu") + (tedit 5F68FEEE) (tstamp 434c9733-b213-4ee0-ab6b-4653f063d2b6) + (at 169.75 88) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (property "Sheetfile" "sc64v2.kicad_sch") + (property "Sheetname" "") + (path "/83ac819d-dfbc-422a-a76f-f95cac775ba2") + (attr smd) + (fp_text reference "R17" (at -3.25 0) (layer "B.SilkS") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 5df8d0e6-429f-49cd-88db-aac9f9fc0df1) + ) + (fp_text value "10k" (at 0 -1.43) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp b18caaa4-5306-4bcb-bba9-faa77bc0cb38) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "B.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + (tstamp c8d4b3a2-2d05-48c8-87f2-d4d23f0efbc2) + ) + (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) (layer "B.SilkS") (width 0.12) (tstamp a4aa0925-70ec-4eaf-85ee-e2bb06520950)) + (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) (layer "B.SilkS") (width 0.12) (tstamp c82b790c-1c00-448e-8419-fe8e2aa2a913)) + (fp_line (start -1.48 -0.73) (end -1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 16123273-8946-41ad-b7a5-f87eb444a483)) + (fp_line (start 1.48 -0.73) (end -1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 54125536-2728-4658-bbcb-40d364061155)) + (fp_line (start -1.48 0.73) (end 1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 577a4a8e-666e-4e9d-8c92-06de2e36a7dd)) + (fp_line (start 1.48 0.73) (end 1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp ea164ffc-92a5-4e79-a285-2c6ed8158bf7)) + (fp_line (start -0.8 0.4125) (end 0.8 0.4125) (layer "B.Fab") (width 0.1) (tstamp 1cb823a2-5c19-48eb-8576-f80827b5012f)) + (fp_line (start -0.8 -0.4125) (end -0.8 0.4125) (layer "B.Fab") (width 0.1) (tstamp 706ff0c8-ed95-42a1-9192-ec9ac88942ea)) + (fp_line (start 0.8 0.4125) (end 0.8 -0.4125) (layer "B.Fab") (width 0.1) (tstamp 71d34fb7-ed61-4ca5-a250-1b282c9db10e)) + (fp_line (start 0.8 -0.4125) (end -0.8 -0.4125) (layer "B.Fab") (width 0.1) (tstamp a93aa41e-663e-4952-ba77-da03bbc49545)) + (pad "1" smd roundrect (at -0.825 0) (size 0.8 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 94 "MCU_MISO") (pintype "passive") (tstamp d5b73198-9a6c-4687-82e9-0b137e1e57fa)) + (pad "2" smd roundrect (at 0.825 0) (size 0.8 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 50 "+3V3") (pintype "passive") (tstamp f94f4b2d-3ec6-48f3-ab32-51ba0715585a)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "B.Cu") + (tedit 5F68FEEE) (tstamp 4aa6895c-ef38-4503-bcd1-9b6d7c401014) + (at 162.25 93.5 90) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Sheetfile" "sc64v2.kicad_sch") + (property "Sheetname" "") + (path "/e1080d6b-d7da-48f7-b6bc-20790315d00d") + (attr smd) + (fp_text reference "C31" (at -3 0 90) (layer "B.SilkS") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 1d5b8984-7c40-44c5-8e42-bc98824fb8e5) + ) + (fp_text value "100nF" (at 0 -1.43 90) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp c32ac298-4b17-46a8-9f88-ab0041f7585b) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "B.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + (tstamp 0504e9a9-6bd9-4b41-b7eb-91fc59929fbe) + ) + (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "B.SilkS") (width 0.12) (tstamp 31f0e5f7-631c-4e12-9cf4-a1173ec3db77)) + (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "B.SilkS") (width 0.12) (tstamp 85e984aa-5daa-42e6-9ed3-04cfd4a6b095)) + (fp_line (start -1.48 0.73) (end 1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 018e4e62-fb51-4fae-9eb6-b3c70a859c23)) + (fp_line (start 1.48 -0.73) (end -1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 14e6953f-b356-4956-8664-c231a7ab7b70)) + (fp_line (start -1.48 -0.73) (end -1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 65ecf67c-4986-487e-bc70-3aa88a8e1e08)) + (fp_line (start 1.48 0.73) (end 1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp a774eaa8-a01c-4a32-bd81-12f23a4ba944)) + (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp b74aecc3-d72c-4c56-bcaa-7686141534a5)) + (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp dcb9b0c9-0664-465b-9576-ca57d9c8c463)) + (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp e7413b0e-64cc-49cc-a4ef-b5e35d1d76c0)) + (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp e868908f-1bdd-4c0d-8be8-1da574813724)) + (pad "1" smd roundrect (at -0.775 0 90) (size 0.9 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 50 "+3V3") (pintype "passive") (tstamp e17d5463-5a38-41d7-b590-90417a711bc0)) + (pad "2" smd roundrect (at 0.775 0 90) (size 0.9 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 46 "GND") (pintype "passive") (tstamp 6acdf2bd-3477-4471-96ad-c9234ed19442)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "B.Cu") + (tedit 5F68FEEE) (tstamp 54494038-de3e-431b-9441-3811d7c9d070) + (at 146.75 103.75 180) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Sheetfile" "sc64v2.kicad_sch") + (property "Sheetname" "") + (path "/acd48532-9df4-4213-8073-4ea5ab05ba2a") + (attr smd) + (fp_text reference "C26" (at 3.25 0) (layer "B.SilkS") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 4cd4477e-f6a5-4e29-a64c-503588836690) + ) + (fp_text value "100nF" (at 0 -1.43) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp f53ce64f-284d-42c1-9241-af6d69769eed) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "B.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + (tstamp ff9c4b2c-700f-49d2-b164-5e224b34fac1) + ) + (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "B.SilkS") (width 0.12) (tstamp bec1a620-55de-4110-9159-0137ce4e2899)) + (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "B.SilkS") (width 0.12) (tstamp dd8366e5-1c54-45b2-a402-98db0b37bde5)) + (fp_line (start 1.48 -0.73) (end -1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 226d1db4-f5cf-4812-964a-9be1e733cc59)) + (fp_line (start -1.48 0.73) (end 1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 2821a3bc-d71f-49d9-abc3-7e58af179110)) + (fp_line (start 1.48 0.73) (end 1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 5bec9cf2-786a-40b1-bd7d-a057ba718120)) + (fp_line (start -1.48 -0.73) (end -1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 7a96b32e-9582-4b36-8b32-6c9a7e044de8)) + (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp 548e4525-cc7a-430b-95b2-3367c200d4ed)) + (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp 7e7f42a9-8e7d-4d10-a4dd-52b9bb6f3630)) + (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp 96577a1c-b313-40ca-9478-7fa941395b8b)) + (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp 9aa65cce-9997-4d96-afc7-7fb779b8a083)) + (pad "1" smd roundrect (at -0.775 0 180) (size 0.9 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 50 "+3V3") (pintype "passive") (tstamp f02200ab-dbc3-4c10-a516-b807663aa44f)) + (pad "2" smd roundrect (at 0.775 0 180) (size 0.9 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 46 "GND") (pintype "passive") (tstamp 1f70cc50-a584-492e-a9d5-942e422e44d9)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "B.Cu") + (tedit 5F68FEEE) (tstamp 54de79df-44a7-43eb-8ad4-fdb7d5a48de0) + (at 194.05 101.75 90) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Sheetfile" "sc64v2.kicad_sch") + (property "Sheetname" "") + (path "/4a8503ee-5770-4f04-9dfc-cfd261659fa9") + (attr smd) + (fp_text reference "C43" (at 0 -1.35 90) (layer "B.SilkS") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 241d7685-b718-40ba-82d7-874106324d0b) + ) + (fp_text value "100nF" (at 0 -1.43 90) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 4ff688f4-2542-4be1-9bba-9a728361516e) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "B.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + (tstamp 121e47f3-a841-4fde-bdf3-587c2f79c7ac) + ) + (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "B.SilkS") (width 0.12) (tstamp 1605cfe6-a5e6-4073-90c3-76d21eca9614)) + (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "B.SilkS") (width 0.12) (tstamp c486cc5b-c6c5-4b50-a27d-95016f8cd3b8)) + (fp_line (start -1.48 0.73) (end 1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 32d88a5e-3db9-46df-8d82-7197df81a180)) + (fp_line (start 1.48 0.73) (end 1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 3c74b5a0-4213-4430-a667-111a08c0456d)) + (fp_line (start 1.48 -0.73) (end -1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 794d5be7-2a82-4d54-8424-f41146bc4058)) + (fp_line (start -1.48 -0.73) (end -1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp edd50ced-7f19-4d09-a13a-6dc29edd9dc7)) + (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp 55741eb9-8913-4eec-baa4-aa2d015b4d8f)) + (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp 97d60488-f596-4be5-bfbb-d567f8c76ec3)) + (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp c112261f-e01c-4784-bee7-7ece7e36d49b)) + (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp df871243-3824-48db-a919-a49c1b49e395)) + (pad "1" smd roundrect (at -0.775 0 90) (size 0.9 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 50 "+3V3") (pintype "passive") (tstamp 1d110fbc-bcfa-4b40-8d0c-0715449bb279)) + (pad "2" smd roundrect (at 0.775 0 90) (size 0.9 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 46 "GND") (pintype "passive") (tstamp e4a4d135-15c8-4c8d-8a35-19cbe798d859)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "B.Cu") + (tedit 5F68FEEE) (tstamp 58fc9acf-5d3d-412f-87df-7f4aea6b6e85) + (at 189.25 101.75 90) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Sheetfile" "sc64v2.kicad_sch") + (property "Sheetname" "") + (path "/807f06fd-69e9-4462-bc69-40d576fe8720") + (attr smd) + (fp_text reference "C42" (at 0 -1.35 90) (layer "B.SilkS") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 00d0ea72-d21e-4cbb-902f-3ee3d13ab43c) + ) + (fp_text value "100nF" (at 0 -1.43 90) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 0fdfef40-974a-40b5-8b06-02367930f0dd) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "B.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + (tstamp d95ff2e0-c1cb-4b6f-8bdf-d4f3f594e413) + ) + (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "B.SilkS") (width 0.12) (tstamp 0e0eb641-0d86-4280-957e-27966797d337)) + (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "B.SilkS") (width 0.12) (tstamp 6a5c690d-19b1-4c87-9688-0829e092d0b7)) + (fp_line (start -1.48 0.73) (end 1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 0ad0d108-36fc-4a7b-b20b-0c424726e006)) + (fp_line (start 1.48 0.73) (end 1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 60295596-46cd-44f3-90e6-02f71d11d356)) + (fp_line (start -1.48 -0.73) (end -1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 7bd1a6be-576e-4ace-9411-a56e968b9bec)) + (fp_line (start 1.48 -0.73) (end -1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 82a75ff8-7910-436f-a893-3e3aca65a44c)) + (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp 16336186-a514-42fd-b1f6-d90331b3a102)) + (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp 3f366a7b-72df-4393-9fba-1f9de79a8dad)) + (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp 820a6945-c754-41a1-a4f1-3f3a4ed6b6ac)) + (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp f40a845c-f69d-4b3c-b454-8c54b5e09dbb)) + (pad "1" smd roundrect (at -0.775 0 90) (size 0.9 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 50 "+3V3") (pintype "passive") (tstamp a0a2cd2b-db34-4589-87a7-f31712c88e54)) + (pad "2" smd roundrect (at 0.775 0 90) (size 0.9 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 46 "GND") (pintype "passive") (tstamp 6307eef4-7f0a-4480-b513-477d485cb8fc)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "B.Cu") + (tedit 5F68FEEE) (tstamp 5ad35f6e-ff4c-4614-8289-c5651468e0ed) + (at 186.85 113.25 90) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Sheetfile" "sc64v2.kicad_sch") + (property "Sheetname" "") + (path "/65dd3f32-98df-4103-ac72-9d5146d33dfe") + (attr smd) + (fp_text reference "C45" (at 0 -1.35 90) (layer "B.SilkS") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 6779910a-e822-4fd6-a4fa-208d3094c162) + ) + (fp_text value "100nF" (at 0 -1.43 90) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 9077f81a-96d8-4f37-b483-ab81d99484db) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "B.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + (tstamp 19a32799-09fc-472b-8d1b-f9619743e294) + ) + (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "B.SilkS") (width 0.12) (tstamp 7f17f2bf-509b-49c2-b5e9-66a1451f6b22)) + (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "B.SilkS") (width 0.12) (tstamp 82758f03-17c7-4615-8c7a-43b658a0c562)) + (fp_line (start 1.48 -0.73) (end -1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 1b9c14c0-bf45-4ff7-afa5-0cd77fdcdb98)) + (fp_line (start -1.48 -0.73) (end -1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 812a4ebb-0b90-4a1c-bc2a-f57cf0896c77)) + (fp_line (start 1.48 0.73) (end 1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp c0d41c38-4ded-4e50-8559-5a2a805ccf93)) + (fp_line (start -1.48 0.73) (end 1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp c13f65a0-e1b6-4ada-be54-24dc46efb4e1)) + (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp 0fe87e5f-a1c6-4bd4-8185-34a24217cbbf)) + (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp 933e1592-7af8-4e03-b60d-99171b7bab58)) + (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp b717b7f2-f4c9-4923-8140-af417e4a6bdc)) + (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp d13c247e-d36a-4758-a93c-66abadbc8927)) + (pad "1" smd roundrect (at -0.775 0 90) (size 0.9 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 50 "+3V3") (pintype "passive") (tstamp 62d703ff-be9a-4ae4-9276-7bba13add308)) + (pad "2" smd roundrect (at 0.775 0 90) (size 0.9 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 46 "GND") (pintype "passive") (tstamp 6911d5dd-70a7-43f6-aea8-d702af040597)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "B.Cu") + (tedit 5F68FEEE) (tstamp 5b169b0a-a3d9-4a40-9c65-bd8be3b0d28c) + (at 138.75 93.75 -90) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Sheetfile" "sc64v2.kicad_sch") + (property "Sheetname" "") + (path "/49f3385c-4a1a-4ed1-a308-2c37f51240ba") + (attr smd) + (fp_text reference "C23" (at 0 1.43 90) (layer "B.SilkS") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 835273cf-8b97-4839-ab1a-652af71be8d8) + ) + (fp_text value "100nF" (at 0 -1.43 90) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 7e591f27-bbfc-404e-8921-e95d7107ced6) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "B.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + (tstamp 0e61da84-4c44-4ae5-8144-beb5b5c58a9b) + ) + (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "B.SilkS") (width 0.12) (tstamp 27321710-5c3b-4144-9444-9987d0df8737)) + (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "B.SilkS") (width 0.12) (tstamp dcb9a9da-3632-4e88-b7e2-19eae805a929)) + (fp_line (start 1.48 0.73) (end 1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 80b6e55e-1f28-4b93-9e9a-606ef0e4dc4f)) + (fp_line (start 1.48 -0.73) (end -1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp bd635f52-5d0d-48e1-810b-ad02d858e60a)) + (fp_line (start -1.48 0.73) (end 1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp e1796790-5a41-416d-9823-2362b24a8d8f)) + (fp_line (start -1.48 -0.73) (end -1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp fbd0f063-c5a6-437f-8c7a-8a5247f3a147)) + (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp 722d17d6-b966-4607-ba5d-67865649a707)) + (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp 874acf39-6dee-4f70-9cb4-d1189273667c)) + (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp b2804934-dedf-458f-854b-3d75fb664886)) + (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp b891cb77-719c-4f93-bb43-d4b8dbfd000a)) + (pad "1" smd roundrect (at -0.775 0 270) (size 0.9 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 50 "+3V3") (pintype "passive") (tstamp 85849a7a-1d7b-4993-b7ad-be0f550e503c)) + (pad "2" smd roundrect (at 0.775 0 270) (size 0.9 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 46 "GND") (pintype "passive") (tstamp bf9e2632-13b8-4ecc-a824-d6439e286c0a)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "B.Cu") + (tedit 5F68FEEE) (tstamp 659621d3-cd47-4890-8de6-37ceefb574da) + (at 129.5 100.25 -90) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Sheetfile" "sc64v2.kicad_sch") + (property "Sheetname" "") + (path "/0f368328-b928-4c36-bde8-df1e5494dab0") + (attr smd) + (fp_text reference "C13" (at 0 1.43 90) (layer "B.SilkS") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp aa1da506-8d40-4c75-b78e-b636a37af319) + ) + (fp_text value "100nF" (at 0 -1.43 90) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 5680421d-f7b1-4135-8c11-8d280a2fa7e6) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "B.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + (tstamp 8f5b9142-a3f3-468c-a6d3-b74fb024d85d) + ) + (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "B.SilkS") (width 0.12) (tstamp 65c8ac3e-8d70-47c6-8403-f130af6b41ad)) + (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "B.SilkS") (width 0.12) (tstamp bea93652-670f-43de-aa3d-e3a4907fe2a4)) + (fp_line (start -1.48 0.73) (end 1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 985b0446-58f5-427b-b9b3-64e1992987ce)) + (fp_line (start 1.48 0.73) (end 1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp e0a5d4ca-8775-4931-bde6-08c1f42f00ec)) + (fp_line (start 1.48 -0.73) (end -1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp e9f178a9-6e46-4020-a47f-77ff64463723)) + (fp_line (start -1.48 -0.73) (end -1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp ee225219-e4c5-46ca-ab70-dead839202e2)) + (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp 0ee2144c-54e2-4705-9886-ef8af5ab2e05)) + (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp 94c686c4-e7ed-4d08-9d92-7801b5c9ccf7)) + (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp a5ed5987-d2b6-4014-a916-edc7d243b919)) + (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp ef19402c-72a9-42f3-81d2-b208a14d349d)) + (pad "1" smd roundrect (at -0.775 0 270) (size 0.9 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 46 "GND") (pintype "passive") (tstamp 5c33422b-1c00-4d6a-ac71-6e8004e773e8)) + (pad "2" smd roundrect (at 0.775 0 270) (size 0.9 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 160 "Net-(C13-Pad2)") (pintype "passive") (tstamp 2794fe1c-6561-49b4-b2be-42cf68f27509)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "B.Cu") + (tedit 5F68FEEE) (tstamp 6baac206-6f9e-4b08-806d-05d390d49a8d) + (at 174.85 101.75 90) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Sheetfile" "sc64v2.kicad_sch") + (property "Sheetname" "") + (path "/bc7d6f8c-6dfc-49b5-ab62-ccadd92d55cc") + (attr smd) + (fp_text reference "C40" (at 0 1.55 90) (layer "B.SilkS") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp f823a200-98c1-4b14-98a8-9e3604f63c28) + ) + (fp_text value "100nF" (at 0 -1.43 90) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp b219de4d-4964-4909-8e0d-dc552bd5db8f) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "B.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + (tstamp 37a1caad-ed6f-4ee5-bb4b-e64cb7c7b0ce) + ) + (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "B.SilkS") (width 0.12) (tstamp 1ff7b80b-0a1e-4399-b6e5-687ff0b342ab)) + (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "B.SilkS") (width 0.12) (tstamp 4189fa2e-6c49-4115-8e91-cb7e79391fe0)) + (fp_line (start -1.48 0.73) (end 1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 01b35dce-9e84-4308-aefc-0edfee7f66c0)) + (fp_line (start 1.48 0.73) (end 1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp b6d4397c-bde9-41c3-83f0-1dba43ec9d2a)) + (fp_line (start -1.48 -0.73) (end -1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp e5cee7b6-3ee9-4a18-b2b8-4085f011e7f5)) + (fp_line (start 1.48 -0.73) (end -1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp fca7f8ae-d9bb-439c-b9ea-2efc103a94f1)) + (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp 3fcb1df2-a6d9-4ab8-8237-c2859d91f12b)) + (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp 52d5f47b-242d-4870-9551-462b5c1045bb)) + (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp aa7b99ab-92cc-4835-9a53-bc6a2e671285)) + (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp e48434cb-b3d6-4c65-a933-211742df2318)) + (pad "1" smd roundrect (at -0.775 0 90) (size 0.9 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 50 "+3V3") (pintype "passive") (tstamp 5e1e6b37-d194-4c5c-813d-a06fcb50c9fd)) + (pad "2" smd roundrect (at 0.775 0 90) (size 0.9 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 46 "GND") (pintype "passive") (tstamp afa4eae6-84f6-4b3b-b80a-0d726d7c705a)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric" (layer "B.Cu") + (tedit 5F68FEEE) (tstamp 73111f4b-15d4-4ab4-b97b-4aa509ba3d68) + (at 150.25 87.75 -90) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (property "Sheetfile" "sc64v2.kicad_sch") + (property "Sheetname" "") + (path "/218503c2-8dbd-4619-ac7b-4c01fe0226fe") + (attr smd) + (fp_text reference "R13" (at 0 -1.5 90) (layer "B.SilkS") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp b622b0e9-bbb8-4d0d-82a7-488bdc63a070) + ) + (fp_text value "10k" (at 0 -1.43 90) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 06e80294-fab6-42a5-b075-7e66f54a1b00) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "B.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + (tstamp 5442bb09-df9a-43bc-a2f3-77df1359fa92) + ) + (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) (layer "B.SilkS") (width 0.12) (tstamp 028e05cd-7581-47da-8f88-dd59cf6d2173)) + (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) (layer "B.SilkS") (width 0.12) (tstamp 82ca41fb-328c-4878-ab76-6b9813701b06)) + (fp_line (start 1.48 0.73) (end 1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 11d9514e-7a0d-497b-9a3c-ae92943685b6)) + (fp_line (start -1.48 -0.73) (end -1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 74460851-9daf-4df4-a7cc-d4e627b959ac)) + (fp_line (start 1.48 -0.73) (end -1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 8bcf9104-ab56-45e8-80d4-ca2346148564)) + (fp_line (start -1.48 0.73) (end 1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 933e9ee2-8cf4-4653-9ee4-91f1f04508b6)) + (fp_line (start -0.8 -0.4125) (end -0.8 0.4125) (layer "B.Fab") (width 0.1) (tstamp 2f7faf57-7c9c-47b3-bf0d-60c8f85c2ba8)) + (fp_line (start 0.8 -0.4125) (end -0.8 -0.4125) (layer "B.Fab") (width 0.1) (tstamp 7033e550-ac05-4205-a45d-3969e8489c0f)) + (fp_line (start 0.8 0.4125) (end 0.8 -0.4125) (layer "B.Fab") (width 0.1) (tstamp 7d72b95a-f392-4522-bd90-d2f0f9acc32a)) + (fp_line (start -0.8 0.4125) (end 0.8 0.4125) (layer "B.Fab") (width 0.1) (tstamp e47ade78-362f-4e56-9932-29e4ad596639)) + (pad "1" smd roundrect (at -0.825 0 270) (size 0.8 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 157 "Net-(R13-Pad1)") (pintype "passive") (tstamp 52f5224d-5963-4787-8fca-d1919ad6c1a4)) + (pad "2" smd roundrect (at 0.825 0 270) (size 0.8 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 50 "+3V3") (pintype "passive") (tstamp 7204d54d-ca69-4daf-81c0-20e60aba73f3)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric" (layer "B.Cu") + (tedit 5F68FEEE) (tstamp 73889da6-ed88-482b-b08d-87871c1c23c2) + (at 169.75 82.75) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (property "Sheetfile" "sc64v2.kicad_sch") + (property "Sheetname" "") + (path "/aab80820-43a0-4879-aa62-70e3b13add9d") + (attr smd) + (fp_text reference "R15" (at -3.25 0) (layer "B.SilkS") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 863d2061-484e-4eb9-bb74-50bdc0b444a7) + ) + (fp_text value "2.2k" (at 0 -1.43) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 308da90b-a185-4257-8cd6-aeadfdd39d6d) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "B.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + (tstamp 8257bf08-e782-44f9-ad8c-72107914bba9) + ) + (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) (layer "B.SilkS") (width 0.12) (tstamp 20639b9d-464f-4691-935f-cc62d7656992)) + (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) (layer "B.SilkS") (width 0.12) (tstamp d942a2b9-3b40-4931-b952-f10eb268d4bc)) + (fp_line (start -1.48 0.73) (end 1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 5cefb8cb-6f2a-40ff-a062-1440eb2f7388)) + (fp_line (start 1.48 -0.73) (end -1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 945664d0-69d7-4087-b0dd-a365cf6ec06f)) + (fp_line (start -1.48 -0.73) (end -1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp c0cffad9-df62-4b0c-8ad0-3909e38fc309)) + (fp_line (start 1.48 0.73) (end 1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp f52a82b0-36f8-45a5-ad4a-1a4bbe6fdda1)) + (fp_line (start 0.8 0.4125) (end 0.8 -0.4125) (layer "B.Fab") (width 0.1) (tstamp 2680b0e3-366a-48bf-b21a-c5cf5af0a43e)) + (fp_line (start -0.8 0.4125) (end 0.8 0.4125) (layer "B.Fab") (width 0.1) (tstamp 3c5c329f-86f1-4dec-922d-23e6b15e79cc)) + (fp_line (start -0.8 -0.4125) (end -0.8 0.4125) (layer "B.Fab") (width 0.1) (tstamp d745f72c-2bba-4976-82b3-f1283578ba0c)) + (fp_line (start 0.8 -0.4125) (end -0.8 -0.4125) (layer "B.Fab") (width 0.1) (tstamp d7de32c2-1f84-44b5-9a36-608d5bba389a)) + (pad "1" smd roundrect (at -0.825 0) (size 0.8 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 48 "I2C_SCL") (pintype "passive") (tstamp 236d25d7-3700-4464-b838-0c922ed97744)) + (pad "2" smd roundrect (at 0.825 0) (size 0.8 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 50 "+3V3") (pintype "passive") (tstamp 8b8e855b-1aa1-4d40-9a7c-e1bc1257a119)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "B.Cu") + (tedit 5F68FEEE) (tstamp 7909af69-45eb-481d-bf31-9e2c922e4048) + (at 149.5 116.5 -90) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Sheetfile" "sc64v2.kicad_sch") + (property "Sheetname" "") + (path "/109c53cf-c8a3-456a-9546-7c3328fa259a") + (attr smd) + (fp_text reference "C39" (at -3.25 0 90) (layer "B.SilkS") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp a0d175ec-14f8-4de3-88d0-9f1b35a2f297) + ) + (fp_text value "100nF" (at 0 -1.43 90) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp dcff373c-0443-472e-904d-230bd717a0a6) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "B.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + (tstamp 8270d2fe-e6ce-426a-ac61-0d4c1080d255) + ) + (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "B.SilkS") (width 0.12) (tstamp 2b294e27-bf13-4428-917e-bb1f54c0c7e4)) + (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "B.SilkS") (width 0.12) (tstamp e5875edb-bc7a-49ba-915d-a122d9dff2b6)) + (fp_line (start -1.48 -0.73) (end -1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 02dee339-394d-443a-9c5e-b5507b69e5c7)) + (fp_line (start 1.48 -0.73) (end -1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 0a64ea5e-6235-4a38-a731-60d652934dfd)) + (fp_line (start -1.48 0.73) (end 1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 951d0996-e37c-4403-95a9-ec176632d370)) + (fp_line (start 1.48 0.73) (end 1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp e7ad06a8-4718-49f4-89c1-34c159db2589)) + (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp 46f8e71c-f72c-493c-8588-34f53d96b6ed)) + (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp a83db6f2-4374-43cb-855b-255e807d5ea3)) + (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp bb6fd1b3-e431-4fd5-8e29-55d62f3f934f)) + (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp d9c03e8a-5046-4f4c-bead-f8fd0acac375)) + (pad "1" smd roundrect (at -0.775 0 270) (size 0.9 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 50 "+3V3") (pintype "passive") (tstamp cd4b2be5-9fc9-4c71-847b-63e5fbb2b3b0)) + (pad "2" smd roundrect (at 0.775 0 270) (size 0.9 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 46 "GND") (pintype "passive") (tstamp 4103626e-ee81-4455-8efd-b18b3557acae)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "B.Cu") + (tedit 5F68FEEE) (tstamp 7a159225-9062-484b-8132-9f8c8e8f9ad5) + (at 131.25 100.25 -90) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Sheetfile" "sc64v2.kicad_sch") + (property "Sheetname" "") + (path "/fe7d11ce-921f-4c35-a390-452b3002c6eb") + (attr smd) + (fp_text reference "C14" (at 0 -1.5 90) (layer "B.SilkS") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp c10f5614-824e-4139-86a9-9f45e62578b4) + ) + (fp_text value "100nF" (at 0 -1.43 90) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 555ef4c9-f8e2-4547-b6c7-bbcc91b1bb25) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "B.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + (tstamp 4265fcc8-6f2d-4f1e-930c-a7d9cc994589) + ) + (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "B.SilkS") (width 0.12) (tstamp 2c81aca6-491b-4e9c-9d2a-5813d0ede215)) + (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "B.SilkS") (width 0.12) (tstamp 40baccfb-afeb-461f-a81c-bec56657c149)) + (fp_line (start -1.48 0.73) (end 1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 2fccc865-b754-4b8b-8865-98f67212dbe4)) + (fp_line (start 1.48 -0.73) (end -1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 30384668-5f8a-46be-9e43-dfc5d52827c7)) + (fp_line (start -1.48 -0.73) (end -1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 87e52922-60df-4a5c-b1e3-798fda721824)) + (fp_line (start 1.48 0.73) (end 1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp d7062960-c48c-4a27-b03a-cf0241d4349d)) + (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp 0fd0a4a1-c42e-41ef-8023-5696099360ad)) + (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp 32c57709-b956-432b-a21c-0f7a3e963ae0)) + (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp 378c65bb-a6c4-47dd-8a7b-899ce2ac6376)) + (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp edd804c3-e4c5-4a52-9db9-dc7f0ef65d24)) + (pad "1" smd roundrect (at -0.775 0 270) (size 0.9 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 46 "GND") (pintype "passive") (tstamp f7d4fa53-7266-44bb-ab2f-748542fc6654)) + (pad "2" smd roundrect (at 0.775 0 270) (size 0.9 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 156 "Net-(C14-Pad2)") (pintype "passive") (tstamp f9618e71-cc19-49fb-94ec-eb159af002c6)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Battery:BatteryHolder_Keystone_1060_1x2032" (layer "B.Cu") + (tedit 5B98EF5E) (tstamp 7ca7ae4d-e1eb-4db9-b84b-7ad12cfe7c64) + (at 115 100 -90) + (descr "http://www.keyelco.com/product-pdf.cfm?p=726") + (tags "CR2032 BR2032 BatteryHolder Battery") + (property "Sheetfile" "sc64v2.kicad_sch") + (property "Sheetname" "") + (path "/29bdd9c1-a511-41a4-81ee-696b6cbdf543") + (attr smd) + (fp_text reference "BT1" (at 10.2 9.5 90) (layer "B.SilkS") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 8c138403-e22c-4ea7-a9a1-dff853ccab9c) + ) + (fp_text value "1060" (at 0 1 90) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp b4b8736c-9367-4a00-a1b8-68b1269fb1d7) + ) + (fp_text user "${REFERENCE}" (at 0 -1 90) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp ef8d1851-9e71-4978-b0bd-13b3286e7ac3) + ) + (fp_line (start -11.35 -3.85) (end -14.55 -3.85) (layer "B.SilkS") (width 0.12) (tstamp 1bcc8d44-e661-4c69-a037-afe0643e9d07)) + (fp_line (start -14.55 3.85) (end -14.55 2.3) (layer "B.SilkS") (width 0.12) (tstamp 207c0cf1-7438-4d77-95a9-49c47a7d2e60)) + (fp_line (start -11.35 8.35) (end -11.35 3.85) (layer "B.SilkS") (width 0.12) (tstamp 20bf7222-78ec-4b47-885b-4f6293f4ab12)) + (fp_line (start -14.55 -3.85) (end -14.55 -2.3) (layer "B.SilkS") (width 0.12) (tstamp 26141879-2388-4c89-87cf-9d2ed34cd1ab)) + (fp_line (start -9.55 -8.35) (end -11.35 -6.55) (layer "B.SilkS") (width 0.12) (tstamp 2c7ce49e-429e-417c-9da0-4274c00e5058)) + (fp_line (start 11.35 -8.35) (end -9.55 -8.35) (layer "B.SilkS") (width 0.12) (tstamp 318e7ca0-bc76-4f86-8b55-855dc8de9da1)) + (fp_line (start 11.35 -3.85) (end 14.55 -3.85) (layer "B.SilkS") (width 0.12) (tstamp 3b07811b-d4e4-44c0-8284-4b65112ce40a)) + (fp_line (start -12 -6) (end -14 -6) (layer "B.SilkS") (width 0.12) (tstamp 6438d48d-ba1c-43b7-a7fe-2fc2976aa1c9)) + (fp_line (start 11.35 8.35) (end 11.35 3.85) (layer "B.SilkS") (width 0.12) (tstamp 6cae9a05-4357-4bdf-8388-c8b768ebb501)) + (fp_line (start 11.35 3.85) (end 14.55 3.85) (layer "B.SilkS") (width 0.12) (tstamp 70afd946-4e66-48a3-b3a1-94f7b6c5ed9b)) + (fp_line (start -11.35 8.35) (end 11.35 8.35) (layer "B.SilkS") (width 0.12) (tstamp 8e98d142-8c88-4d5f-bef3-b5547b559648)) + (fp_line (start 11.35 -8.35) (end 11.35 -3.85) (layer "B.SilkS") (width 0.12) (tstamp 9581d001-bb27-410b-ba4d-0eed6be0d4e2)) + (fp_line (start -11.35 -6.55) (end -11.35 -3.85) (layer "B.SilkS") (width 0.12) (tstamp 98263d76-e27d-4e15-a995-b49916ef3bc7)) + (fp_line (start -11.35 3.85) (end -14.55 3.85) (layer "B.SilkS") (width 0.12) (tstamp a7e27450-fd7b-40b6-9505-8ab57cb8a15e)) + (fp_line (start 14.55 3.85) (end 14.55 2.3) (layer "B.SilkS") (width 0.12) (tstamp c2216d80-5534-4314-9a55-9fb2e80cb27c)) + (fp_line (start 14.55 -3.85) (end 14.55 -2.3) (layer "B.SilkS") (width 0.12) (tstamp d8a63b6d-ff75-4cc3-a547-920b92a86c1f)) + (fp_line (start -13 -5) (end -13 -7) (layer "B.SilkS") (width 0.12) (tstamp f5cfe675-11d3-4aae-901e-a45642a0bec5)) + (fp_circle (center 0 0) (end -10.2 0) (layer "Dwgs.User") (width 0.3) (fill none) (tstamp 69900227-5e8b-43cb-93c0-ae423ab9d598)) + (fp_line (start 11.5 8.5) (end 6.5 8.5) (layer "B.CrtYd") (width 0.05) (tstamp 0593948d-9a41-491a-95df-b8b7645eec85)) + (fp_line (start 16.45 2.3) (end 16.45 -2.3) (layer "B.CrtYd") (width 0.05) (tstamp 08354601-beae-460b-8844-263f17467403)) + (fp_line (start -14.7 4) (end -11.5 4) (layer "B.CrtYd") (width 0.05) (tstamp 10d3218c-8f69-453d-a8e0-1dba77de99d9)) + (fp_line (start -11.5 8.5) (end -6.5 8.5) (layer "B.CrtYd") (width 0.05) (tstamp 11173cde-3d82-4526-9630-84c2146ee58a)) + (fp_line (start -11.5 -4) (end -11.5 -8.5) (layer "B.CrtYd") (width 0.05) (tstamp 15351178-2e05-4afc-9e91-0b18f81e4f14)) + (fp_line (start -14.7 2.3) (end -16.45 2.3) (layer "B.CrtYd") (width 0.05) (tstamp 185a8d98-6702-4808-ab39-b896696561a5)) + (fp_line (start -14.7 -4) (end -14.7 -2.3) (layer "B.CrtYd") (width 0.05) (tstamp 27a3b362-3a05-4448-a9c0-56ccb0e6df10)) + (fp_line (start -14.7 -4) (end -11.5 -4) (layer "B.CrtYd") (width 0.05) (tstamp 4082a8b4-ab0e-4358-bcde-9b8c9d29f6e9)) + (fp_line (start -16.45 -2.3) (end -16.45 2.3) (layer "B.CrtYd") (width 0.05) (tstamp 48ed6d94-ef8b-427f-963c-5895e6a0fa1b)) + (fp_line (start 14.7 4) (end 14.7 2.3) (layer "B.CrtYd") (width 0.05) (tstamp 4fda1720-f35c-4ba6-b874-714184905b73)) + (fp_line (start 14.7 -2.3) (end 14.7 -4) (layer "B.CrtYd") (width 0.05) (tstamp 50bdb251-98bd-4959-bf89-788ba2dcc76f)) + (fp_line (start -14.7 2.3) (end -14.7 4) (layer "B.CrtYd") (width 0.05) (tstamp 577eb16b-bd42-441c-b905-b8d08d02c600)) + (fp_line (start 11.5 -8.5) (end 6.5 -8.5) (layer "B.CrtYd") (width 0.05) (tstamp 603abc8f-8069-469e-a62c-5a0bda763298)) + (fp_line (start -14.7 -2.3) (end -16.45 -2.3) (layer "B.CrtYd") (width 0.05) (tstamp 66f8f748-923a-42f5-88f6-41a28d1c3602)) + (fp_line (start 16.45 -2.3) (end 14.7 -2.3) (layer "B.CrtYd") (width 0.05) (tstamp 7aae6f15-f2d1-41d4-98e3-e12d1c66c48e)) + (fp_line (start 11.5 -4) (end 11.5 -8.5) (layer "B.CrtYd") (width 0.05) (tstamp 7ee14215-544f-44f4-84f5-eb28da30f6e9)) + (fp_line (start 14.7 2.3) (end 16.45 2.3) (layer "B.CrtYd") (width 0.05) (tstamp 85e91b46-4509-483b-95c9-d2d8d3bd3365)) + (fp_line (start -11.5 4) (end -11.5 8.5) (layer "B.CrtYd") (width 0.05) (tstamp 8f719246-a263-4c80-9641-0471f874176a)) + (fp_line (start -6.5 -8.5) (end -11.5 -8.5) (layer "B.CrtYd") (width 0.05) (tstamp 946744b6-7e98-4f33-a1bc-bebe76c4e816)) + (fp_line (start 11.5 4) (end 14.7 4) (layer "B.CrtYd") (width 0.05) (tstamp a17e794b-ab12-4576-aaa5-d5edba73a1b7)) + (fp_line (start 11.5 8.5) (end 11.5 4) (layer "B.CrtYd") (width 0.05) (tstamp bb704cfe-fafe-4bc3-b193-c9ef529b98df)) + (fp_line (start 14.7 -4) (end 11.5 -4) (layer "B.CrtYd") (width 0.05) (tstamp f33bf832-c709-4503-8034-cf16bc39c227)) + (fp_arc (start 6.5 8.5) (mid 0 10.700467) (end -6.499999 8.5) (layer "B.CrtYd") (width 0.05) (tstamp 87295646-39df-4420-9a97-9d6cdf8c3ad3)) + (fp_arc (start -6.5 -8.5) (mid 0 -10.700467) (end 6.499999 -8.5) (layer "B.CrtYd") (width 0.05) (tstamp e9678580-9d7d-4f1b-893c-abab443a686e)) + (fp_line (start -11 -6.4) (end -11 -3.5) (layer "B.Fab") (width 0.1) (tstamp 0f338d8e-7bf1-4364-9268-a4de7e4f3e07)) + (fp_line (start 11 -3.5) (end 14.2 -3.5) (layer "B.Fab") (width 0.1) (tstamp 1385dd93-0a9c-4268-83b3-60f04722355e)) + (fp_line (start 11 -8) (end -9.4 -8) (layer "B.Fab") (width 0.1) (tstamp 1a79e718-ab5e-4b0f-9800-f1daf5eb62ab)) + (fp_line (start -11 -3.5) (end -14.2 -3.5) (layer "B.Fab") (width 0.1) (tstamp 1d44025d-4340-4752-ba63-648e54e53c1b)) + (fp_line (start -14.2 3.5) (end -11 3.5) (layer "B.Fab") (width 0.1) (tstamp 22478861-316a-4629-a278-bb7f093c30bb)) + (fp_line (start 14.2 3.5) (end 11 3.5) (layer "B.Fab") (width 0.1) (tstamp 32b2b32f-cf53-430b-86a3-48ff32f9a264)) + (fp_line (start -11 8) (end -11 3.5) (layer "B.Fab") (width 0.1) (tstamp 493336d0-6a28-490f-b976-d194bd545c52)) + (fp_line (start 14.2 -3.5) (end 14.2 3.5) (layer "B.Fab") (width 0.1) (tstamp 614a4531-15bf-407d-b9c0-ad6ef3648193)) + (fp_line (start 11 -8) (end 11 -3.5) (layer "B.Fab") (width 0.1) (tstamp 76690e6b-2bc6-451a-afe6-94b74b842f1f)) + (fp_line (start 11 8) (end -11 8) (layer "B.Fab") (width 0.1) (tstamp aa47d0e4-c64c-430d-a982-cedce715a60a)) + (fp_line (start -14.2 -3.5) (end -14.2 3.5) (layer "B.Fab") (width 0.1) (tstamp d9c686e1-da11-4971-8192-4bf3653d7060)) + (fp_line (start -9.4 -8) (end -11 -6.4) (layer "B.Fab") (width 0.1) (tstamp e93853ac-73d0-42c2-836f-493788ccab1a)) + (fp_line (start 11 8) (end 11 3.5) (layer "B.Fab") (width 0.1) (tstamp f32adb60-c05e-481e-bf99-a841cb28aed2)) + (pad "1" smd rect locked (at -14.65 0 90) (size 2.6 3.6) (layers "B.Cu" "B.Paste" "B.Mask") + (net 45 "Net-(BT1-Pad1)") (pinfunction "+") (pintype "passive") (tstamp 6e8fc5a4-0cf5-4ef7-81af-49d3d24e7d42)) + (pad "2" smd rect locked (at 14.65 0 90) (size 2.6 3.6) (layers "B.Cu" "B.Paste" "B.Mask") + (net 46 "GND") (pinfunction "-") (pintype "passive") (tstamp bfa8dfba-ca58-4f82-a2fc-ba5a56a6b61b)) + (model "${KICAD6_3DMODEL_DIR}/Battery.3dshapes/BatteryHolder_Keystone_1060_1x2032.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "B.Cu") + (tedit 5F68FEEE) (tstamp 857f9461-8b1e-4ff0-84f2-369849c05782) + (at 134.75 104.5 90) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Sheetfile" "sc64v2.kicad_sch") + (property "Sheetname" "") + (path "/5f5a5875-6a56-4582-a73d-ebf515c445e5") + (attr smd) + (fp_text reference "C12" (at 0 1.5 90) (layer "B.SilkS") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 00ea2558-4ad3-43f0-ae36-0a464f2e589f) + ) + (fp_text value "100nF" (at 0 -1.43 90) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 75373835-230d-4166-a341-4bb00cb117c9) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "B.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + (tstamp 2e7e2e7d-9751-4b3c-9350-5c6e14de1b2b) + ) + (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "B.SilkS") (width 0.12) (tstamp 5863b792-6c0e-43ed-8b89-ca95c43fbc52)) + (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "B.SilkS") (width 0.12) (tstamp c4d16fb3-7dbf-4224-a7a1-564797dcc944)) + (fp_line (start -1.48 -0.73) (end -1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 25ab6a1a-9154-44e8-8fa1-858da83bfedd)) + (fp_line (start -1.48 0.73) (end 1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 2f2e0347-e812-4aad-8833-87c028db554b)) + (fp_line (start 1.48 0.73) (end 1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp facc2845-74c1-4e1b-bec7-2ab2546d8668)) + (fp_line (start 1.48 -0.73) (end -1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp ff77009a-fa72-4dc1-81ab-bb6d817fa35d)) + (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp 34ec618e-3bba-48d4-b069-f296d658cf91)) + (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp a86925e1-5a46-4b0c-8fdb-34e0d51b1abd)) + (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp ad9c7d99-1fc6-48a0-a898-1004227987ea)) + (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp e9fd72b5-35fe-4359-b9bd-25afc10d326b)) + (pad "1" smd roundrect (at -0.775 0 90) (size 0.9 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 50 "+3V3") (pintype "passive") (tstamp 140e9908-91b1-4ce6-9fd4-fe7810f98a39)) + (pad "2" smd roundrect (at 0.775 0 90) (size 0.9 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 46 "GND") (pintype "passive") (tstamp 2ef4dc30-a28d-4925-ad98-7471aed48b75)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "B.Cu") + (tedit 5F68FEEE) (tstamp 8d9cf9c0-5231-4af2-84f2-440679c0fe95) + (at 160.75 93.5 90) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Sheetfile" "sc64v2.kicad_sch") + (property "Sheetname" "") + (path "/5641d56b-9829-49e8-b5b5-4c281f49578e") + (attr smd) + (fp_text reference "C30" (at -3 0 90) (layer "B.SilkS") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 96c45afc-b0a6-4143-a890-31aa930944ef) + ) + (fp_text value "100nF" (at 0 -1.43 90) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp b3d9a7ca-6cf2-4182-b3d1-6e4e718b5266) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "B.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + (tstamp 2a8339d6-4e3d-4a28-803a-e5d5e2c9b6cc) + ) + (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "B.SilkS") (width 0.12) (tstamp 1bf2b951-a688-4740-a104-06c626ed6131)) + (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "B.SilkS") (width 0.12) (tstamp 4dca4afd-8b88-4b5f-bb65-2a45fcc8defb)) + (fp_line (start 1.48 0.73) (end 1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 220995ab-8317-4f5f-a07f-ddb53b94359e)) + (fp_line (start -1.48 0.73) (end 1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 3e870fdb-503d-4015-9cc2-d80c43536cac)) + (fp_line (start -1.48 -0.73) (end -1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 716bf133-287c-406e-a96c-34701beb4c19)) + (fp_line (start 1.48 -0.73) (end -1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 8e560349-adc5-4deb-b111-40679bc64d16)) + (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp 65936575-d788-4930-b44a-653bcd40e220)) + (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp 75f2b13f-086f-4f3b-a384-d5c2c81bb0ac)) + (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp 81b92b6e-17a4-4cdd-8012-1c720f2f43f7)) + (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp b740a94e-0465-4595-a840-636a2f62d64c)) + (pad "1" smd roundrect (at -0.775 0 90) (size 0.9 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 50 "+3V3") (pintype "passive") (tstamp 7b8e36bb-565d-4552-b2e4-2d294984076a)) + (pad "2" smd roundrect (at 0.775 0 90) (size 0.9 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 46 "GND") (pintype "passive") (tstamp 7c48d84e-ba59-4e31-b1e9-cb131b01815a)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "B.Cu") + (tedit 5F68FEEE) (tstamp 8eb848c8-f201-478d-8b6e-49e248348322) + (at 179.25 83.75 180) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Sheetfile" "sc64v2.kicad_sch") + (property "Sheetname" "") + (path "/d21e5415-679a-40f4-b9d3-0f558c2e3abf") + (attr smd) + (fp_text reference "C20" (at 3.25 0) (layer "B.SilkS") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 7c1a1e2f-09ab-4fa9-bebc-eeb65f0bb9b7) + ) + (fp_text value "100nF" (at 0 -1.43) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 695fb231-e9ce-48d3-bbb4-3bd4a09e56a5) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "B.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + (tstamp 095779be-69a7-441a-bcd0-119f12492c20) + ) + (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "B.SilkS") (width 0.12) (tstamp e06c585e-7a05-426a-b42e-d545bc8e790b)) + (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "B.SilkS") (width 0.12) (tstamp ed99dc4f-8ce6-40e3-9cbc-46782d5ccea9)) + (fp_line (start -1.48 -0.73) (end -1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 75d38934-f2cd-467c-ab5d-a0340df8357a)) + (fp_line (start 1.48 0.73) (end 1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp b7b40143-8b09-427d-80a3-e36d3048ff9b)) + (fp_line (start 1.48 -0.73) (end -1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp b8bcde2a-af5b-402e-9d38-04ff37161a3f)) + (fp_line (start -1.48 0.73) (end 1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp fa302220-e99a-456e-812f-e92f6778433c)) + (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp 1117ebb2-eee4-4e3e-8489-d50c94135e9c)) + (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp 57bf11cb-18ec-4ae8-ae77-5e2ebce78f38)) + (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp 73d6f83c-07bd-44f8-807d-1e86f5248319)) + (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp 89d67379-da60-419c-a14d-3032fbae78ec)) + (pad "1" smd roundrect (at -0.775 0 180) (size 0.9 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 50 "+3V3") (pintype "passive") (tstamp e3bc27de-342b-4c91-9ccc-021740e53976)) + (pad "2" smd roundrect (at 0.775 0 180) (size 0.9 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 46 "GND") (pintype "passive") (tstamp d0a4b709-82d2-4931-a860-fc29743444ff)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Inductor_SMD:L_0603_1608Metric" (layer "B.Cu") + (tedit 5F68FEF0) (tstamp 8fe6335c-6ef4-4f90-bd23-e22dd8eeb1b0) + (at 135.5 114.25 -90) + (descr "Inductor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "inductor") + (property "Sheetfile" "sc64v2.kicad_sch") + (property "Sheetname" "") + (path "/45ec13fe-5f93-4814-b0a9-2ef724502115") + (attr smd) + (fp_text reference "L2" (at 2.75 0 90) (layer "B.SilkS") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 5f05dd44-8b92-4b23-9f9f-e51112b2994b) + ) + (fp_text value "470R" (at 0 -1.43 90) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp c8da5eda-b775-4e70-8a14-47fc22f0bb78) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "B.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + (tstamp a28df6ae-941a-4d07-889b-58fe0bd0d27e) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer "B.SilkS") (width 0.12) (tstamp 316f72ef-241f-44c2-8e3d-bffd24039e35)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer "B.SilkS") (width 0.12) (tstamp 5b7282a4-47f0-4248-a1df-8c8a5ecb94b7)) + (fp_line (start 1.48 -0.73) (end -1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 2c0915df-afbf-4912-8de2-91b83869b9da)) + (fp_line (start -1.48 0.73) (end 1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 386ff0c5-124e-499e-a45f-28336ea5af28)) + (fp_line (start -1.48 -0.73) (end -1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 87c29868-a29a-49f0-9df1-3a8df82a7050)) + (fp_line (start 1.48 0.73) (end 1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 94949aa3-da43-4ccf-ae22-bf0d3f893a6b)) + (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp 3df33561-bcc1-4f29-979d-f20561ddcb4d)) + (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp b8b61681-8da2-4443-a2cf-aabbb306a5cd)) + (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp e1f2cc33-7ec0-49e8-8cdf-6de17f8ea923)) + (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp e9897491-5131-4a7f-8b88-03e156163b7e)) + (pad "1" smd roundrect (at -0.7875 0 270) (size 0.875 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 50 "+3V3") (pinfunction "1") (pintype "passive") (tstamp 8f4b765d-4abb-4850-b6d6-d6b5768de045)) + (pad "2" smd roundrect (at 0.7875 0 270) (size 0.875 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 155 "Net-(C8-Pad1)") (pinfunction "2") (pintype "passive") (tstamp ab34ad5c-193c-4837-8002-aa3e314be835)) + (model "${KICAD6_3DMODEL_DIR}/Inductor_SMD.3dshapes/L_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "B.Cu") + (tedit 5F68FEEE) (tstamp 90edf9d8-e992-4ea0-aafd-5d26923d797a) + (at 185.25 101.75 90) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Sheetfile" "sc64v2.kicad_sch") + (property "Sheetname" "") + (path "/a61e6e2e-0cef-4616-939d-fadea790ce4f") + (attr smd) + (fp_text reference "C41" (at 0 -1.35 90) (layer "B.SilkS") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 906d95da-6fb2-42d1-9a3c-17ba9521b7e7) + ) + (fp_text value "100nF" (at 0 -1.43 90) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 4f29ffae-9574-406c-80f1-5441fe1ae372) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "B.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + (tstamp e5252a0e-1057-46b1-8da4-3148f108cfdb) + ) + (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "B.SilkS") (width 0.12) (tstamp 4700e0d2-fdd2-45c1-9915-5db20950332d)) + (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "B.SilkS") (width 0.12) (tstamp f6c325fb-dc2d-4753-8384-f70809664667)) + (fp_line (start 1.48 0.73) (end 1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp b38a5886-1df2-4ceb-b8fa-1cf3f5f76dfc)) + (fp_line (start -1.48 -0.73) (end -1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp c0f55775-d328-437d-8457-7b3a0179c855)) + (fp_line (start -1.48 0.73) (end 1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp ebb8a4b2-5292-4f50-bc44-acfe223c5b76)) + (fp_line (start 1.48 -0.73) (end -1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp f2b8e7d8-732a-4914-be26-61ad944ede14)) + (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp 33f0e28a-aab3-4fb0-a05f-a4339c2aa1c9)) + (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp 5b9f4e59-5bae-4be0-9917-6165143c44b5)) + (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp 7825bf05-dd97-4eeb-917b-85d43d27459c)) + (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp 8b5f09a6-8066-4f51-869e-fccdf6b31de1)) + (pad "1" smd roundrect (at -0.775 0 90) (size 0.9 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 50 "+3V3") (pintype "passive") (tstamp 6a245e4f-f14e-4a8a-aa64-76b78cf49603)) + (pad "2" smd roundrect (at 0.775 0 90) (size 0.9 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 46 "GND") (pintype "passive") (tstamp e8ae2e54-e6d4-446e-854a-e129d34f1dc8)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "B.Cu") + (tedit 5F68FEEE) (tstamp 954421bc-64b3-4841-a752-5be2b216804b) + (at 133.5 114.25 90) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Sheetfile" "sc64v2.kicad_sch") + (property "Sheetname" "") + (path "/03085d81-2734-4969-bd47-0eafbab0f38e") + (attr smd) + (fp_text reference "C8" (at -2.75 0 90) (layer "B.SilkS") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp f20caa5e-21a5-4e1b-837b-58c3276a7842) + ) + (fp_text value "100nF" (at 0 -1.43 90) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp e6baeb91-c0f2-47dd-aec8-4cff3d571c29) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "B.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + (tstamp 42c7a4b1-41b3-434a-a7b3-9a78e25d0088) + ) + (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "B.SilkS") (width 0.12) (tstamp 37bcc571-195d-490e-9952-e8b59fa4abdf)) + (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "B.SilkS") (width 0.12) (tstamp f8e87ce5-979d-4980-8107-db11dc2d1815)) + (fp_line (start -1.48 -0.73) (end -1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 11254ad0-8978-4762-b388-6f614e64d83c)) + (fp_line (start 1.48 0.73) (end 1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 7179c306-be51-4e79-9918-cd63ff010e7b)) + (fp_line (start 1.48 -0.73) (end -1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp afe367b0-58c0-47b0-a318-cd6f5c0a8ad2)) + (fp_line (start -1.48 0.73) (end 1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp d133a11f-df35-4858-a917-7a4ce9b25115)) + (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp 95ec5df0-e0e9-470a-9e8f-eb026a67b8ac)) + (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp bb3b4738-1e2d-45f0-bd76-163d4c8986ff)) + (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp ce4f1ff8-01b8-47e1-a1d9-94fa6a99b57a)) + (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp e0ff0b91-9d58-4e5a-ab64-6aeac85e8a54)) + (pad "1" smd roundrect (at -0.775 0 90) (size 0.9 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 155 "Net-(C8-Pad1)") (pintype "passive") (tstamp 19c79f87-f64f-4bc0-8c6f-eef146ce3056)) + (pad "2" smd roundrect (at 0.775 0 90) (size 0.9 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 46 "GND") (pintype "passive") (tstamp 1adce753-2245-4530-908b-ffd024c9db5d)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "B.Cu") + (tedit 5F68FEEE) (tstamp 9a45c697-123c-480e-91fb-b700cf7896ae) + (at 146.75 99.25 180) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Sheetfile" "sc64v2.kicad_sch") + (property "Sheetname" "") + (path "/c3135751-427f-42d2-9a94-3179d7de2153") + (attr smd) + (fp_text reference "C27" (at 3.25 0) (layer "B.SilkS") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 0429cfc5-41f4-4a8b-aab9-393a8684f010) + ) + (fp_text value "100nF" (at 0 -1.43) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 42507272-803d-467a-befb-240d140673ef) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "B.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + (tstamp eddac8a6-b167-44c6-b8dc-65e6c3abb24b) + ) + (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "B.SilkS") (width 0.12) (tstamp cb1923a5-2fb6-4503-ac58-81afaa563cda)) + (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "B.SilkS") (width 0.12) (tstamp e983b720-5f16-48d1-ba99-547155a958d9)) + (fp_line (start 1.48 -0.73) (end -1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 0328f1f9-e816-4124-923f-04466dfc196e)) + (fp_line (start 1.48 0.73) (end 1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 9e8c1d0b-56cf-4a04-af4e-0ebfc32df213)) + (fp_line (start -1.48 0.73) (end 1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp a67a21de-e5e3-4bf0-983b-a12e4287f62b)) + (fp_line (start -1.48 -0.73) (end -1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp f0a7df9c-ae11-452d-b3d0-8d1965d5f3fd)) + (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp 4592437c-25be-45d6-aad4-1491fb6b4e95)) + (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp 61fb1069-0b7f-462d-a372-217092c62ebc)) + (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp 8249c119-40d5-4380-9a6d-16621a67ed7a)) + (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp ae8d13e0-4963-42e5-8fd2-03a38994031e)) + (pad "1" smd roundrect (at -0.775 0 180) (size 0.9 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 50 "+3V3") (pintype "passive") (tstamp bd01e6e6-df44-4ae8-abbb-a43f91199a45)) + (pad "2" smd roundrect (at 0.775 0 180) (size 0.9 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 46 "GND") (pintype "passive") (tstamp 6ec68b81-6673-4215-bd3f-7c4815ea3aa6)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "B.Cu") + (tedit 5F68FEEE) (tstamp a0535359-2e7e-4964-90e4-52e3d06f7435) + (at 169.75 96.25) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Sheetfile" "sc64v2.kicad_sch") + (property "Sheetname" "") + (path "/e505a7b3-3ba0-4037-b4e7-40457055df66") + (attr smd) + (fp_text reference "C32" (at 3.25 0) (layer "B.SilkS") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 2c51d0e4-f8b3-43be-87c7-15fe4bd2cd92) + ) + (fp_text value "100nF" (at 0 -1.43) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 46e358d6-00a4-4fb6-abfb-d62f95b6324e) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "B.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + (tstamp be91e3d3-c1be-4478-987d-aea69870ffa6) + ) + (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "B.SilkS") (width 0.12) (tstamp 5831a4e5-494c-47bb-ab9c-79cf45e1f37b)) + (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "B.SilkS") (width 0.12) (tstamp a2c7a886-8fa0-4f3e-91e3-45d5934a102a)) + (fp_line (start -1.48 0.73) (end 1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 3a321af6-7d0f-4a0a-8c91-4fa7d11cbd91)) + (fp_line (start -1.48 -0.73) (end -1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp d587e9f4-13ff-4854-8936-f431781f18ff)) + (fp_line (start 1.48 -0.73) (end -1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp e45a7589-3a8d-4923-b9f1-ecff8ec9a6ee)) + (fp_line (start 1.48 0.73) (end 1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp f7feea7c-09fd-4ece-9f5a-90a9e59f9464)) + (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp 27278c97-d19b-4421-8ffc-cebbb0943712)) + (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp 406fc092-3874-4e0b-8131-1b85f5a0e8f4)) + (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp 536b6cf6-4d1f-435e-af3a-a88bc0ab5276)) + (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp ba579cdd-c39d-4815-9d2b-0c19559f8945)) + (pad "1" smd roundrect (at -0.775 0) (size 0.9 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 50 "+3V3") (pintype "passive") (tstamp 1ab11fb3-b602-4397-831d-cee007db0874)) + (pad "2" smd roundrect (at 0.775 0) (size 0.9 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 46 "GND") (pintype "passive") (tstamp e730b61a-1084-409c-8802-c3ababd932f2)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric" (layer "B.Cu") + (tedit 5F68FEEE) (tstamp a07589d0-b90d-4be8-9546-4f72ddecf3a7) + (at 169.75 81) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (property "Sheetfile" "sc64v2.kicad_sch") + (property "Sheetname" "") + (path "/303e7d15-a601-4397-8721-b9f3cf051807") + (attr smd) + (fp_text reference "R14" (at -3.25 0) (layer "B.SilkS") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 5f775e2d-9bd0-4be6-9937-8b653ae07606) + ) + (fp_text value "2.2k" (at 0 -1.43) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 178d4e91-1f70-4419-bc93-030001faa1cf) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "B.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + (tstamp c095ff03-c55b-4b8a-9e25-3cc0343293d0) + ) + (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) (layer "B.SilkS") (width 0.12) (tstamp 05b05221-59eb-45d7-87ee-402dddb4b3f4)) + (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) (layer "B.SilkS") (width 0.12) (tstamp 225adef8-31cb-45b0-a1a0-128c41c44c84)) + (fp_line (start -1.48 -0.73) (end -1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 11248a09-1e43-421d-a60f-299594f40674)) + (fp_line (start 1.48 -0.73) (end -1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 301eeecc-21fc-4a52-9828-13bd1767c5b7)) + (fp_line (start 1.48 0.73) (end 1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp a080eb6f-10ac-45f1-ae20-81acb9401f83)) + (fp_line (start -1.48 0.73) (end 1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp d5d37dfa-bd35-443b-9f0d-81088b4f675e)) + (fp_line (start 0.8 0.4125) (end 0.8 -0.4125) (layer "B.Fab") (width 0.1) (tstamp 25cf6fed-a08a-4dea-943e-666b67922b2c)) + (fp_line (start -0.8 0.4125) (end 0.8 0.4125) (layer "B.Fab") (width 0.1) (tstamp 2dca6e52-90aa-4f36-99fe-e797820d8446)) + (fp_line (start 0.8 -0.4125) (end -0.8 -0.4125) (layer "B.Fab") (width 0.1) (tstamp 3deebff0-bb4f-40c6-a7e6-0d2d2473877d)) + (fp_line (start -0.8 -0.4125) (end -0.8 0.4125) (layer "B.Fab") (width 0.1) (tstamp 47f35655-2090-4c59-874f-d0603ef77ed5)) + (pad "1" smd roundrect (at -0.825 0) (size 0.8 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 47 "I2C_SDA") (pintype "passive") (tstamp cfb8a5c6-5757-4d08-b445-5529a7a770cd)) + (pad "2" smd roundrect (at 0.825 0) (size 0.8 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 50 "+3V3") (pintype "passive") (tstamp c38a4fd4-d84e-4ab5-bd39-ce0537d909b8)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "B.Cu") + (tedit 5F68FEEE) (tstamp a2f695dd-2517-4419-ae1e-4502c2d79cfc) + (at 169.75 99.25) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Sheetfile" "sc64v2.kicad_sch") + (property "Sheetname" "") + (path "/6f6c2377-8039-497e-9b10-e130c951f0c3") + (attr smd) + (fp_text reference "C33" (at 3.25 0) (layer "B.SilkS") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 91be4c9b-7fcd-4e87-86d9-31d6e7715892) + ) + (fp_text value "100nF" (at 0 -1.43) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 1f807afb-b2b1-47ba-962d-0d8fd0308777) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "B.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + (tstamp 989928f2-2466-4889-81fe-56a8f27d5abf) + ) + (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "B.SilkS") (width 0.12) (tstamp 97e4530d-6f7e-467d-aa4b-f07aaecf582b)) + (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "B.SilkS") (width 0.12) (tstamp a26f3f99-0bd8-4208-be2f-1e2ae2766ba3)) + (fp_line (start -1.48 -0.73) (end -1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 0e85dd11-4eb3-40aa-9496-e5f297d85785)) + (fp_line (start 1.48 -0.73) (end -1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 1bc474aa-afff-4bb2-9080-aa273d02e76d)) + (fp_line (start 1.48 0.73) (end 1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 4138be80-2229-496e-a03c-0ea50dd0e55c)) + (fp_line (start -1.48 0.73) (end 1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp c81da0cc-f3af-4fcc-ad46-3e2c26a8ab77)) + (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp 10c434d1-6884-4a8f-928e-8076b878c6cb)) + (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp 635beacc-484c-4674-b3de-83398845e617)) + (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp be3288f5-503a-4008-b9cb-a33580127d4c)) + (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp e8a1a07f-f25b-4577-9297-ead23fa5db84)) + (pad "1" smd roundrect (at -0.775 0) (size 0.9 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 50 "+3V3") (pintype "passive") (tstamp ef826abd-bcaf-4a1f-ae08-20e20700505c)) + (pad "2" smd roundrect (at 0.775 0) (size 0.9 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 46 "GND") (pintype "passive") (tstamp 720c525e-e505-47cf-9cf1-857547f36f4e)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "B.Cu") + (tedit 5F68FEEE) (tstamp a8a0d954-980d-4eec-86fb-51695144c42e) + (at 191.65 113.25 90) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Sheetfile" "sc64v2.kicad_sch") + (property "Sheetname" "") + (path "/aeb0d0cf-6d04-4e89-836d-342f2e598d6b") + (attr smd) + (fp_text reference "C46" (at 0 -1.35 90) (layer "B.SilkS") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp d5aeb44e-b68b-4763-b427-599b7075f629) + ) + (fp_text value "100nF" (at 0 -1.43 90) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 3cc3c4ac-4717-4442-92d2-6613b1562317) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "B.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + (tstamp a956e548-8499-4325-8fba-61057a62ad79) + ) + (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "B.SilkS") (width 0.12) (tstamp 751d5b53-346e-4511-ae9c-e34f9a1d93bb)) + (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "B.SilkS") (width 0.12) (tstamp cf4ce0a7-2c93-4e68-bd5b-deebee19921e)) + (fp_line (start -1.48 -0.73) (end -1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 4a276704-3c92-4fed-9da7-518cb38e38c8)) + (fp_line (start -1.48 0.73) (end 1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp a7caee4f-4642-4e2b-ac3f-2e241ff62ddd)) + (fp_line (start 1.48 0.73) (end 1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp d1472056-ef47-4cd3-ad5b-61eaa5dcfc8f)) + (fp_line (start 1.48 -0.73) (end -1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp d589ec1c-c249-4a20-b19e-f593b1770650)) + (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp 487a289f-3b0b-44ce-966b-c1eaf6268edc)) + (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp 88e3fe4e-6c62-4239-a290-a6dddcff3080)) + (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp af5acb22-a2c2-4996-8df9-3d68e476e59c)) + (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp fb388f96-922b-43ac-88b4-5de4b21c95c6)) + (pad "1" smd roundrect (at -0.775 0 90) (size 0.9 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 50 "+3V3") (pintype "passive") (tstamp 08d11128-d877-4ae2-850a-a4c672d4c524)) + (pad "2" smd roundrect (at 0.775 0 90) (size 0.9 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 46 "GND") (pintype "passive") (tstamp b4d42ec4-a767-463c-bb7a-3cbfeffdba8d)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "B.Cu") + (tedit 5F68FEEE) (tstamp ab4e63b9-1fa5-4803-b7fb-d4780c0c4b85) + (at 131.5 114.25 -90) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Sheetfile" "sc64v2.kicad_sch") + (property "Sheetname" "") + (path "/5eb8655d-026d-48b3-9313-87b558b7f693") + (attr smd) + (fp_text reference "C7" (at 2.75 0 90) (layer "B.SilkS") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 109fd62e-ba82-4b2e-954f-aa1a6df1b573) + ) + (fp_text value "100nF" (at 0 -1.43 90) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp fb55f502-f536-43ae-9764-79bbc249fba9) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "B.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + (tstamp 72d5e03c-fa76-46f4-9fdc-0dc07fbe3822) + ) + (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "B.SilkS") (width 0.12) (tstamp 1cfa19a9-89b1-4f1a-9471-cfbb6a49f5b7)) + (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "B.SilkS") (width 0.12) (tstamp af9a59ec-d7ef-4917-a83f-fc8cee55a3f7)) + (fp_line (start 1.48 -0.73) (end -1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 067e2774-6658-469a-8d6b-a42f6b4e34b6)) + (fp_line (start -1.48 -0.73) (end -1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 1b0432b2-b928-4169-8cfb-237620edc665)) + (fp_line (start 1.48 0.73) (end 1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 2884f877-264e-4733-a14a-90ec2579c0a5)) + (fp_line (start -1.48 0.73) (end 1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp f58f6aab-6597-44f2-be82-7545abdf02eb)) + (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp 35cbdeca-46b2-4fb4-8e7b-eb87b3b0063b)) + (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp 4617c535-4e6e-4099-90d9-3373a0e7f46f)) + (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp b429a89d-5b93-490d-b9d9-087049816e1f)) + (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp b8f819e4-aabf-45dd-84a8-f5e2b6269a8f)) + (pad "1" smd roundrect (at -0.775 0 270) (size 0.9 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 46 "GND") (pintype "passive") (tstamp 3ae72c3a-20ea-4a04-8645-ec60313a3648)) + (pad "2" smd roundrect (at 0.775 0 270) (size 0.9 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 154 "Net-(C7-Pad2)") (pintype "passive") (tstamp 93659eb0-5cea-424b-9e21-7d6b54bb93f3)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "B.Cu") + (tedit 5F68FEEE) (tstamp ad3bd776-d722-458d-8b1a-bc27b25471fa) + (at 167 116.5 -90) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Sheetfile" "sc64v2.kicad_sch") + (property "Sheetname" "") + (path "/8b893dd0-e97f-4683-8d2e-b0e1c10c6051") + (attr smd) + (fp_text reference "C36" (at -3.25 0 90) (layer "B.SilkS") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp f5528f33-456a-4d5e-af9d-ef1b674bf1de) + ) + (fp_text value "100nF" (at 0 -1.43 90) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 7956c229-5595-4d9f-b108-126d1ffcd044) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "B.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + (tstamp 6bfdd339-7457-4f68-a801-be5da055ca66) + ) + (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "B.SilkS") (width 0.12) (tstamp 1781ea95-8e47-4218-8051-9707976d678e)) + (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "B.SilkS") (width 0.12) (tstamp 3b76065e-2adf-40ac-87e4-e1e13a8b3559)) + (fp_line (start -1.48 0.73) (end 1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 48c34a43-d365-4b46-bbb2-6b2b8e9f7adb)) + (fp_line (start 1.48 0.73) (end 1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 505cb431-c5ec-4679-b956-4756d2afc1fb)) + (fp_line (start 1.48 -0.73) (end -1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp aca75556-be3d-48b3-91a5-0d97501e37ff)) + (fp_line (start -1.48 -0.73) (end -1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp bf51c71c-96e8-42c7-b7aa-8f6c2503323d)) + (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp b6ae2a85-8f50-4ad2-b2db-00b8e7823c14)) + (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp cad9f464-4547-42f0-a878-450355e077d9)) + (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp ce1247ed-5412-473b-94be-16f7dc599e15)) + (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp d0d983ea-5c75-4358-ba8c-110cdb4694e3)) + (pad "1" smd roundrect (at -0.775 0 270) (size 0.9 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 50 "+3V3") (pintype "passive") (tstamp 243b478b-cdc2-423b-831f-f628db57bc07)) + (pad "2" smd roundrect (at 0.775 0 270) (size 0.9 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 46 "GND") (pintype "passive") (tstamp 5bc24095-6395-4d8e-8234-51e139eeb9d4)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "B.Cu") + (tedit 5F68FEEE) (tstamp ae2254bd-b63c-4024-8c79-4ff88c3b0e4f) + (at 146.75 113.75 180) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Sheetfile" "sc64v2.kicad_sch") + (property "Sheetname" "") + (path "/fd1e1286-95a1-43a4-bd11-65aa433249c2") + (attr smd) + (fp_text reference "C24" (at 3.25 0) (layer "B.SilkS") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp cb362edf-2b2d-4ded-8123-5fb9f6228770) + ) + (fp_text value "100nF" (at 0 -1.43) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 184ec870-d2ad-446f-87ee-149639f55f9d) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "B.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + (tstamp 592b300a-ca12-49c1-a77a-50232057a526) + ) + (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "B.SilkS") (width 0.12) (tstamp 2c0b3649-493b-4b5b-b053-74be9409e9d1)) + (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "B.SilkS") (width 0.12) (tstamp e37099f8-c6c6-4761-b774-c50cc563cf46)) + (fp_line (start 1.48 -0.73) (end -1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 035daa74-b250-4e08-b996-c6fc11ad3653)) + (fp_line (start -1.48 -0.73) (end -1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 534dcd12-aac6-4bb0-8c93-540766d2ffed)) + (fp_line (start -1.48 0.73) (end 1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 7ab1e865-8c04-4669-abb7-8b8d1eb1bf9b)) + (fp_line (start 1.48 0.73) (end 1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp f42dfb0e-cbaa-48b9-8c90-9054d4f2eefa)) + (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp 674d44ec-126e-4e29-86e4-127fe1918576)) + (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp 69a6b9fe-f618-4538-add5-06deda83e8c1)) + (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp 8d0759f9-37d3-4b11-9109-985592eb6d80)) + (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp d8d4fc89-f5a7-4169-834c-16bdf5cafcf2)) + (pad "1" smd roundrect (at -0.775 0 180) (size 0.9 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 50 "+3V3") (pintype "passive") (tstamp 9d79dff0-7a35-4feb-95ea-98a57745bd4d)) + (pad "2" smd roundrect (at 0.775 0 180) (size 0.9 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 46 "GND") (pintype "passive") (tstamp d093c876-8a7c-4eec-9faf-e3c6349b1c3d)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric" (layer "B.Cu") + (tedit 5F68FEEE) (tstamp af05610d-6f5d-4f47-adbf-bbeaa19c4104) + (at 169.75 86.25 180) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (property "Sheetfile" "sc64v2.kicad_sch") + (property "Sheetname" "") + (path "/7295e019-ebb9-424a-ad6e-06fdc7f816d8") + (attr smd) + (fp_text reference "R16" (at 3.25 0) (layer "B.SilkS") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp b61746d0-1440-4220-87ff-4ff1de40c619) + ) + (fp_text value "10k" (at 0 -1.43) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp b6d29a61-a196-4a02-8807-60bd5497f3b5) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "B.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + (tstamp a9552fd7-d35e-4da7-ad81-1b11a97c9449) + ) + (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) (layer "B.SilkS") (width 0.12) (tstamp 6c6cb8cf-c548-4e4e-871d-d83fb10613c7)) + (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) (layer "B.SilkS") (width 0.12) (tstamp 9883eae0-586b-465b-9b60-85c37b8a219d)) + (fp_line (start -1.48 -0.73) (end -1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 4af0e295-3c13-46e8-9f6d-845b11ce2b13)) + (fp_line (start 1.48 -0.73) (end -1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 973a3d39-2af0-417b-b007-691499e66006)) + (fp_line (start 1.48 0.73) (end 1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 9a3a96ce-aa34-4bf9-a61c-6c632d5554a7)) + (fp_line (start -1.48 0.73) (end 1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp dcee5d47-4cbb-493a-bbd2-f3bde79efdae)) + (fp_line (start -0.8 0.4125) (end 0.8 0.4125) (layer "B.Fab") (width 0.1) (tstamp 21443168-9ad6-4e56-a092-b6198fbe26b9)) + (fp_line (start 0.8 0.4125) (end 0.8 -0.4125) (layer "B.Fab") (width 0.1) (tstamp 9d1c83cc-7556-436a-9cd3-365b964d76dc)) + (fp_line (start -0.8 -0.4125) (end -0.8 0.4125) (layer "B.Fab") (width 0.1) (tstamp e55a10be-7df4-4145-be87-c5946eedf708)) + (fp_line (start 0.8 -0.4125) (end -0.8 -0.4125) (layer "B.Fab") (width 0.1) (tstamp efb45715-9f05-460d-b89c-38ea26fb6cf6)) + (pad "1" smd roundrect (at -0.825 0 180) (size 0.8 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 46 "GND") (pintype "passive") (tstamp 433b7fa3-5a66-4da3-8a32-088388832243)) + (pad "2" smd roundrect (at 0.825 0 180) (size 0.8 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 38 "SWCLK") (pintype "passive") (tstamp 4562d8d5-c359-4a02-bed4-1fe4b7b23a01)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "B.Cu") + (tedit 5F68FEEE) (tstamp b6f2610f-298b-4013-8d06-6431be017805) + (at 194.75 92.5) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Sheetfile" "sc64v2.kicad_sch") + (property "Sheetname" "") + (path "/25b1fb90-87c1-483e-8933-09b55f4fd3ab") + (attr smd) + (fp_text reference "C47" (at -3.25 0) (layer "B.SilkS") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 29e2f0f6-76b2-45ae-bc84-42d64ecd817e) + ) + (fp_text value "100nF" (at 0 -1.43) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 58b57014-cf42-4391-bd8e-018fd97e3fd6) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "B.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + (tstamp 53f692cf-2c5c-4699-8e36-19535cd9e6eb) + ) + (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "B.SilkS") (width 0.12) (tstamp aead56d8-c489-4a48-af1d-3630a638970b)) + (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "B.SilkS") (width 0.12) (tstamp e39f3d14-8c80-44f0-a96c-8f631d0393c5)) + (fp_line (start -1.48 0.73) (end 1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 1e269a36-81be-40a3-b8c5-6b11cbc484f4)) + (fp_line (start -1.48 -0.73) (end -1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp d9bf875d-74e2-4fb1-a785-e3469bd6dc11)) + (fp_line (start 1.48 -0.73) (end -1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp e65edc8f-a79c-4dea-bbe6-25ea668c7624)) + (fp_line (start 1.48 0.73) (end 1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp fac01f68-9dd7-45e2-a807-37d056a65110)) + (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp 1bb92876-1784-4ad1-9cce-085b9bc9abd5)) + (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp 8801d3c8-98b2-44c0-934e-e1b895c72774)) + (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp ac0bebfd-526f-4579-91a9-67b4a1584634)) + (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp de53cb68-0ebc-4a32-8337-e4082f6d883b)) + (pad "1" smd roundrect (at -0.775 0) (size 0.9 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 50 "+3V3") (pintype "passive") (tstamp df73ec42-e353-43f4-89c7-57ccb50751e1)) + (pad "2" smd roundrect (at 0.775 0) (size 0.9 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 46 "GND") (pintype "passive") (tstamp f24be517-e17d-4df5-865a-f3bcbb79e9b9)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "B.Cu") + (tedit 5F68FEEE) (tstamp b9e8ccd4-0b4f-43d0-807f-4a6c2dcf2526) + (at 148.5 87.75 90) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Sheetfile" "sc64v2.kicad_sch") + (property "Sheetname" "") + (path "/614914c2-3abd-4f26-90db-4b83af4389e9") + (attr smd) + (fp_text reference "C18" (at 0 -1.5 90) (layer "B.SilkS") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 7acb8f98-cae2-426d-826d-c77ef68204bf) + ) + (fp_text value "100nF" (at 0 -1.43 90) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp d04d1769-c1e4-4a88-a0b5-4e66cd5c43b8) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "B.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + (tstamp 40bae1bd-fa20-46d7-9887-a37c2407cc18) + ) + (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "B.SilkS") (width 0.12) (tstamp 4e06b4e6-4771-4a07-94b4-93855558cd2e)) + (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "B.SilkS") (width 0.12) (tstamp ba228429-cf66-49e5-8467-36f5187311a4)) + (fp_line (start 1.48 -0.73) (end -1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 7a528b0e-febe-4f3f-9d99-fb811b5cd66d)) + (fp_line (start -1.48 0.73) (end 1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp a60390e2-bb5f-4562-a63c-50f494d4df4d)) + (fp_line (start -1.48 -0.73) (end -1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp a85d9d08-c5c9-41a0-b830-469e7eeac257)) + (fp_line (start 1.48 0.73) (end 1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp c1a9f1da-d902-4313-b0db-8d9f5691f777)) + (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp 0051670b-de4d-49b4-9014-5b41833df631)) + (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp 1c69a486-1cc3-4338-9b84-0da8844e0c5e)) + (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp 3cc56f55-2bed-433b-8e27-4be5eb5d07b3)) + (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp f6533532-78f0-4de4-9b9a-3e0d4214d25e)) + (pad "1" smd roundrect (at -0.775 0 90) (size 0.9 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 50 "+3V3") (pintype "passive") (tstamp c37fbe22-d93e-4973-bcb9-2a723ff7a6bf)) + (pad "2" smd roundrect (at 0.775 0 90) (size 0.9 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 46 "GND") (pintype "passive") (tstamp 2b5094c2-604e-4318-8777-92ba3f1849d9)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0805_2012Metric" (layer "B.Cu") + (tedit 5F68FEEE) (tstamp c662bce3-9fe2-4e5f-b794-a2668c48a031) + (at 195 94.75) + (descr "Capacitor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf, https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Sheetfile" "sc64v2.kicad_sch") + (property "Sheetname" "") + (path "/94dcb139-2876-4a88-9afc-5a91f644dff1") + (attr smd) + (fp_text reference "C48" (at -3.5 0) (layer "B.SilkS") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 744dcb2d-5a52-4e12-becd-2f36e89b5b21) + ) + (fp_text value "47uF" (at 0 -1.68) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 5951ed65-ad12-4bbc-8021-f78a22ea4ab0) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "B.Fab") + (effects (font (size 0.5 0.5) (thickness 0.08)) (justify mirror)) + (tstamp 77773063-0324-4463-8de5-c685f4c700d0) + ) + (fp_line (start -0.261252 -0.735) (end 0.261252 -0.735) (layer "B.SilkS") (width 0.12) (tstamp d3b79cb8-dc6e-4c18-8982-108c5ae94180)) + (fp_line (start -0.261252 0.735) (end 0.261252 0.735) (layer "B.SilkS") (width 0.12) (tstamp e6c1dcff-f2b3-4c39-842f-584f0c3120ed)) + (fp_line (start 1.7 -0.98) (end -1.7 -0.98) (layer "B.CrtYd") (width 0.05) (tstamp 086b6954-669a-40db-ad3d-79f0bff72849)) + (fp_line (start 1.7 0.98) (end 1.7 -0.98) (layer "B.CrtYd") (width 0.05) (tstamp 0cf7538c-7335-438c-8fb7-647275d3eb72)) + (fp_line (start -1.7 0.98) (end 1.7 0.98) (layer "B.CrtYd") (width 0.05) (tstamp 8f3d04b4-c106-4a4a-b6d1-5c2569b0ac59)) + (fp_line (start -1.7 -0.98) (end -1.7 0.98) (layer "B.CrtYd") (width 0.05) (tstamp ab175561-0bff-4394-bbd3-90130c4a7d60)) + (fp_line (start -1 0.625) (end 1 0.625) (layer "B.Fab") (width 0.1) (tstamp 04db895b-c5aa-4bc6-8a33-4dce15e1377f)) + (fp_line (start 1 0.625) (end 1 -0.625) (layer "B.Fab") (width 0.1) (tstamp 0b214450-c0b6-4691-8f7c-b8c5bc2417a1)) + (fp_line (start -1 -0.625) (end -1 0.625) (layer "B.Fab") (width 0.1) (tstamp 3103bd41-6e35-48ae-9c26-f17ac1c998e3)) + (fp_line (start 1 -0.625) (end -1 -0.625) (layer "B.Fab") (width 0.1) (tstamp 64ef3902-5e11-45be-8780-40274785f680)) + (pad "1" smd roundrect (at -0.95 0) (size 1 1.45) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 50 "+3V3") (pintype "passive") (tstamp 4212222c-95b3-4035-916c-ba85e9f64ac0)) + (pad "2" smd roundrect (at 0.95 0) (size 1 1.45) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 46 "GND") (pintype "passive") (tstamp 197f6120-7676-4685-bff1-da9db78045dd)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "B.Cu") + (tedit 5F68FEEE) (tstamp cc4059df-7991-45a4-8003-7818f2823a78) + (at 125.75 114.25 -90) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Sheetfile" "sc64v2.kicad_sch") + (property "Sheetname" "") + (path "/0a51cd04-5336-4bfb-ac7b-21533c8d00bb") + (attr smd) + (fp_text reference "C17" (at 3.25 0 90) (layer "B.SilkS") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 4f0ccae3-51de-4505-aa6d-02995ca07b14) + ) + (fp_text value "100nF" (at 0 -1.43 90) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp cf2405a4-aca2-44ef-8dbf-eb444978086c) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "B.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + (tstamp 3ba66590-f19e-40bc-9333-a215f2edb867) + ) + (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "B.SilkS") (width 0.12) (tstamp 161b2b80-ff14-4f93-8abe-85f8174d1aeb)) + (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "B.SilkS") (width 0.12) (tstamp b952cabd-c873-4cd1-85d3-3c21d2a92ad5)) + (fp_line (start -1.48 0.73) (end 1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 3dfa484e-357c-4a7b-9c7b-374285698d7d)) + (fp_line (start 1.48 0.73) (end 1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp a433b948-773d-463b-8f70-c4ea65bd4d22)) + (fp_line (start -1.48 -0.73) (end -1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp ceae044d-8534-4e8b-a27e-ca8d0524a6f1)) + (fp_line (start 1.48 -0.73) (end -1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp d68cd8e0-908c-4df6-9777-ccb5a25512ba)) + (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp ab8ec07c-719d-4065-9d57-dff957575752)) + (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp ae57fb79-a770-4b04-be42-c44c5f8a17c3)) + (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp be5da963-b2e9-463a-8e02-2bea187a4e53)) + (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp ef7062dc-bf40-4154-bafe-95a44415c235)) + (pad "1" smd roundrect (at -0.775 0 270) (size 0.9 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 50 "+3V3") (pintype "passive") (tstamp 9f2bc675-1b18-4d59-8097-b93913db13a8)) + (pad "2" smd roundrect (at 0.775 0 270) (size 0.9 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 46 "GND") (pintype "passive") (tstamp d432840f-f0f6-4c30-be32-76536e760dd6)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "B.Cu") + (tedit 5F68FEEE) (tstamp d3669c04-66b3-4564-95d1-48621456c6fa) + (at 164.25 116.5 -90) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Sheetfile" "sc64v2.kicad_sch") + (property "Sheetname" "") + (path "/368cbd0e-6260-44d9-af09-bc08be9667bd") + (attr smd) + (fp_text reference "C37" (at -3.25 0 90) (layer "B.SilkS") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp e97b094f-7dff-4434-afc0-b6dbc3a48988) + ) + (fp_text value "100nF" (at 0 -1.43 90) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 832dcbd4-3b82-4a39-85ec-de9943e834fa) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "B.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + (tstamp 037af84b-a3d8-4a5b-b567-1585cb11e6c0) + ) + (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "B.SilkS") (width 0.12) (tstamp 1de7721b-584c-47f7-886b-4d85a19cf7bd)) + (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "B.SilkS") (width 0.12) (tstamp 70f92ae2-70bc-4141-89a2-89acd9a76140)) + (fp_line (start 1.48 -0.73) (end -1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 241113a9-2ebb-4080-955d-ff1be904c05c)) + (fp_line (start 1.48 0.73) (end 1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 9c420683-b66b-4917-ae0c-798c17f32ae7)) + (fp_line (start -1.48 0.73) (end 1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp b48e45d4-577c-41cc-b612-d3132a1ef3b8)) + (fp_line (start -1.48 -0.73) (end -1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp e7e49167-26fd-44c9-b8d9-bc88787a54cd)) + (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp 3945c87d-5518-418e-a348-7e1e7690bb6b)) + (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp 612be549-0969-4e81-b06f-b8a06fa7b192)) + (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp a05c27c9-4bb1-41df-929b-9baf72e65f65)) + (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp adb11388-1a40-495c-81ab-fc8610c4d7ac)) + (pad "1" smd roundrect (at -0.775 0 270) (size 0.9 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 50 "+3V3") (pintype "passive") (tstamp 683c44ec-4d68-4fc5-b0fe-8da9806aea92)) + (pad "2" smd roundrect (at 0.775 0 270) (size 0.9 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 46 "GND") (pintype "passive") (tstamp 7251bff0-5f2e-416f-b6a2-640151094585)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Inductor_SMD:L_0603_1608Metric" (layer "B.Cu") + (tedit 5F68FEF0) (tstamp d3e9ce71-e322-42d7-a502-774cc0abe6f4) + (at 129.5 114.25 -90) + (descr "Inductor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "inductor") + (property "Sheetfile" "sc64v2.kicad_sch") + (property "Sheetname" "") + (path "/6a7f3f5f-7361-4bc7-aab9-0a665363dea2") + (attr smd) + (fp_text reference "L1" (at 2.75 0 90) (layer "B.SilkS") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 403daa26-e088-4bf7-bbaf-6a6b4d1c04fa) + ) + (fp_text value "470R" (at 0 -1.43 90) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 3f6015d8-cf8b-4805-954b-191f5b71329e) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "B.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + (tstamp 220a91f1-941e-402d-8a2d-b76014980f17) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer "B.SilkS") (width 0.12) (tstamp 45f2ee6e-a3b2-476d-bd3b-1c4f3886062f)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer "B.SilkS") (width 0.12) (tstamp 671bb614-2361-45a8-a13d-d00edea5ae8d)) + (fp_line (start -1.48 0.73) (end 1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 47c35201-1f56-4b24-aa02-e833a119eb69)) + (fp_line (start 1.48 0.73) (end 1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 8efef18f-afb8-4372-b2ac-cf20fca75c64)) + (fp_line (start -1.48 -0.73) (end -1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 9e7807d6-d8a2-49fd-8f2c-c84842ea2de8)) + (fp_line (start 1.48 -0.73) (end -1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp fc96761e-1b0a-47cf-ba64-b8bcfbd8029e)) + (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp 2e2b950c-b2aa-492f-b1b1-a61fef4e2326)) + (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp 53298a4b-ef3b-40d4-bb1d-ed221f110153)) + (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp 96ab2dc0-1f5d-48a7-997f-3b2f732e1766)) + (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp b42c5677-7f59-4b63-92da-03e7722de512)) + (pad "1" smd roundrect (at -0.7875 0 270) (size 0.875 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 50 "+3V3") (pinfunction "1") (pintype "passive") (tstamp 3a8f5b93-ddc3-41a4-b8b5-0b13aefd4f07)) + (pad "2" smd roundrect (at 0.7875 0 270) (size 0.875 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 154 "Net-(C7-Pad2)") (pinfunction "2") (pintype "passive") (tstamp df09b0c2-3fa5-450f-9cf1-7f0a96c1bf59)) + (model "${KICAD6_3DMODEL_DIR}/Inductor_SMD.3dshapes/L_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "B.Cu") + (tedit 5F68FEEE) (tstamp db2dbd32-ca3b-4315-9015-1d7e24957f30) + (at 129.5 104.5 -90) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Sheetfile" "sc64v2.kicad_sch") + (property "Sheetname" "") + (path "/b1eae589-88fc-49de-92a5-165aa1590642") + (attr smd) + (fp_text reference "C10" (at 0 1.43 90) (layer "B.SilkS") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 5f9441b1-457c-47e1-b0b6-1d3ecd558ede) + ) + (fp_text value "100nF" (at 0 -1.43 90) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 32d7ed43-14c7-44bf-b67a-aff42390c566) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "B.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + (tstamp 00e72a59-fb73-4ea7-a4c0-7306d53d2a0f) + ) + (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "B.SilkS") (width 0.12) (tstamp f9bbdb30-52ec-4b81-b42b-1bb98194b0e3)) + (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "B.SilkS") (width 0.12) (tstamp ff3d3713-f3cc-48b1-84cc-91cbfd9a25f3)) + (fp_line (start -1.48 0.73) (end 1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp a5cb5363-3a57-4d19-9910-68ca76bcad68)) + (fp_line (start 1.48 -0.73) (end -1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp e7c79e4d-e902-4635-9022-9acce82446ea)) + (fp_line (start -1.48 -0.73) (end -1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp f8569eb4-84b4-4e06-8a0c-2fb8c1d726b0)) + (fp_line (start 1.48 0.73) (end 1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp fe46ed34-60ef-4672-bc48-d30cb2819d45)) + (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp 4420a35f-a03b-4f1c-9e74-cda4fdf79df5)) + (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp 72750100-e421-44ef-b916-3c125bc3be20)) + (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp 7beac166-8e8f-45c3-ba83-dfb1f0c1aa5b)) + (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp c612c58a-411c-4f6e-ae43-4e7b4297bfcc)) + (pad "1" smd roundrect (at -0.775 0 270) (size 0.9 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 50 "+3V3") (pintype "passive") (tstamp 4c7e1da8-ab65-48bc-8a73-ce6de5c080f3)) + (pad "2" smd roundrect (at 0.775 0 270) (size 0.9 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 46 "GND") (pintype "passive") (tstamp 4c2fb2a8-c7b2-48af-bd69-0b2517efd15f)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "B.Cu") + (tedit 5F68FEEE) (tstamp df0d9704-78cc-492d-9f8d-9291c71fdf22) + (at 169.75 106.25) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Sheetfile" "sc64v2.kicad_sch") + (property "Sheetname" "") + (path "/79c68ec7-484d-45d8-b8ea-7b0a7306e7c6") + (attr smd) + (fp_text reference "C34" (at 3.25 0) (layer "B.SilkS") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 9ff36a8f-e3f9-4242-b1c1-fc73ebe8758b) + ) + (fp_text value "100nF" (at 0 -1.43) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp ca51f33b-b91c-441b-a47d-8c182fe95bd9) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "B.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + (tstamp 770f31ee-4f19-4398-9a76-b6994dc6b4b5) + ) + (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "B.SilkS") (width 0.12) (tstamp 2e631aab-b792-49ea-a28e-eef7473eb092)) + (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "B.SilkS") (width 0.12) (tstamp 48e5f89b-a182-4b33-8b72-28bfb71844e5)) + (fp_line (start -1.48 0.73) (end 1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 13a8b78a-2ef3-4e3c-97ac-1c30a306e69d)) + (fp_line (start 1.48 0.73) (end 1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 64b8f592-c4a8-46f7-bc33-68b9abb1f0e9)) + (fp_line (start -1.48 -0.73) (end -1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 965fda98-91f4-4f16-bab4-efd358f4841f)) + (fp_line (start 1.48 -0.73) (end -1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp ab9ee08d-290c-4524-8e1d-ef156e62bd14)) + (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp 25891f77-6bdc-4473-8b5e-a808ea8b6adb)) + (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp 2a3c4493-3cb8-47d5-8338-f73b66b99f09)) + (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp 6ae18134-2cb9-4325-b3f2-feaaa551ebd9)) + (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp bccb3d76-475e-4d5e-bf08-78c4c8b39533)) + (pad "1" smd roundrect (at -0.775 0) (size 0.9 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 50 "+3V3") (pintype "passive") (tstamp 33d336a4-b864-464a-bdd6-2893720f2b56)) + (pad "2" smd roundrect (at 0.775 0) (size 0.9 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 46 "GND") (pintype "passive") (tstamp bec6478a-fd02-48d9-9d26-960202b54a6b)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "B.Cu") + (tedit 5F68FEEE) (tstamp e051bc19-beaa-40fb-8d51-750a83c26414) + (at 149.5 93.5 90) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Sheetfile" "sc64v2.kicad_sch") + (property "Sheetname" "") + (path "/4f8814bc-1ddc-4d9d-8341-e717fa829f27") + (attr smd) + (fp_text reference "C28" (at -3.25 0 90) (layer "B.SilkS") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 139dd4ec-11e3-4228-bc1c-4219fbc28288) + ) + (fp_text value "100nF" (at 0 -1.43 90) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 415349a2-a7ce-4980-9796-5064617d40e0) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "B.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + (tstamp 29035100-23ae-44c2-9524-52f99f92593a) + ) + (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "B.SilkS") (width 0.12) (tstamp 0862faa6-ecb4-4244-ba2f-d8680da4d068)) + (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "B.SilkS") (width 0.12) (tstamp 62861ce6-a8ea-4484-8338-69545b3f4f6d)) + (fp_line (start -1.48 -0.73) (end -1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 17b02936-134e-434a-9c47-29f0dfd2906c)) + (fp_line (start 1.48 -0.73) (end -1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 45467050-7718-4534-8890-e34c45f14d63)) + (fp_line (start 1.48 0.73) (end 1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 651b0c22-3eff-4125-9631-2e47db11ad19)) + (fp_line (start -1.48 0.73) (end 1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 9d1c1fb7-4c23-47c2-b198-488589da3e8d)) + (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp 1d699049-d7fd-4fc6-8f16-825113d80ac3)) + (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp 66a4ce34-8f07-4a8c-bcc9-38a2723150db)) + (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp cdd11432-988e-42e4-9cbc-09b713482c57)) + (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp f8c50901-86d2-40f0-a076-d7c4c749fd84)) + (pad "1" smd roundrect (at -0.775 0 90) (size 0.9 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 50 "+3V3") (pintype "passive") (tstamp 10c02247-fa6d-488f-851f-244b247f19aa)) + (pad "2" smd roundrect (at 0.775 0 90) (size 0.9 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 46 "GND") (pintype "passive") (tstamp 8b8d6655-b764-4e3c-a6bb-a797d887dea1)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "B.Cu") + (tedit 5F68FEEE) (tstamp e6f2c5fe-9192-4a9f-bf6a-625c002edb69) + (at 195.65 101.75 90) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Sheetfile" "sc64v2.kicad_sch") + (property "Sheetname" "") + (path "/1df87e80-2d07-4a8a-bb5b-e5faf9d9c905") + (attr smd) + (fp_text reference "C44" (at 0 1.43 90) (layer "B.SilkS") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 9b141a10-3269-4421-9af7-43d26fa55380) + ) + (fp_text value "100nF" (at 0 -1.43 90) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 1e858802-1980-45b0-a5a6-caf107d7e546) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "B.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + (tstamp b8445bfa-94be-46c6-9a99-9d7191546632) + ) + (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "B.SilkS") (width 0.12) (tstamp 5fc020c5-02ad-476a-8330-cfe5a73544fd)) + (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "B.SilkS") (width 0.12) (tstamp 82d19ae8-98bd-495f-b9e9-eca9d1d42d37)) + (fp_line (start -1.48 -0.73) (end -1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 5a01493c-6960-4373-bf0a-b7254feb09d1)) + (fp_line (start 1.48 0.73) (end 1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp d555be66-8b41-41f5-8c00-6148f39d75c5)) + (fp_line (start 1.48 -0.73) (end -1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp e523e55e-45b7-42e5-813c-e4e4a63e504d)) + (fp_line (start -1.48 0.73) (end 1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp f04496e6-5316-4f4b-a7ec-122bf5d00738)) + (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp 1d746226-6132-4cc9-93e0-c6b0fbba61d4)) + (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp c3d78f72-38e3-4cca-bfef-b9b8e8158c9e)) + (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp d97c3a1f-fa0a-41ab-bd38-471a92807713)) + (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp daa3df1a-40ed-49b7-b1af-d16fc5c94200)) + (pad "1" smd roundrect (at -0.775 0 90) (size 0.9 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 50 "+3V3") (pintype "passive") (tstamp e551e59e-136d-42f4-8f46-5c47359d0e5d)) + (pad "2" smd roundrect (at 0.775 0 90) (size 0.9 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 46 "GND") (pintype "passive") (tstamp e8d4f8a1-d6a4-4875-b270-07b4f208b44f)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "B.Cu") + (tedit 5F68FEEE) (tstamp e71be039-8a27-45db-bc38-08366502fcaf) + (at 154.25 81) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Sheetfile" "sc64v2.kicad_sch") + (property "Sheetname" "") + (path "/9a8905a3-5ca0-46a1-bee9-ca122e954a22") + (attr smd) + (fp_text reference "C19" (at -3.25 0) (layer "B.SilkS") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 0f581dfd-ee90-4c51-be56-3ca86feafd99) + ) + (fp_text value "100nF" (at 0 -1.43) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 164cce55-da7b-4561-9cf8-61fd1bb0fd02) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "B.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + (tstamp 315ab3a7-dbde-4350-8d27-2c159eea4a24) + ) + (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "B.SilkS") (width 0.12) (tstamp 643e22b3-deeb-43ff-bc2c-07da27d97222)) + (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "B.SilkS") (width 0.12) (tstamp e9d4ec7b-0faf-4c94-856e-fb5c85dae1c1)) + (fp_line (start -1.48 -0.73) (end -1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 31b6764c-3b19-4aa1-b078-4334aebeea15)) + (fp_line (start -1.48 0.73) (end 1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 4f3fa24f-0098-4110-9d5e-f29c2494a63e)) + (fp_line (start 1.48 -0.73) (end -1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 5b204535-0803-431c-9806-8a23bdbbdac0)) + (fp_line (start 1.48 0.73) (end 1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 5f5beabf-d907-4e6e-953e-7d60ec72d8cf)) + (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp 26c2f0f5-9343-4340-a578-3baad6887e45)) + (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp 5a61d23c-2107-4e74-9c4f-f84b4397b0d3)) + (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp 7624a519-93a9-40d6-bb5b-dd9a06683611)) + (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp b1bf69b0-8e99-4086-b604-7c86b5ec3f83)) + (pad "1" smd roundrect (at -0.775 0) (size 0.9 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 50 "+3V3") (pintype "passive") (tstamp ce437d69-2ec1-46cd-a22e-1909788d788e)) + (pad "2" smd roundrect (at 0.775 0) (size 0.9 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 46 "GND") (pintype "passive") (tstamp 11bb2753-e584-4def-8e88-1b52e5bb4a00)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric" (layer "B.Cu") + (tedit 5F68FEEE) (tstamp e7892975-c69a-403e-a207-7fd0b0fe74b6) + (at 198.75 87.75) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (property "Sheetfile" "sc64v2.kicad_sch") + (property "Sheetname" "") + (path "/351bd7d9-24e6-4a96-9b71-d9b4f5d9bd9a") + (attr smd) + (fp_text reference "R18" (at -3.25 0) (layer "B.SilkS") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp d51e25c3-1163-466e-9ad7-e045b12bb6a3) + ) + (fp_text value "470R" (at 0 -1.43) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp a75b3a79-3a32-4870-a35d-5e944b8a449e) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "B.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + (tstamp 94dab548-8fc8-42f7-bad3-bcce2b127508) + ) + (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) (layer "B.SilkS") (width 0.12) (tstamp 0035c826-a072-473d-8555-545ff41cbe5c)) + (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) (layer "B.SilkS") (width 0.12) (tstamp 44a790d7-deef-406c-b05c-9abfc147dade)) + (fp_line (start -1.48 0.73) (end 1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 62343433-a6bd-4755-8845-e2c6098b5904)) + (fp_line (start -1.48 -0.73) (end -1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 8546c3fb-f888-448b-abf5-6bc2ac6c466c)) + (fp_line (start 1.48 0.73) (end 1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp b829b870-a61b-4bda-81b3-2dee2730e6e0)) + (fp_line (start 1.48 -0.73) (end -1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp f14afe03-2909-4bec-aa8f-b8fdbe114ff8)) + (fp_line (start 0.8 -0.4125) (end -0.8 -0.4125) (layer "B.Fab") (width 0.1) (tstamp 2ce61d31-f454-4e54-b222-2ccbbe79564e)) + (fp_line (start 0.8 0.4125) (end 0.8 -0.4125) (layer "B.Fab") (width 0.1) (tstamp 383d4f9e-4eeb-4b57-bea2-9a9ba57cd2a8)) + (fp_line (start -0.8 0.4125) (end 0.8 0.4125) (layer "B.Fab") (width 0.1) (tstamp 5f31ec5d-a545-46e9-8424-1fae3d852a16)) + (fp_line (start -0.8 -0.4125) (end -0.8 0.4125) (layer "B.Fab") (width 0.1) (tstamp 7d43f468-1f83-457c-bb0a-71dba77b1b0b)) + (pad "1" smd roundrect (at -0.825 0) (size 0.8 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 122 "LED") (pintype "passive") (tstamp e41b8d53-90e2-47e8-a474-e97674c71a21)) + (pad "2" smd roundrect (at 0.825 0) (size 0.8 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 128 "Net-(D1-Pad2)") (pintype "passive") (tstamp 686532b4-0548-4e89-aabc-471123a08bf8)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "B.Cu") + (tedit 5F68FEEE) (tstamp e79d3eb5-970e-425b-ab13-bf46bc2ddcaa) + (at 134.75 100.25 90) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Sheetfile" "sc64v2.kicad_sch") + (property "Sheetname" "") + (path "/04819b2b-cb43-4cae-baac-01caae26be72") + (attr smd) + (fp_text reference "C15" (at 0 1.5 90) (layer "B.SilkS") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp e1a7c281-88c9-48b4-8a1b-647af11afa00) + ) + (fp_text value "100nF" (at 0 -1.43 90) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 05e235e0-5fa6-4e58-91e6-69133b06f056) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "B.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + (tstamp 5e91c75c-e9e2-46e8-81fa-07ef62e1bcd2) + ) + (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "B.SilkS") (width 0.12) (tstamp 8b558894-968e-4437-9c1f-0b32744ee8ed)) + (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "B.SilkS") (width 0.12) (tstamp ddb87181-f24b-45fd-9c97-776a3a7f6c9d)) + (fp_line (start -1.48 0.73) (end 1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 0f40d5ff-3e59-4979-9eac-3fa9afd04b4e)) + (fp_line (start 1.48 -0.73) (end -1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 55edf0a4-51a2-4fb8-b3f1-299c8730bd45)) + (fp_line (start -1.48 -0.73) (end -1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp d891ac03-d6ed-4273-bbf4-e2fe2f29b368)) + (fp_line (start 1.48 0.73) (end 1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp fd77cc75-97d0-4f4d-aef5-0dcdc55756c2)) + (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp 39cdfe9a-bb4c-4880-84eb-7ef9bb7c5e37)) + (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp 6d4795e8-b7b8-41bf-8a6e-80f5c71c513f)) + (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp b9b335de-4896-49e4-be94-793c5fb2ced3)) + (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp f4b27152-23eb-4d0a-a531-29c7f024ac0f)) + (pad "1" smd roundrect (at -0.775 0 90) (size 0.9 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 50 "+3V3") (pintype "passive") (tstamp ae2df522-537c-4cb7-a417-4ba373ddb2e1)) + (pad "2" smd roundrect (at 0.775 0 90) (size 0.9 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 46 "GND") (pintype "passive") (tstamp 06e3842c-e4ae-47ca-96be-ee51df8831a9)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (gr_rect locked (start 100.6 103.1) (end 99.1 125) (layer "B.Mask") (width 0) (fill solid) (tstamp 051c790f-2d9e-4f72-8acc-50f9e798df18)) + (gr_rect locked (start 199.4 104.7) (end 200.9 125) (layer "B.Mask") (width 0) (fill solid) (tstamp 2afdf684-251e-48cb-838d-ee4e7b0187ba)) + (gr_rect locked (start 105.9 125) (end 99.1 131.5) (layer "B.Mask") (width 0) (fill solid) (tstamp 4ba55d6f-ac94-4d74-ad6c-c1bd0b5bce0c)) + (gr_rect locked (start 200.9 125) (end 194.1 131.5) (layer "B.Mask") (width 0) (fill solid) (tstamp 81887191-7997-408d-991d-067bc9eabd93)) + (gr_rect locked (start 200.9 125) (end 194.1 131.5) (layer "F.Mask") (width 0) (fill solid) (tstamp 0fb7166d-41b9-44bb-b6a0-fa555d97b474)) + (gr_rect locked (start 100.6 103.1) (end 99.1 125) (layer "F.Mask") (width 0) (fill solid) (tstamp 2af6d951-eeb9-4d6d-89d3-b1a116bb54fe)) + (gr_rect locked (start 105.9 125) (end 99.1 131.5) (layer "F.Mask") (width 0) (fill solid) (tstamp 7616e056-cd60-4a83-9a71-d4a8faed5c45)) + (gr_rect locked (start 199.4 104.7) (end 200.9 125) (layer "F.Mask") (width 0) (fill solid) (tstamp b6401408-d93e-4af7-bcb6-3858d5eb7d4f)) + (gr_line locked (start 112.4 126.5) (end 112.4 125) (layer "Edge.Cuts") (width 0.1) (tstamp 051dc378-e92b-48a5-87e6-25fdf436851f)) + (gr_line locked (start 105.9 131.5) (end 105.9 125) (layer "Edge.Cuts") (width 0.1) (tstamp 0a5e7135-91e5-490f-9cd6-6c952660dae8)) + (gr_line locked (start 200.9 104.7) (end 199.4 104.7) (layer "Edge.Cuts") (width 0.1) (tstamp 18775207-2e19-4046-9652-0a609e0f1f5d)) + (gr_line locked (start 182.25 125) (end 187.6 125) (layer "Edge.Cuts") (width 0.1) (tstamp 2553436b-e9c9-464d-a06c-d950550e3da2)) + (gr_line locked (start 110.45 125) (end 110.45 126.5) (layer "Edge.Cuts") (width 0.1) (tstamp 2567e369-0760-4dd2-b05f-910315719521)) + (gr_line locked (start 199.4 98.7) (end 196.9 98.7) (layer "Edge.Cuts") (width 0.1) (tstamp 26774e4f-f93c-4f73-b9fd-ade697ebb321)) + (gr_line locked (start 189.55 126.5) (end 189.55 125) (layer "Edge.Cuts") (width 0.1) (tstamp 3ace0a35-1d54-4a98-863d-e4c9b0a582ed)) + (gr_line locked (start 103.1 100.1) (end 103.1 98.6) (layer "Edge.Cuts") (width 0.1) (tstamp 40017352-19c0-4fb1-ba6e-a250d8ac620e)) + (gr_line locked (start 194.1 125) (end 194.1 131.5) (layer "Edge.Cuts") (width 0.1) (tstamp 4ba9e55e-16a7-4d09-ba02-77625474432c)) + (gr_line locked (start 110.45 126.5) (end 112.4 126.5) (layer "Edge.Cuts") (width 0.1) (tstamp 5126f6f0-5f9a-4b93-97a0-09239d66586d)) + (gr_line locked (start 199.4 104.7) (end 199.4 98.7) (layer "Edge.Cuts") (width 0.1) (tstamp 51e70ecb-6473-472b-92e1-c45814a171c8)) + (gr_line locked (start 105.9 125) (end 110.45 125) (layer "Edge.Cuts") (width 0.1) (tstamp 5ffb7552-b486-4e9b-b04c-d3c56e8b8e0d)) + (gr_line locked (start 200.9 131.5) (end 200.9 104.7) (layer "Edge.Cuts") (width 0.1) (tstamp 6be9d213-3b5c-4d45-99b0-2fccacfd97f8)) + (gr_line locked (start 99.1 77.7) (end 200.9 77.7) (layer "Edge.Cuts") (width 0.1) (tstamp 71777638-6015-46ca-b332-71712a9354ab)) + (gr_line locked (start 99.1 98.6) (end 99.1 77.7) (layer "Edge.Cuts") (width 0.1) (tstamp 72aeb7c3-1b78-44b9-b85e-ad0c68a52824)) + (gr_line locked (start 194.1 131.5) (end 200.9 131.5) (layer "Edge.Cuts") (width 0.1) (tstamp 7960ca89-a54f-4c4f-8ff6-68fde4ed7f19)) + (gr_line locked (start 187.6 126.5) (end 189.55 126.5) (layer "Edge.Cuts") (width 0.1) (tstamp 7b98ef29-43ae-47e9-9801-dab1ae51395e)) + (gr_line locked (start 99.1 131.5) (end 105.9 131.5) (layer "Edge.Cuts") (width 0.1) (tstamp 7c42ec75-0fa8-4ef0-a6a3-b3777a4f3bdf)) + (gr_line locked (start 187.6 125) (end 187.6 126.5) (layer "Edge.Cuts") (width 0.1) (tstamp 84a7b1aa-b971-4994-beb1-7f62b5e8bd1b)) + (gr_line locked (start 99.1 131.5) (end 99.1 103.1) (layer "Edge.Cuts") (width 0.1) (tstamp 85afc30d-54cb-4b7d-88bc-254f80e0f85d)) + (gr_line locked (start 200.9 77.7) (end 200.9 97.2) (layer "Edge.Cuts") (width 0.1) (tstamp 8eb25749-9893-4dfa-91a0-f73f1c5353b8)) + (gr_line locked (start 100.6 103.1) (end 100.6 100.1) (layer "Edge.Cuts") (width 0.1) (tstamp a64c4b18-45ea-4519-ac7f-d65cf9d7cf65)) + (gr_line locked (start 103.1 98.6) (end 99.1 98.6) (layer "Edge.Cuts") (width 0.1) (tstamp a90674c8-6177-4f64-abeb-8c2c3874dc82)) + (gr_line locked (start 196.9 97.2) (end 200.9 97.2) (layer "Edge.Cuts") (width 0.1) (tstamp a9fff30d-af2c-453b-bbd1-f7c4d85b411c)) + (gr_line locked (start 112.4 125) (end 117.75 125) (layer "Edge.Cuts") (width 0.1) (tstamp ab4a8777-21ae-4a20-b57c-18ff0f83fc92)) + (gr_line locked (start 100.6 100.1) (end 103.1 100.1) (layer "Edge.Cuts") (width 0.1) (tstamp ba8b88eb-80e4-4fa1-9e77-db96a7193967)) + (gr_line locked (start 189.55 125) (end 194.1 125) (layer "Edge.Cuts") (width 0.1) (tstamp e42b96b0-ad98-4690-b427-cf8f46304c16)) + (gr_line locked (start 196.9 98.7) (end 196.9 97.2) (layer "Edge.Cuts") (width 0.1) (tstamp ef9924a6-a4a7-4f26-8270-732f50cd74d7)) + (gr_line locked (start 99.1 103.1) (end 100.6 103.1) (layer "Edge.Cuts") (width 0.1) (tstamp f6f2b1f0-04bc-424e-88ec-e8d8c399ee1a)) + (gr_text "RX" (at 123 84.75 90) (layer "F.SilkS") (tstamp 1aa5c840-409d-4816-b519-d42ebfd7dc1d) + (effects (font (size 0.75 0.75) (thickness 0.125))) + ) + (gr_text "TX" (at 123 87.25 90) (layer "F.SilkS") (tstamp 268af609-3276-4bff-b3aa-e74c57d849bb) + (effects (font (size 0.75 0.75) (thickness 0.125))) + ) + (gr_text "HW ver: 2.0" (at 100.25 83.5) (layer "F.SilkS") (tstamp 4ab65223-ff63-4a7c-853c-e7b8d8e12e76) + (effects (font (size 1 1) (thickness 0.15)) (justify left)) + ) + (gr_text "22.02.2022" (at 100.25 85.25) (layer "F.SilkS") (tstamp 4ebec920-49dd-4966-8b6b-a500a99cc601) + (effects (font (size 1 1) (thickness 0.15)) (justify left)) + ) + (gr_text "GND" (at 135 113) (layer "F.SilkS") (tstamp 613795a2-5dac-4dc9-b0f7-371c54ba440e) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text "https://github.com/Polprzewodnikowy/SummerCollection" (at 101.25 123.5) (layer "F.SilkS") (tstamp 77e7f556-c63c-46bb-bff0-b858da0827cb) + (effects (font (size 0.7 0.7) (thickness 0.1)) (justify left)) + ) + (gr_text "3V3" (at 135 116) (layer "F.SilkS") (tstamp 846fead5-1852-4fad-880d-b02ff05366e0) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text "GND" (at 123 82.25 90) (layer "F.SilkS") (tstamp 96212940-87fb-4c62-bc9a-e2048a0c0734) + (effects (font (size 0.75 0.75) (thickness 0.125))) + ) + (gr_text "SC64" (at 100 80.75) (layer "F.SilkS") (tstamp e68e2eab-07bf-4512-b201-db5285b9e63a) + (effects (font (size 2.5 2.5) (thickness 0.5)) (justify left)) + ) + + (segment (start 160.5 115.6625) (end 160.5 121.381744) (width 0.127) (layer "F.Cu") (net 7) (tstamp 05bc23c2-a792-4578-98ed-7e687258a962)) + (segment (start 160.5 121.381744) (end 161.593616 122.47536) (width 0.127) (layer "F.Cu") (net 7) (tstamp 79461cfc-ffe5-4547-98f5-bb12d4311d8f)) + (segment (start 161.593616 122.47536) (end 171.22536 122.47536) (width 0.127) (layer "F.Cu") (net 7) (tstamp 9c9cb4cc-c233-424c-834f-b9f7b52ba6d0)) + (segment (start 171.22536 122.47536) (end 173.75 125) (width 0.127) (layer "F.Cu") (net 7) (tstamp a05f7377-9a14-455e-bb39-26fe1aac9fb4)) + (via (at 173.75 125) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 7) (tstamp fc96d644-a5a9-4917-9c70-1f3642f7e407)) + (segment (start 175 131) (end 175 126.25) (width 0.127) (layer "B.Cu") (net 7) (tstamp 453d6be6-7849-434f-ac50-f704984cd55c)) + (segment (start 175 126.25) (end 173.75 125) (width 0.127) (layer "B.Cu") (net 7) (tstamp d20053c3-e360-499f-bbb9-c20697753cd1)) + (segment (start 161.383592 122.9824) (end 169.2324 122.9824) (width 0.127) (layer "F.Cu") (net 8) (tstamp 5d299e06-4c6a-4a5e-89dc-bf28b6bc1977)) + (segment (start 159.5 121.098808) (end 161.383592 122.9824) (width 0.127) (layer "F.Cu") (net 8) (tstamp 8fe916ac-f68a-472c-89df-6df517ebed6f)) + (segment (start 159.5 115.6625) (end 159.5 121.098808) (width 0.127) (layer "F.Cu") (net 8) (tstamp a8b19996-4677-4ad5-a327-44070bf84fa4)) + (segment (start 169.2324 122.9824) (end 171.25 125) (width 0.127) (layer "F.Cu") (net 8) (tstamp d3f54198-5283-45dc-be22-dbb9023e9f9a)) + (via (at 171.25 125) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 8) (tstamp 2df85155-cd10-40b7-b431-220261df4674)) + (segment (start 172.5 126.25) (end 171.25 125) (width 0.127) (layer "B.Cu") (net 8) (tstamp ccb82225-7ca6-45de-90e1-d566c8250144)) + (segment (start 172.5 131) (end 172.5 126.25) (width 0.127) (layer "B.Cu") (net 8) (tstamp df8160a1-0873-4303-9c83-066398a38205)) + (segment (start 158.5 120.815872) (end 161.173568 123.48944) (width 0.127) (layer "F.Cu") (net 9) (tstamp 0c4db4f0-a156-4ad1-b29a-39525d35a7a9)) + (segment (start 161.173568 123.48944) (end 167.23944 123.48944) (width 0.127) (layer "F.Cu") (net 9) (tstamp 2e5c7b44-ff04-4eba-bc5f-f7fa911a58ee)) + (segment (start 167.23944 123.48944) (end 168.75 125) (width 0.127) (layer "F.Cu") (net 9) (tstamp 3bab6e53-9298-45a1-b6e6-f1cc7e886b3e)) + (segment (start 158.5 115.6625) (end 158.5 120.815872) (width 0.127) (layer "F.Cu") (net 9) (tstamp 97065295-8a62-4700-91c9-cb24a68d0582)) + (via (at 168.75 125) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 9) (tstamp e49cb13b-6d74-497f-8f1f-8f0d6f6994b8)) + (segment (start 170 131) (end 170 126.25) (width 0.127) (layer "B.Cu") (net 9) (tstamp bd413378-663b-456b-86ea-9603f4db455e)) + (segment (start 170 126.25) (end 168.75 125) (width 0.127) (layer "B.Cu") (net 9) (tstamp cdce1cbd-2b7e-4f04-9d64-7981419b17e5)) + (segment (start 162.74648 123.99648) (end 163.75 125) (width 0.127) (layer "F.Cu") (net 10) (tstamp 00cdeccf-b600-4c97-a750-654f12c02704)) + (segment (start 157 120.032936) (end 160.963544 123.99648) (width 0.127) (layer "F.Cu") (net 10) (tstamp 59632989-26cf-4c66-80d7-9475f2ada5c8)) + (segment (start 160.963544 123.99648) (end 162.74648 123.99648) (width 0.127) (layer "F.Cu") (net 10) (tstamp 6930c77f-b067-42eb-ae27-5de18c69a1fa)) + (segment (start 157 115.6625) (end 157 120.032936) (width 0.127) (layer "F.Cu") (net 10) (tstamp bb7171ee-ea02-4d3c-96c0-dcef03d7772c)) + (via (at 163.75 125) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 10) (tstamp 64fb39c6-d128-4574-b563-ee1b6e0f1ce9)) + (segment (start 165 126.25) (end 163.75 125) (width 0.127) (layer "B.Cu") (net 10) (tstamp 24faaf37-ee25-42db-aed6-6a30870b25be)) + (segment (start 165 131) (end 165 126.25) (width 0.127) (layer "B.Cu") (net 10) (tstamp b31654c5-668e-4c76-a118-b31b1d5907b2)) + (segment (start 153.75 118.934128) (end 153.75 125) (width 0.127) (layer "F.Cu") (net 11) (tstamp 6f486746-3a24-4741-bf7c-ae51f0a037ee)) + (segment (start 153 115.6625) (end 153 118.184128) (width 0.127) (layer "F.Cu") (net 11) (tstamp d7e3806e-2852-4969-8b73-cfffbfab4dc6)) + (segment (start 153 118.184128) (end 153.75 118.934128) (width 0.127) (layer "F.Cu") (net 11) (tstamp e48fadb7-59a0-41f3-9e0e-e55280e15907)) + (via (at 153.75 125) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 11) (tstamp cb0d8cc1-02e7-4ff2-861e-b3d40c8ea03d)) + (segment (start 155 126.25) (end 153.75 125) (width 0.127) (layer "B.Cu") (net 11) (tstamp 29fdfca5-f2f1-4a33-8658-0ec3f0395efd)) + (segment (start 155 131) (end 155 126.25) (width 0.127) (layer "B.Cu") (net 11) (tstamp 5fcba4a5-ac48-48dd-9402-709fa4169e61)) + (segment (start 152 115.6625) (end 152 121.934125) (width 0.127) (layer "F.Cu") (net 12) (tstamp b6bed925-3da6-4240-8906-1bfd84715939)) + (segment (start 151.25 122.684126) (end 151.25 125) (width 0.127) (layer "F.Cu") (net 12) (tstamp c826a668-5887-4303-91ab-e6514b8cf2f4)) + (segment (start 152 121.934125) (end 151.25 122.684126) (width 0.127) (layer "F.Cu") (net 12) (tstamp ebe69734-662c-45b7-a28f-3f0423de1ffb)) + (via (at 151.25 125) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 12) (tstamp 96d25a41-d5a9-45e2-b516-dd8f8c4b6014)) + (segment (start 152.5 126.25) (end 151.25 125) (width 0.127) (layer "B.Cu") (net 12) (tstamp 654d938c-8866-4be2-9533-0572b3217776)) + (segment (start 152.5 131) (end 152.5 126.25) (width 0.127) (layer "B.Cu") (net 12) (tstamp 943ab3be-0b6d-4c1c-b866-24c79b5cd7ad)) + (segment (start 147.23944 124.01056) (end 146.25 125) (width 0.127) (layer "F.Cu") (net 13) (tstamp 042fc9fb-6d4f-428f-a8c7-5f0463493f13)) + (segment (start 149.565033 124.01056) (end 147.23944 124.01056) (width 0.127) (layer "F.Cu") (net 13) (tstamp 48c4b9b7-8786-4d2c-bb2f-146d50217ca7)) + (segment (start 151.5 115.6625) (end 151.5 122.075594) (width 0.127) (layer "F.Cu") (net 13) (tstamp 548a8555-e358-4f93-a640-63e48b2aa5a9)) + (segment (start 151.5 122.075594) (end 149.565033 124.01056) (width 0.127) (layer "F.Cu") (net 13) (tstamp 80489c70-ebdc-419b-a6bb-754932f32db9)) + (via (at 146.25 125) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 13) (tstamp bf1d9ee5-fafe-4768-a2af-34bb4d57ddb6)) + (segment (start 145 131) (end 145 126.25) (width 0.127) (layer "B.Cu") (net 13) (tstamp 1c677e1c-2ff4-4459-9c6e-3a6d28754cfa)) + (segment (start 145 126.25) (end 146.25 125) (width 0.127) (layer "B.Cu") (net 13) (tstamp a1081d9d-2734-4d9e-b16d-0fa146b3a8d5)) + (segment (start 150.5 115.6625) (end 150.5 122.358531) (width 0.127) (layer "F.Cu") (net 14) (tstamp 42188e15-eee8-4955-abb8-0ff4779946bf)) + (segment (start 150.5 122.358531) (end 149.355011 123.50352) (width 0.127) (layer "F.Cu") (net 14) (tstamp 6e52912d-e530-49f8-b291-4c15945b7ddb)) + (segment (start 145.24648 123.50352) (end 143.75 125) (width 0.127) (layer "F.Cu") (net 14) (tstamp 7f8f8d86-5a64-4bfa-8a7e-dea817bdd6df)) + (segment (start 149.355011 123.50352) (end 145.24648 123.50352) (width 0.127) (layer "F.Cu") (net 14) (tstamp cfa97b7c-b646-48ad-aeab-507f5a902de5)) + (via (at 143.75 125) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 14) (tstamp b31e0012-5b47-4dc7-b5b7-f0df6a72e174)) + (segment (start 142.5 131) (end 142.5 126.25) (width 0.127) (layer "B.Cu") (net 14) (tstamp a3b74b07-005d-4a58-b1c3-d4f9e92902d6)) + (segment (start 142.5 126.25) (end 143.75 125) (width 0.127) (layer "B.Cu") (net 14) (tstamp e9e1c37c-a23c-4309-be8e-c34db0f3d085)) + (segment (start 153.75 112) (end 153.49296 112.25704) (width 0.127) (layer "F.Cu") (net 15) (tstamp 16a75dde-1989-4917-b9d0-541394b35cc9)) + (segment (start 141.315033 124.01056) (end 139.73944 124.01056) (width 0.127) (layer "F.Cu") (net 15) (tstamp 210c70c1-ce60-48cf-8ab5-b67b78e9ebe8)) + (segment (start 145.5 119.825593) (end 141.315033 124.01056) (width 0.127) (layer "F.Cu") (net 15) (tstamp 363383f3-d5fb-4485-b2cb-195878710ff3)) + (segment (start 153.49296 112.25704) (end 149.75 112.25704) (width 0.127) (layer "F.Cu") (net 15) (tstamp 38edf341-14d0-4001-b629-fef72612c626)) + (segment (start 145.5 113.75) (end 145.5 119.825593) (width 0.127) (layer "F.Cu") (net 15) (tstamp 4ed65a61-fc2b-48b3-8ecb-77dd4e915cf7)) + (segment (start 148.75704 113.25) (end 147.5875 113.25) (width 0.127) (layer "F.Cu") (net 15) (tstamp 51b2163d-d6cf-444f-8dfc-8a8337ba44fb)) + (segment (start 156.275 87.025) (end 156.5 87.25) (width 0.127) (layer "F.Cu") (net 15) (tstamp 8abd9a02-e7f3-4312-9bf6-b3a27df8b02d)) + (segment (start 147.5875 113.25) (end 146 113.25) (width 0.127) (layer "F.Cu") (net 15) (tstamp 8c8e0255-1d18-4204-bbc7-a72708e0a0b0)) + (segment (start 139.73944 124.01056) (end 138.75 125) (width 0.127) (layer "F.Cu") (net 15) (tstamp 94c9446c-7b62-428f-ae5f-7fb1bf70aa9b)) + (segment (start 149.75 112.25704) (end 148.75704 113.25) (width 0.127) (layer "F.Cu") (net 15) (tstamp a1d46117-0053-4832-bc37-3b4ee0c1797d)) + (segment (start 146 113.25) (end 145.5 113.75) (width 0.127) (layer "F.Cu") (net 15) (tstamp bde25ed8-1c46-40eb-bc03-d02a78e1fc00)) + (segment (start 155.1375 87.025) (end 156.275 87.025) (width 0.127) (layer "F.Cu") (net 15) (tstamp fe1ee6c6-a358-4612-b58c-83e96372444c)) + (via (at 153.75 112) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 15) (tstamp 214f840d-cb08-4024-a408-d4c4ce06dc4a)) + (via (at 138.75 125) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 15) (tstamp 35c7a106-1ab1-4959-97ae-d518d3a2c3f8)) + (via (at 156.5 87.25) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 15) (tstamp 97bc673b-650e-42b5-97d8-3fdc12620cca)) + (segment (start 137.5 131) (end 137.5 126.25) (width 0.127) (layer "B.Cu") (net 15) (tstamp 2994d7c1-cf9f-461a-81d0-895db2c99f53)) + (segment (start 155.50704 110.24296) (end 155.50704 91.539977) (width 0.127) (layer "B.Cu") (net 15) (tstamp 4dfa7475-3877-4e56-903b-384aabf021e8)) + (segment (start 153.75 112) (end 155.50704 110.24296) (width 0.127) (layer "B.Cu") (net 15) (tstamp 5b3a0e9a-9ee8-454d-bad5-81b4095aee8d)) + (segment (start 154.5 90.532937) (end 154.5 89.25) (width 0.127) (layer "B.Cu") (net 15) (tstamp 8e233427-2dec-4e3f-b7e5-b51c16dd9b54)) + (segment (start 154.5 89.25) (end 156.5 87.25) (width 0.127) (layer "B.Cu") (net 15) (tstamp d00345c3-206f-4c01-8d2d-5ff35513271e)) + (segment (start 155.50704 91.539977) (end 154.5 90.532937) (width 0.127) (layer "B.Cu") (net 15) (tstamp dd9f1340-0305-48e5-a8e9-960f2e2253ef)) + (segment (start 137.5 126.25) (end 138.75 125) (width 0.127) (layer "B.Cu") (net 15) (tstamp e3605203-b6c4-4a27-a0cc-161b52e6b9d8)) + (segment (start 145.5 112.25) (end 144.99296 112.75704) (width 0.127) (layer "F.Cu") (net 16) (tstamp 0e45c04a-7ad1-4745-910c-14b4621ae849)) + (segment (start 144.99296 112.75704) (end 144.99296 119.615571) (width 0.127) (layer "F.Cu") (net 16) (tstamp 4bba64be-51fc-4aff-87c0-8a0a599f1f0b)) + (segment (start 144.99296 119.615571) (end 141.105011 123.50352) (width 0.127) (layer "F.Cu") (net 16) (tstamp 8844ec78-8915-492f-914f-07a19f830f77)) + (segment (start 147.5875 112.25) (end 145.5 112.25) (width 0.127) (layer "F.Cu") (net 16) (tstamp 8dcd7183-a089-4468-b1e4-0c7ad90493d6)) + (segment (start 141.105011 123.50352) (end 137.74648 123.50352) (width 0.127) (layer "F.Cu") (net 16) (tstamp bf3ddc02-1d37-483f-b3ae-faf7972ffee0)) + (segment (start 137.74648 123.50352) (end 136.25 125) (width 0.127) (layer "F.Cu") (net 16) (tstamp f8355bf8-fc3c-4489-b78f-2399de3886c2)) + (via (at 136.25 125) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 16) (tstamp d24e44cb-f571-40d9-820f-5f8e4ccdb825)) + (segment (start 135 131) (end 135 126.5) (width 0.127) (layer "B.Cu") (net 16) (tstamp 21272acd-502c-4024-b918-e8010e543f23)) + (segment (start 135 126.5) (end 135 126.25) (width 0.127) (layer "B.Cu") (net 16) (tstamp 7c73ae16-0c8c-4079-b686-0fa3b0454a4b)) + (segment (start 135 126.25) (end 136.25 125) (width 0.127) (layer "B.Cu") (net 16) (tstamp 9d8827ed-4c84-45cb-8986-8d50fcb5271e)) + (segment (start 140.684964 122.48944) (end 133.76056 122.48944) (width 0.127) (layer "F.Cu") (net 17) (tstamp 43b6d5a5-f59c-4bae-95ec-2a9f7921abdf)) + (segment (start 143.97888 119.195524) (end 140.684964 122.48944) (width 0.127) (layer "F.Cu") (net 17) (tstamp 8e545264-f77d-4ab8-9076-28da1c12b477)) + (segment (start 143.97888 110.77112) (end 143.97888 119.195524) (width 0.127) (layer "F.Cu") (net 17) (tstamp b1e8fc68-a20a-4c32-bfbc-fe9f7e82d6ab)) + (segment (start 133.76056 122.48944) (end 131.25 125) (width 0.127) (layer "F.Cu") (net 17) (tstamp bc501544-1bf0-43a3-8aa5-07405df41dae)) + (segment (start 147.5875 109.25) (end 145.5 109.25) (width 0.127) (layer "F.Cu") (net 17) (tstamp d3086e86-756c-40e6-b5d8-267e708aaeb7)) + (segment (start 145.5 109.25) (end 143.97888 110.77112) (width 0.127) (layer "F.Cu") (net 17) (tstamp e26630f7-6c9c-4064-8416-7fd5457e3453)) + (via (at 131.25 125) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 17) (tstamp 7da5a09e-3a4f-4731-a5e1-e79acd59ef3c)) + (segment (start 130 131) (end 130 126.25) (width 0.127) (layer "B.Cu") (net 17) (tstamp 2789f268-f532-43d5-9728-8571f11f5d32)) + (segment (start 130 126.25) (end 131.25 125) (width 0.127) (layer "B.Cu") (net 17) (tstamp d8c2d69c-7438-4480-81cc-ced85a9294b8)) + (segment (start 161 115.6625) (end 161 121.523212) (width 0.127) (layer "F.Cu") (net 18) (tstamp 22c7c118-e883-48b9-810a-a0623570c3ee)) + (segment (start 161 121.523212) (end 161.698628 122.22184) (width 0.127) (layer "F.Cu") (net 18) (tstamp 5d9e716f-b41e-4dd5-8906-9716019157d5)) + (segment (start 172.22184 122.22184) (end 175 125) (width 0.127) (layer "F.Cu") (net 18) (tstamp 63b9e1e0-f285-42f8-9384-079debd79029)) + (segment (start 175 125) (end 175 131) (width 0.127) (layer "F.Cu") (net 18) (tstamp 7cb84a3e-7ffc-4dc7-bc74-425d9d1167e6)) + (segment (start 161.698628 122.22184) (end 172.22184 122.22184) (width 0.127) (layer "F.Cu") (net 18) (tstamp a4e2c95c-82f2-4ac9-b9a1-c99b00f90cbc)) + (segment (start 161.488604 122.72888) (end 170.22888 122.72888) (width 0.127) (layer "F.Cu") (net 19) (tstamp 1a6e6d3b-9b3c-408b-8067-47a1ba98d7f8)) + (segment (start 172.5 125) (end 172.5 131) (width 0.127) (layer "F.Cu") (net 19) (tstamp 3717892f-bf8f-46d0-b404-29f05255bcf8)) + (segment (start 160 115.6625) (end 160 121.240276) (width 0.127) (layer "F.Cu") (net 19) (tstamp 60747620-6490-4064-bb08-17e14894fec2)) + (segment (start 170.22888 122.72888) (end 172.5 125) (width 0.127) (layer "F.Cu") (net 19) (tstamp ce810619-c4d1-4a2c-8e46-b5b3ae5e5a78)) + (segment (start 160 121.240276) (end 161.488604 122.72888) (width 0.127) (layer "F.Cu") (net 19) (tstamp eccd4054-970f-4a3f-8262-d5d948f187ce)) + (segment (start 170 125) (end 170 131) (width 0.127) (layer "F.Cu") (net 20) (tstamp 086b8409-b9af-4ac6-946a-b5598ffd076b)) + (segment (start 159 115.6625) (end 159 120.95734) (width 0.127) (layer "F.Cu") (net 20) (tstamp 2e2e3911-eeaf-4b69-9472-b7c95541d3e2)) + (segment (start 159 120.95734) (end 161.27858 123.23592) (width 0.127) (layer "F.Cu") (net 20) (tstamp 56a276f5-adf7-4314-bae7-3642a1dc1618)) + (segment (start 161.27858 123.23592) (end 168.23592 123.23592) (width 0.127) (layer "F.Cu") (net 20) (tstamp b24361ad-4adb-4683-bbf3-89ac26de1fac)) + (segment (start 168.23592 123.23592) (end 170 125) (width 0.127) (layer "F.Cu") (net 20) (tstamp b274d36d-d79b-435b-bf71-952d0615bea6)) + (segment (start 158 120.674404) (end 161.068556 123.74296) (width 0.127) (layer "F.Cu") (net 21) (tstamp 0873ab5b-a170-4e20-bbb6-2206783a6093)) + (segment (start 165 125) (end 165 131) (width 0.127) (layer "F.Cu") (net 21) (tstamp 2f2111c6-809d-4eb7-a8f6-36cc21c730e0)) + (segment (start 163.74296 123.74296) (end 165 125) (width 0.127) (layer "F.Cu") (net 21) (tstamp 326487a6-70c6-46d3-b4d0-170e420ecaf7)) + (segment (start 161.068556 123.74296) (end 163.74296 123.74296) (width 0.127) (layer "F.Cu") (net 21) (tstamp 3ba26470-25e6-44be-8c46-39c8ae685a9f)) + (segment (start 158 115.6625) (end 158 120.674404) (width 0.127) (layer "F.Cu") (net 21) (tstamp 971cf8a8-8522-47bf-be3b-87d6237707e4)) + (segment (start 162.5 125) (end 162.5 131) (width 0.127) (layer "F.Cu") (net 22) (tstamp 04fbb552-0410-468c-8246-f96df656f8c1)) + (segment (start 156 115.6625) (end 156 119.391468) (width 0.127) (layer "F.Cu") (net 22) (tstamp 09718e88-eb18-417a-8aa1-bacbab8f60c3)) + (segment (start 160.858532 124.25) (end 161.75 124.25) (width 0.127) (layer "F.Cu") (net 22) (tstamp 1c2f82ad-cb18-4119-baba-80cf876fdcf7)) + (segment (start 161.75 124.25) (end 162.5 125) (width 0.127) (layer "F.Cu") (net 22) (tstamp 4ee3d86a-a96b-49d6-925a-bf7457651414)) + (segment (start 156 119.391468) (end 160.858532 124.25) (width 0.127) (layer "F.Cu") (net 22) (tstamp baa61771-8fe5-4ec1-85d0-7fcb612d061f)) + (segment (start 155 119.108532) (end 157.5 121.608532) (width 0.127) (layer "F.Cu") (net 23) (tstamp 8c3f8c46-a496-4c2b-b112-02ce326b1d9c)) + (segment (start 155 115.6625) (end 155 119.108532) (width 0.127) (layer "F.Cu") (net 23) (tstamp a95817c6-8668-4505-88a4-57a0fd9f627b)) + (segment (start 157.5 121.608532) (end 157.5 131) (width 0.127) (layer "F.Cu") (net 23) (tstamp b1fe24ac-f025-4472-a115-bbf7b89395e7)) + (segment (start 155 119.825596) (end 155 131) (width 0.127) (layer "F.Cu") (net 24) (tstamp 0301dfe8-766e-4ec1-998a-50d0a6712506)) + (segment (start 153.5 115.6625) (end 153.5 118.325596) (width 0.127) (layer "F.Cu") (net 24) (tstamp 93b03e09-cd24-465e-9b44-3918e8c8dcbc)) + (segment (start 153.5 118.325596) (end 155 119.825596) (width 0.127) (layer "F.Cu") (net 24) (tstamp cb4ec8f9-a236-4b05-bcfd-11ec2dde1b59)) + (segment (start 152.5 115.6625) (end 152.5 131) (width 0.127) (layer "F.Cu") (net 25) (tstamp 5ca671c4-18c9-4b4b-85b5-84ab4ba28d0e)) + (segment (start 151 115.6625) (end 151 122.217062) (width 0.127) (layer "F.Cu") (net 26) (tstamp 261d883f-a50c-415e-9b6a-0f9fbaa60f53)) + (segment (start 146.24296 123.75704) (end 145 125) (width 0.127) (layer "F.Cu") (net 26) (tstamp 851a8142-0045-4e5a-b42a-9035d310e8a4)) + (segment (start 145 125) (end 145 131) (width 0.127) (layer "F.Cu") (net 26) (tstamp cdfd61b8-cdd5-4fa2-95a2-c6799c5656e4)) + (segment (start 149.460022 123.75704) (end 146.24296 123.75704) (width 0.127) (layer "F.Cu") (net 26) (tstamp d22baf75-db6c-4f94-83f9-3f6da4d67e70)) + (segment (start 151 122.217062) (end 149.460022 123.75704) (width 0.127) (layer "F.Cu") (net 26) (tstamp fa5399d8-8938-4bcd-88d1-fcb474fd7947)) + (segment (start 150 122.5) (end 149.25 123.25) (width 0.127) (layer "F.Cu") (net 27) (tstamp 6215912e-3e2c-49a2-a946-972e6925625d)) + (segment (start 150 115.6625) (end 150 122.5) (width 0.127) (layer "F.Cu") (net 27) (tstamp 8c83fae4-4bf5-443a-8d54-eadb23d156ef)) + (segment (start 149.25 123.25) (end 144.25 123.25) (width 0.127) (layer "F.Cu") (net 27) (tstamp 95515a8d-dfe2-4a9b-ba41-5a5322d15e96)) + (segment (start 144.25 123.25) (end 142.5 125) (width 0.127) (layer "F.Cu") (net 27) (tstamp d1f9abd5-ad6b-43fe-b366-52e393e4dbef)) + (segment (start 142.5 125) (end 142.5 131) (width 0.127) (layer "F.Cu") (net 27) (tstamp ef719abd-e683-4662-a8fc-4697a6bffecb)) + (segment (start 148.75352 112.75) (end 147.5875 112.75) (width 0.127) (layer "F.Cu") (net 28) (tstamp 1971bbc6-6d79-4504-9d59-3ca1e187ef27)) + (segment (start 141.210022 123.75704) (end 138.74296 123.75704) (width 0.127) (layer "F.Cu") (net 28) (tstamp 2175ed89-8f40-4c28-a61d-cf28ae6ae4a0)) + (segment (start 145.75 112.75) (end 145.24648 113.25352) (width 0.127) (layer "F.Cu") (net 28) (tstamp 24f6cdfa-d798-4d12-b6d8-d0505ba0b842)) + (segment (start 137.5 125) (end 137.5 131) (width 0.127) (layer "F.Cu") (net 28) (tstamp 8a0e73e6-fafa-4ed3-84b8-779003fe48f0)) + (segment (start 156.860931 86.375) (end 156.985931 86.5) (width 0.127) (layer "F.Cu") (net 28) (tstamp 98d82516-2d9d-4c75-9455-7c9d3013d39f)) + (segment (start 147.5875 112.75) (end 145.75 112.75) (width 0.127) (layer "F.Cu") (net 28) (tstamp a2c231f5-693a-47ba-9123-2c49f2240f01)) + (segment (start 149.5 112.00352) (end 148.75352 112.75) (width 0.127) (layer "F.Cu") (net 28) (tstamp b22a71e3-3618-430b-9442-18a657825cd1)) + (segment (start 138.74296 123.75704) (end 137.5 125) (width 0.127) (layer "F.Cu") (net 28) (tstamp b5fc01c3-43ab-446c-a461-aa171f08a511)) + (segment (start 145.24648 119.720582) (end 141.210022 123.75704) (width 0.127) (layer "F.Cu") (net 28) (tstamp b7936179-6ac1-42a9-990e-a3355d061316)) + (segment (start 152.99648 112.00352) (end 149.5 112.00352) (width 0.127) (layer "F.Cu") (net 28) (tstamp c7a5ec83-3af6-434e-bb42-132fe62849e3)) + (segment (start 154 111) (end 152.99648 112.00352) (width 0.127) (layer "F.Cu") (net 28) (tstamp cf68eb92-88b8-4716-88e8-b02f2e32e046)) + (segment (start 145.24648 113.25352) (end 145.24648 119.720582) (width 0.127) (layer "F.Cu") (net 28) (tstamp d2547ad2-dd5b-4e0e-822a-834c8b47adcd)) + (segment (start 155.1375 86.375) (end 156.860931 86.375) (width 0.127) (layer "F.Cu") (net 28) (tstamp e0b48d0a-0604-4396-ab75-07c864f6208f)) + (via (at 156.985931 86.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 28) (tstamp b7848b59-4be3-4a24-85f8-3999638435f6)) + (via (at 154 111) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 28) (tstamp f9039a56-d435-4b05-a5ab-2577e6d2f0bd)) + (segment (start 154 111) (end 155.25352 109.74648) (width 0.127) (layer "B.Cu") (net 28) (tstamp 1f8fce11-066a-408c-aaa3-68598e42f898)) + (segment (start 155.25352 109.74648) (end 155.25352 91.644989) (width 0.127) (layer "B.Cu") (net 28) (tstamp 2aec6a39-19b5-489a-a3c4-4717026ebe8c)) + (segment (start 155.25352 91.644989) (end 154.24648 90.637948) (width 0.127) (layer "B.Cu") (net 28) (tstamp 35d5c258-01c0-4a07-b41c-71450c837eed)) + (segment (start 154.24648 88.75352) (end 156.5 86.5) (width 0.127) (layer "B.Cu") (net 28) (tstamp 515609e2-2b12-486c-a447-9b77b082ecea)) + (segment (start 156.5 86.5) (end 156.985931 86.5) (width 0.127) (layer "B.Cu") (net 28) (tstamp b164805b-6aef-42ad-98ef-77032c6bbfe8)) + (segment (start 154.24648 90.637948) (end 154.24648 88.75352) (width 0.127) (layer "B.Cu") (net 28) (tstamp e4d20e4e-2017-4543-a3f5-57d0cdc98362)) + (segment (start 147.5875 108.75) (end 145.25 108.75) (width 0.127) (layer "F.Cu") (net 29) (tstamp 0cc8782e-ec83-4609-9398-2b133d49aa42)) + (segment (start 132.76408 122.23592) (end 130 125) (width 0.127) (layer "F.Cu") (net 29) (tstamp 1e215d88-26ea-4db4-8c3f-948283d60d7b)) + (segment (start 130 125) (end 130 131) (width 0.127) (layer "F.Cu") (net 29) (tstamp 2398ea00-2f05-44f5-8e34-b3cad8f7bd2c)) + (segment (start 143.72536 119.090512) (end 140.579952 122.23592) (width 0.127) (layer "F.Cu") (net 29) (tstamp 254f1b38-465c-4b2d-bd3e-a298564dc8d6)) + (segment (start 143.72536 110.27464) (end 143.72536 119.090512) (width 0.127) (layer "F.Cu") (net 29) (tstamp 38c4c48d-a1be-49cb-a95e-322b5b0f592e)) + (segment (start 140.579952 122.23592) (end 132.76408 122.23592) (width 0.127) (layer "F.Cu") (net 29) (tstamp 63ac2ad5-94b4-4209-a749-c351a15d0e8d)) + (segment (start 145.25 108.75) (end 143.72536 110.27464) (width 0.127) (layer "F.Cu") (net 29) (tstamp ab7996b1-b040-45e4-b7b5-490205ad88ce)) + (segment (start 164.5 94.3375) (end 164.5 93) (width 0.127) (layer "F.Cu") (net 30) (tstamp a4b01802-4f55-4261-8d1b-6ba29975df30)) + (segment (start 167.50704 89.99296) (end 193.3 89.99296) (width 0.127) (layer "F.Cu") (net 30) (tstamp afe247ad-657a-407a-82dc-d6f765499cd8)) + (segment (start 193.3 89.99296) (end 196.64796 86.645) (width 0.127) (layer "F.Cu") (net 30) (tstamp dc171efc-3cf5-4194-8c24-9d6dfff6b673)) + (segment (start 196.64796 86.645) (end 199.7 86.645) (width 0.127) (layer "F.Cu") (net 30) (tstamp f81deecb-88d5-4105-ad0f-3a4797c7ab0a)) + (segment (start 164.5 93) (end 167.50704 89.99296) (width 0.127) (layer "F.Cu") (net 30) (tstamp fd0fd8f6-6a12-4030-b64d-8d4b40eaf866)) + (segment (start 193.50352 90.24648) (end 196.005 87.745) (width 0.127) (layer "F.Cu") (net 31) (tstamp 75383d60-d6ab-478c-a1dc-d9330606cd85)) + (segment (start 167.75352 90.24648) (end 193.50352 90.24648) (width 0.127) (layer "F.Cu") (net 31) (tstamp 7585602e-a48b-4748-bf6b-2a932fbaf06f)) + (segment (start 165 94.3375) (end 165 93) (width 0.127) (layer "F.Cu") (net 31) (tstamp 7e985070-8fcb-44bb-bd1e-9c650fe9a26d)) + (segment (start 165 93) (end 167.75352 90.24648) (width 0.127) (layer "F.Cu") (net 31) (tstamp aaf523a1-25ae-4d80-8348-408effc82360)) + (segment (start 196.005 87.745) (end 199.7 87.745) (width 0.127) (layer "F.Cu") (net 31) (tstamp d3e1661a-9c44-4c82-a081-be670adccf7b)) + (segment (start 168 90.5) (end 193.681032 90.5) (width 0.127) (layer "F.Cu") (net 32) (tstamp 18d88cfe-7cb8-4ac7-8b98-fc26020d0d89)) + (segment (start 193.681032 90.5) (end 195.336032 88.845) (width 0.127) (layer "F.Cu") (net 32) (tstamp 29e50307-d505-4a9e-ad2a-dbd9b16f3796)) + (segment (start 165.5 93) (end 168 90.5) (width 0.127) (layer "F.Cu") (net 32) (tstamp 8bf1a7d5-0c1e-4e0d-9d34-17e0eedb18d0)) + (segment (start 165.5 94.3375) (end 165.5 93) (width 0.127) (layer "F.Cu") (net 32) (tstamp c2d5fe6d-16e7-461f-93a7-a14c58e1be98)) + (segment (start 195.336032 88.845) (end 199.7 88.845) (width 0.127) (layer "F.Cu") (net 32) (tstamp e0e27b50-0bea-4b4c-9657-a27fd42b3d1c)) + (segment (start 193.945 91.045) (end 193.65352 90.75352) (width 0.127) (layer "F.Cu") (net 33) (tstamp 3c659428-41be-4cf2-9f67-6dc7404762c9)) + (segment (start 168.24648 90.75352) (end 166 93) (width 0.127) (layer "F.Cu") (net 33) (tstamp 98b089da-904a-4c79-811e-4aa77409576a)) + (segment (start 166 93) (end 166 94.3375) (width 0.127) (layer "F.Cu") (net 33) (tstamp c2842bfb-a708-4bec-b94d-6bbe967f6496)) + (segment (start 199.7 91.045) (end 193.945 91.045) (width 0.127) (layer "F.Cu") (net 33) (tstamp d942c477-4fd5-4e56-9424-17978b1dd4c0)) + (segment (start 193.65352 90.75352) (end 168.24648 90.75352) (width 0.127) (layer "F.Cu") (net 33) (tstamp ecd4aaf1-1ef5-4f8b-bc44-3a8305150893)) + (segment (start 166.5 94.3375) (end 166.5 93) (width 0.127) (layer "F.Cu") (net 34) (tstamp 0d6a954e-26db-40e7-a5f2-cc096a033dd8)) + (segment (start 195.745 93.245) (end 199.7 93.245) (width 0.127) (layer "F.Cu") (net 34) (tstamp 1ee37ff3-fa0e-4dcf-bfde-d104d6dfc070)) + (segment (start 166.5 93) (end 168.49296 91.00704) (width 0.127) (layer "F.Cu") (net 34) (tstamp 32a0391b-0278-41f1-80c7-9e05b4bad57d)) + (segment (start 193.50704 91.00704) (end 195.745 93.245) (width 0.127) (layer "F.Cu") (net 34) (tstamp 33bd3bfc-9b41-40fe-8bc9-78453cae601c)) + (segment (start 168.49296 91.00704) (end 193.50704 91.00704) (width 0.127) (layer "F.Cu") (net 34) (tstamp bf574040-9982-4439-807a-116d72b0e51e)) + (segment (start 167 94.3375) (end 167 93) (width 0.127) (layer "F.Cu") (net 35) (tstamp 09304eec-1179-4495-a17c-e4f0807e7de1)) + (segment (start 196.33444 94.295) (end 199.7 94.295) (width 0.127) (layer "F.Cu") (net 35) (tstamp 2300a87e-e0ba-40f0-b7cb-750d7d8b4f7f)) + (segment (start 168.73944 91.26056) (end 193.3 91.26056) (width 0.127) (layer "F.Cu") (net 35) (tstamp 4f04ea72-6bc2-477b-a3f7-deaa13ab8cec)) + (segment (start 167 93) (end 168.73944 91.26056) (width 0.127) (layer "F.Cu") (net 35) (tstamp 5fb0eb81-e09e-4eec-89d3-a9a425d8bec6)) + (segment (start 193.3 91.26056) (end 196.33444 94.295) (width 0.127) (layer "F.Cu") (net 35) (tstamp 90324ad6-3e85-4947-bbda-5860c51f4e89)) + (segment (start 164 93) (end 167.26056 89.73944) (width 0.127) (layer "F.Cu") (net 36) (tstamp 07b960de-a52d-4e1a-8e0d-aed2f3a4e05a)) + (segment (start 193.55 89.2) (end 193.55 84.01) (width 0.127) (layer "F.Cu") (net 36) (tstamp 100398c3-c285-40e7-9207-ff74addac37d)) + (segment (start 193.01056 89.73944) (end 193.55 89.2) (width 0.127) (layer "F.Cu") (net 36) (tstamp 2ef332e9-9ae9-4cca-9b32-f2fcd2ea547a)) + (segment (start 167.26056 89.73944) (end 193.01056 89.73944) (width 0.127) (layer "F.Cu") (net 36) (tstamp 888be526-10d6-41b7-9463-e78d7a35f517)) + (segment (start 164 94.3375) (end 164 93) (width 0.127) (layer "F.Cu") (net 36) (tstamp c261e3fd-e368-4c02-bded-14b16cacdbd6)) + (via (at 193.55 88.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 36) (tstamp b8895f1b-ed9e-430a-b4ab-551d23d75332)) + (segment (start 196 88.5) (end 197 89.5) (width 0.127) (layer "B.Cu") (net 36) (tstamp d2221023-9239-4e14-a777-160fc61bc910)) + (segment (start 197 89.5) (end 197.925 89.5) (width 0.127) (layer "B.Cu") (net 36) (tstamp e3eb4263-e4b2-41d9-9d89-77c9ac8bfbe6)) + (segment (start 193.55 88.5) (end 196 88.5) (width 0.127) (layer "B.Cu") (net 36) (tstamp f41544d3-96be-4d8e-9fbd-62bb690e3d94)) + (segment (start 162.875 83.125) (end 160.8625 83.125) (width 0.127) (layer "F.Cu") (net 37) (tstamp 01504314-3a1a-461d-9468-a46c870eb5ea)) + (segment (start 163 83.25) (end 162.875 83.125) (width 0.127) (layer "F.Cu") (net 37) (tstamp 7439c9f9-131c-4797-891f-e9c2d8d425ce)) + (segment (start 131.365 83.48) (end 131.345 83.5) (width 0.127) (layer "F.Cu") (net 37) (tstamp 9ed63aaa-c4bb-4841-a208-42fe5e1c880b)) + (segment (start 131.345 83.5) (end 128 83.5) (width 0.127) (layer "F.Cu") (net 37) (tstamp bfecc199-e3f4-413b-994d-4889978dd697)) + (via (at 163 83.25) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp 1d093280-16a4-401f-af1c-1ba4ce81c0a9)) + (via (at 128 83.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp 44a441c2-6b97-4b8a-868d-5c8b478102fe)) + (segment (start 129.148508 83.24296) (end 128.891468 83.5) (width 0.127) (layer "B.Cu") (net 37) (tstamp 1cb0b360-4621-4396-a338-3710bf8899b2)) + (segment (start 136.289978 83.24296) (end 129.148508 83.24296) (width 0.127) (layer "B.Cu") (net 37) (tstamp 4ffb4bc1-814d-4db1-8f22-9a604c17f4fc)) + (segment (start 137.789978 81.74296) (end 136.289978 83.24296) (width 0.127) (layer "B.Cu") (net 37) (tstamp 852e611c-20d9-4a8d-a1a7-e27b14a59fbd)) + (segment (start 139.210023 81.74296) (end 137.789978 81.74296) (width 0.127) (layer "B.Cu") (net 37) (tstamp 900c8c1b-f287-4717-8466-3fd942dd286e)) + (segment (start 140.202984 82.73592) (end 139.210023 81.74296) (width 0.127) (layer "B.Cu") (net 37) (tstamp ade7c12b-9c05-4448-9d5d-760dc781f464)) + (segment (start 162.48592 82.73592) (end 140.202984 82.73592) (width 0.127) (layer "B.Cu") (net 37) (tstamp bb5cc870-77f9-4fe9-af5f-9473dffbeb69)) + (segment (start 128.891468 83.5) (end 128 83.5) (width 0.127) (layer "B.Cu") (net 37) (tstamp df0fe686-8bda-4716-8c69-fd9b6e5f859b)) + (segment (start 163 83.25) (end 162.48592 82.73592) (width 0.127) (layer "B.Cu") (net 37) (tstamp f736ee4a-68b2-49e8-8068-b4f65088eff5)) + (segment (start 127.45 82.75) (end 130.825 82.75) (width 0.127) (layer "F.Cu") (net 38) (tstamp 4a2bdb04-11f3-4675-8736-8b6d29b5959b)) + (segment (start 163.475 82.475) (end 160.8625 82.475) (width 0.127) (layer "F.Cu") (net 38) (tstamp 76ab7c8e-caaa-4ea3-9847-39e99fc6ee26)) + (segment (start 130.825 82.75) (end 131.365 82.21) (width 0.127) (layer "F.Cu") (net 38) (tstamp 7b591666-9ad7-49bf-a2e5-bfca0931771c)) + (segment (start 163.75 82.75) (end 163.475 82.475) (width 0.127) (layer "F.Cu") (net 38) (tstamp 8c0526f4-c374-476e-96f7-99e54eebddb6)) + (via (at 127.45 82.75) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 38) (tstamp 4f625f1c-bd1b-49d2-86b0-12c4b27e42de)) + (via (at 163.75 82.75) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 38) (tstamp fe22f0dd-1f4e-4780-aa0b-99836f1292b0)) + (segment (start 163.75 82.75) (end 167.25 86.25) (width 0.127) (layer "B.Cu") (net 38) (tstamp 2ceca5fd-bb6b-4344-9000-da16f4e97e28)) + (segment (start 136.184966 82.98944) (end 137.684967 81.48944) (width 0.127) (layer "B.Cu") (net 38) (tstamp 4cede614-f863-434b-a614-82cce2d82a79)) + (segment (start 163.4824 82.4824) (end 163.75 82.75) (width 0.127) (layer "B.Cu") (net 38) (tstamp 4e776fc9-cde0-40d4-aa6b-d1f8bf418fd3)) + (segment (start 128.655548 82.75) (end 128.894988 82.98944) (width 0.127) (layer "B.Cu") (net 38) (tstamp 50ea647a-461d-46d1-a68b-200b7b148900)) + (segment (start 137.684967 81.48944) (end 139.315035 81.48944) (width 0.127) (layer "B.Cu") (net 38) (tstamp 52496477-925f-42d9-a5f3-a0a98b37ad57)) + (segment (start 127.45 82.75) (end 128.655548 82.75) (width 0.127) (layer "B.Cu") (net 38) (tstamp 74486759-5b9d-4627-bc73-086587ddedb7)) + (segment (start 139.315035 81.48944) (end 140.307994 82.4824) (width 0.127) (layer "B.Cu") (net 38) (tstamp 80dbc0e2-3bf5-4816-ab7a-df2c2f52f81d)) + (segment (start 128.894988 82.98944) (end 136.184966 82.98944) (width 0.127) (layer "B.Cu") (net 38) (tstamp 9fa301b4-5f2d-4178-a11e-86ed4917803a)) + (segment (start 167.25 86.25) (end 168.925 86.25) (width 0.127) (layer "B.Cu") (net 38) (tstamp a6d6c9ab-b4ba-4033-bbbb-fb2e2d3ff394)) + (segment (start 140.307994 82.4824) (end 163.4824 82.4824) (width 0.127) (layer "B.Cu") (net 38) (tstamp d71ac2cc-4b55-4dd2-81f9-068e18ed3971)) + (segment (start 162.84 84.425) (end 163 84.265) (width 0.127) (layer "F.Cu") (net 39) (tstamp 119c4152-4c10-4944-8af9-b4beca71ec27)) + (segment (start 157.5 91) (end 157.5 94.3375) (width 0.127) (layer "F.Cu") (net 39) (tstamp bfc366b9-0497-43a8-b7b1-e6e67c6a142e)) + (segment (start 128 85) (end 130.345 85) (width 0.127) (layer "F.Cu") (net 39) (tstamp d40e8aff-b423-4d27-a6bd-b44897e05b41)) + (segment (start 130.345 85) (end 131.365 86.02) (width 0.127) (layer "F.Cu") (net 39) (tstamp eb1a9e7d-12a5-4149-bbf3-e57d7e281928)) + (segment (start 160.8625 84.425) (end 162.84 84.425) (width 0.127) (layer "F.Cu") (net 39) (tstamp ee9d14ed-2cb5-47dc-bc32-3bd69a809717)) + (via (at 157.5 91) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 39) (tstamp 0ea8981b-54b5-4e5a-b7c5-d270e724c50a)) + (via (at 163 84.265) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 39) (tstamp 51ffa9a8-ddd3-4a83-afbc-ccd350250639)) + (via (at 128 85) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 39) (tstamp d8449c10-98cc-431c-bd88-bcb37d07a7b3)) + (segment (start 136.5 83.75) (end 129.75 83.75) (width 0.127) (layer "B.Cu") (net 39) (tstamp 015aa4de-8128-446d-a004-db417331f0bc)) + (segment (start 158.50352 83.855012) (end 158.50352 90.439461) (width 0.127) (layer "B.Cu") (net 39) (tstamp 088890c0-97c4-453d-b196-d4ebf5abeae0)) + (segment (start 158 91) (end 157.5 91) (width 0.127) (layer "B.Cu") (net 39) (tstamp 148a2d35-316a-4556-8060-4cd8e6992378)) + (segment (start 157.982971 83.841503) (end 157.384428 83.24296) (width 0.127) (layer "B.Cu") (net 39) (tstamp 1ce4b6de-9ea9-413f-bfa8-e5bcd6013ab6)) + (segment (start 157.982971 89.574048) (end 157.982971 83.841503) (width 0.127) (layer "B.Cu") (net 39) (tstamp 1eca6771-ccdd-46f0-a496-206375dea928)) + (segment (start 158.5 90.442981) (end 158.5 90.5) (width 0.127) (layer "B.Cu") (net 39) (tstamp 226924a5-2165-4ab6-94f4-462686934905)) + (segment (start 125 87.305) (end 127.305 85) (width 0.127) (layer "B.Cu") (net 39) (tstamp 43784a59-f275-4430-9ac3-f086e249b0d5)) + (segment (start 127.305 85) (end 128 85) (width 0.127) (layer "B.Cu") (net 39) (tstamp 4425bc54-ebf8-44f9-89d7-c7ebfeb41a12)) + (segment (start 158.50352 90.439461) (end 158.5 90.442981) (width 0.127) (layer "B.Cu") (net 39) (tstamp 537ebce1-75f0-4c24-8476-2eefe6ad718c)) + (segment (start 157.509989 90.990011) (end 157.509989 90.04703) (width 0.127) (layer "B.Cu") (net 39) (tstamp 575b7771-4245-460d-97c7-d3eefcd04a6c)) + (segment (start 157.5 91) (end 157.509989 90.990011) (width 0.127) (layer "B.Cu") (net 39) (tstamp 61df371b-aed1-4763-a896-bd3451f7a520)) + (segment (start 159.108532 83.25) (end 158.50352 83.855012) (width 0.127) (layer "B.Cu") (net 39) (tstamp 638c8936-cc63-49d1-9002-a7012754e1a3)) + (segment (start 162.656468 84.265) (end 161.641469 83.25) (width 0.127) (layer "B.Cu") (net 39) (tstamp 693409a7-280d-4b02-b9db-249c564b9c44)) + (segment (start 139 82.25) (end 138 82.25) (width 0.127) (layer "B.Cu") (net 39) (tstamp 82d7114f-155d-4923-a3da-7436e04b1711)) + (segment (start 163 84.265) (end 162.656468 84.265) (width 0.127) (layer "B.Cu") (net 39) (tstamp 8d2c7384-851b-4fa1-9a70-2b7239fb25f6)) + (segment (start 158.5 90.5) (end 158 91) (width 0.127) (layer "B.Cu") (net 39) (tstamp 9048a098-82e9-4d88-ab86-ced04ffbf3ab)) + (segment (start 128.5 85) (end 128 85) (width 0.127) (layer "B.Cu") (net 39) (tstamp 971f4d23-5c47-41fe-85a3-9c1b21c33c44)) + (segment (start 157.509989 90.04703) (end 157.982971 89.574048) (width 0.127) (layer "B.Cu") (net 39) (tstamp b27eae97-84ab-4025-89db-9d6d67180728)) + (segment (start 161.641469 83.25) (end 159.108532 83.25) (width 0.127) (layer "B.Cu") (net 39) (tstamp b3b8b9eb-9b19-4ddd-9760-9accd3ba38c6)) + (segment (start 138 82.25) (end 136.5 83.75) (width 0.127) (layer "B.Cu") (net 39) (tstamp b8d209e6-c1c9-4933-a974-a11073377a44)) + (segment (start 129.75 83.75) (end 128.5 85) (width 0.127) (layer "B.Cu") (net 39) (tstamp bc074169-2200-40b4-ae7c-a0d18c8e71e3)) + (segment (start 139.992961 83.24296) (end 139 82.25) (width 0.127) (layer "B.Cu") (net 39) (tstamp c708f940-b082-4555-b185-cd61e8dc1519)) + (segment (start 157.384428 83.24296) (end 139.992961 83.24296) (width 0.127) (layer "B.Cu") (net 39) (tstamp eea9cba1-65c3-456b-9468-8b112e3ebf05)) + (segment (start 130.865 84.25) (end 131.365 84.75) (width 0.127) (layer "F.Cu") (net 40) (tstamp 1336d492-9a75-4063-aa60-065cfb17aa5b)) + (segment (start 160.8875 83.75) (end 160.8625 83.775) (width 0.127) (layer "F.Cu") (net 40) (tstamp 3ef40079-f4e0-42c7-be7a-81af9feaea7a)) + (segment (start 163.75 83.75) (end 160.8875 83.75) (width 0.127) (layer "F.Cu") (net 40) (tstamp 433c838e-72e2-4cb5-8e4c-142de71a3ad3)) + (segment (start 127.5 84.25) (end 130.865 84.25) (width 0.127) (layer "F.Cu") (net 40) (tstamp 8cd502e3-a78c-4b2f-8c7f-c0f8cafe4ad6)) + (segment (start 158 94.3375) (end 158 90.25) (width 0.127) (layer "F.Cu") (net 40) (tstamp a10cb7b3-727e-49df-95d4-28ae3ef3b355)) + (via (at 127.5 84.25) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 40) (tstamp 7a2dc8c7-5cfb-41e4-8d86-e60893f4f923)) + (via (at 158 90.25) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 40) (tstamp f2a980c5-1c96-4a8c-a851-dbba2a407871)) + (via (at 163.75 83.75) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 40) (tstamp f663e83f-e332-4da4-826f-0803c4108136)) + (segment (start 157.48944 82.98944) (end 158.25 83.75) (width 0.127) (layer "B.Cu") (net 40) (tstamp 1c955fbb-5e68-4933-a04e-d7b0255b3d11)) + (segment (start 127.5 84.25) (end 128.5 84.25) (width 0.127) (layer "B.Cu") (net 40) (tstamp 26b888a3-a054-4e6f-8999-225699a6fe51)) + (segment (start 127.5 84.25) (end 125.515 84.25) (width 0.127) (layer "B.Cu") (net 40) (tstamp 4e7d878d-73c2-4495-ab96-cfbe988cf114)) + (segment (start 125.515 84.25) (end 125 84.765) (width 0.127) (layer "B.Cu") (net 40) (tstamp 5bc17435-a011-4a44-ac53-af37e08d6522)) + (segment (start 158.25 90) (end 158 90.25) (width 0.127) (layer "B.Cu") (net 40) (tstamp 6f7a10d8-6fd7-4963-b01c-fa3f71d13e57)) + (segment (start 128.5 84.25) (end 129.25352 83.49648) (width 0.127) (layer "B.Cu") (net 40) (tstamp 78beec89-8c96-47d3-81f5-bcebaedbd1ee)) + (segment (start 161.73944 82.98944) (end 162.5 83.75) (width 0.127) (layer "B.Cu") (net 40) (tstamp 7a1b82cd-680e-477d-9da8-d09d720ab553)) + (segment (start 158.25 83.75) (end 159.01056 82.98944) (width 0.127) (layer "B.Cu") (net 40) (tstamp 89be0d18-a52d-43b5-b23b-241f1748e431)) + (segment (start 129.25352 83.49648) (end 136.394989 83.49648) (width 0.127) (layer "B.Cu") (net 40) (tstamp 9ab45f16-0806-43cf-8bca-97aa13886543)) + (segment (start 139.105012 81.99648) (end 140.097972 82.98944) (width 0.127) (layer "B.Cu") (net 40) (tstamp 9bd6a1e0-31c7-4ef5-a8b4-d56b921fb38c)) + (segment (start 140.097972 82.98944) (end 157.48944 82.98944) (width 0.127) (layer "B.Cu") (net 40) (tstamp b949183a-eaa2-425b-ac21-ca35ae2dc4f3)) + (segment (start 159.01056 82.98944) (end 161.73944 82.98944) (width 0.127) (layer "B.Cu") (net 40) (tstamp c3b64117-dec6-4c9c-947e-c922a3a31e7b)) + (segment (start 136.394989 83.49648) (end 137.894989 81.99648) (width 0.127) (layer "B.Cu") (net 40) (tstamp d6167b53-c9ce-43fa-8bbe-d85ce6d5f701)) + (segment (start 158.25 83.75) (end 158.25 90) (width 0.127) (layer "B.Cu") (net 40) (tstamp dec4bb51-f64e-43de-940b-d3837e34d7a4)) + (segment (start 162.5 83.75) (end 163.75 83.75) (width 0.127) (layer "B.Cu") (net 40) (tstamp e401ebbd-ce2d-469e-96a8-2cddc4e18afb)) + (segment (start 137.894989 81.99648) (end 139.105012 81.99648) (width 0.127) (layer "B.Cu") (net 40) (tstamp e594f9c9-4405-4b01-8709-604267150d8d)) + (segment (start 153 94.3375) (end 153 90.25) (width 0.127) (layer "F.Cu") (net 41) (tstamp 823f99e5-f636-44c1-a2ff-60897fb18c4a)) + (segment (start 138 85) (end 133.655 85) (width 0.127) (layer "F.Cu") (net 41) (tstamp ad556f78-a578-4aad-b857-bbf9274d349f)) + (segment (start 133.655 85) (end 132.635 86.02) (width 0.127) (layer "F.Cu") (net 41) (tstamp c24d6d0e-4952-4eb8-b91e-206f08dac811)) + (via (at 138 85) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 41) (tstamp 85ed88ef-a354-4ebb-87f0-c768860fc5af)) + (via (at 153 90.25) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 41) (tstamp 8ad31927-6ab5-45f0-891b-e716bc417be4)) + (segment (start 138.75 85) (end 139.49296 84.25704) (width 0.127) (layer "B.Cu") (net 41) (tstamp 1af29ad3-9247-45ad-9c99-2fa87b44255f)) + (segment (start 153.48592 89.76408) (end 153 90.25) (width 0.127) (layer "B.Cu") (net 41) (tstamp 242bd799-a1ab-46bb-8737-e6882c2f4b0c)) + (segment (start 155.49648 85.644989) (end 153.48592 87.655549) (width 0.127) (layer "B.Cu") (net 41) (tstamp 6fdce085-1560-4168-adef-66cf60603627)) + (segment (start 138 85) (end 138.75 85) (width 0.127) (layer "B.Cu") (net 41) (tstamp 76eec500-e190-43da-a52b-ade51cd6a63b)) + (segment (start 153.48592 87.655549) (end 153.48592 89.76408) (width 0.127) (layer "B.Cu") (net 41) (tstamp baa32cea-d3df-475f-a04a-bf44d18f926e)) + (segment (start 155.148508 84.25704) (end 155.49648 84.605012) (width 0.127) (layer "B.Cu") (net 41) (tstamp bf1bdcbf-3b63-4a0b-9878-6795b1a110d4)) + (segment (start 155.49648 84.605012) (end 155.49648 85.644989) (width 0.127) (layer "B.Cu") (net 41) (tstamp e7474d06-a426-429d-bfb2-81d218a7ed9b)) + (segment (start 139.49296 84.25704) (end 155.148508 84.25704) (width 0.127) (layer "B.Cu") (net 41) (tstamp fffde78e-232d-4d75-86b2-7cb8b2ab5c7c)) + (segment (start 138.5 84.25) (end 133.135 84.25) (width 0.127) (layer "F.Cu") (net 42) (tstamp 12027d9c-0f1e-4c18-a1b8-f862f8c90f0f)) + (segment (start 133.135 84.25) (end 132.635 84.75) (width 0.127) (layer "F.Cu") (net 42) (tstamp 25e32fa8-710f-43e4-b608-35e5725ff5ed)) + (segment (start 153.5 91) (end 153.5 94.3375) (width 0.127) (layer "F.Cu") (net 42) (tstamp a65de31f-18ae-4cce-bb25-824d6cdf312b)) + (via (at 138.5 84.25) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 42) (tstamp 1368eb75-062d-40c4-8da2-501f88d77ceb)) + (via (at 153.5 91) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 42) (tstamp d8ffe70e-8eff-4a86-a637-92abb181e3c9)) + (segment (start 153.73944 90.76056) (end 153.5 91) (width 0.127) (layer "B.Cu") (net 42) (tstamp 2317341b-3c97-4474-8f84-4e4f1d8573ba)) + (segment (start 155.25352 84.00352) (end 155.75 84.5) (width 0.127) (layer "B.Cu") (net 42) (tstamp 389a3cf9-3b83-4312-8f8f-f443f1a09c21)) + (segment (start 138.74648 84.00352) (end 155.25352 84.00352) (width 0.127) (layer "B.Cu") (net 42) (tstamp 8803e3ee-57c6-475d-9f05-2d1c459210fd)) + (segment (start 155.75 84.5) (end 155.75 85.75) (width 0.127) (layer "B.Cu") (net 42) (tstamp c310a55f-9d2b-454d-aa91-47583db19e60)) + (segment (start 155.75 85.75) (end 153.73944 87.76056) (width 0.127) (layer "B.Cu") (net 42) (tstamp d9b4af64-d370-42f2-a76a-d88ad87ea897)) + (segment (start 138.5 84.25) (end 138.74648 84.00352) (width 0.127) (layer "B.Cu") (net 42) (tstamp f3ed78a9-45f6-46af-ba73-9460456b54c7)) + (segment (start 153.73944 87.76056) (end 153.73944 90.76056) (width 0.127) (layer "B.Cu") (net 42) (tstamp fbde11c1-f188-4cf1-b841-e0a01d0c766c)) + (segment (start 156 90.25) (end 156 94.3375) (width 0.127) (layer "F.Cu") (net 43) (tstamp 5a615d43-c183-4845-84f5-abcb528154bb)) + (segment (start 132.655 83.5) (end 132.635 83.48) (width 0.127) (layer "F.Cu") (net 43) (tstamp 7a5b9106-39ab-4cfe-b7a5-46fbebb3357b)) + (segment (start 138 83.5) (end 132.655 83.5) (width 0.127) (layer "F.Cu") (net 43) (tstamp b321a081-2cca-4486-8e91-99e2d18fb3f8)) + (via (at 138 83.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 43) (tstamp 8e6a7916-4a37-4c38-91f2-885b9c3963d6)) + (via (at 156 90.25) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 43) (tstamp fcabe847-7c8a-4a25-9656-54c2da19d9b9)) + (segment (start 138.5 83.5) (end 138 83.5) (width 0.127) (layer "B.Cu") (net 43) (tstamp 01e93ef1-7ddf-49fc-9e50-c72b76d14ade)) + (segment (start 138.75 83.75) (end 155.358532 83.75) (width 0.127) (layer "B.Cu") (net 43) (tstamp 1bfe171a-98d9-46db-9492-855c2e95383e)) + (segment (start 138.5 83.5) (end 138.75 83.75) (width 0.127) (layer "B.Cu") (net 43) (tstamp 6e774ae2-5df4-49ea-8fdf-e96796a16997)) + (segment (start 157.475942 88.774058) (end 156 90.25) (width 0.127) (layer "B.Cu") (net 43) (tstamp 75ced224-a98f-4cef-a0d9-248dc443d21f)) + (segment (start 155.358532 83.75) (end 157.475942 85.86741) (width 0.127) (layer "B.Cu") (net 43) (tstamp 8ee850cb-1620-46c9-836f-3876a8accea4)) + (segment (start 157.475942 85.86741) (end 157.475942 88.774058) (width 0.127) (layer "B.Cu") (net 43) (tstamp d0ed2d7f-92bf-4105-9993-198f9dc73f75)) + (segment (start 133.175 82.75) (end 132.635 82.21) (width 0.127) (layer "F.Cu") (net 44) (tstamp 087c6658-8c31-4ae4-9ae0-36230125e3df)) + (segment (start 138.5 82.75) (end 133.175 82.75) (width 0.127) (layer "F.Cu") (net 44) (tstamp 13502ecc-33d8-4129-ae11-245925967286)) + (segment (start 156.5 91) (end 156.5 94.3375) (width 0.127) (layer "F.Cu") (net 44) (tstamp 1e7ebc84-cce0-4fee-9f04-9e2b2065861b)) + (via (at 156.5 91) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 44) (tstamp 607c34a6-4731-44c7-8f1a-5a3c1c551e91)) + (via (at 138.5 82.75) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 44) (tstamp b8c25335-0576-4afc-9079-b578bb16bda7)) + (segment (start 157.279416 83.49648) (end 139.24648 83.49648) (width 0.127) (layer "B.Cu") (net 44) (tstamp 07fac574-9573-47ce-815d-35a03bf0d2c2)) + (segment (start 157.729451 89.469036) (end 157.729451 83.946515) (width 0.127) (layer "B.Cu") (net 44) (tstamp 131845b4-1f0c-45e3-bdd7-e582dc77dc78)) + (segment (start 157.729451 83.946515) (end 157.279416 83.49648) (width 0.127) (layer "B.Cu") (net 44) (tstamp 1a837f73-4cf1-48f5-a266-84ae948ae637)) + (segment (start 156.5 91) (end 156.5 90.698487) (width 0.127) (layer "B.Cu") (net 44) (tstamp 38c876c8-fefa-4d4e-96c4-cd6163c83377)) + (segment (start 139.24648 83.49648) (end 138.5 82.75) (width 0.127) (layer "B.Cu") (net 44) (tstamp 520dc2ff-0527-44ae-a2f4-522fb36ec1a8)) + (segment (start 156.5 90.698487) (end 157.729451 89.469036) (width 0.127) (layer "B.Cu") (net 44) (tstamp 6ed96630-53a5-47f4-9b79-417525a7068c)) + (segment (start 172.325 85.075) (end 172.25 85) (width 0.127) (layer "F.Cu") (net 45) (tstamp 525cb6df-ef01-41ce-9c87-9936d7549287)) + (segment (start 174.1375 85.075) (end 172.325 85.075) (width 0.127) (layer "F.Cu") (net 45) (tstamp eb749eb8-b0ad-4bdc-92a0-051c1568a9e5)) + (via (at 172.25 85) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 45) (tstamp f9135c16-d113-4122-9b10-769497ffa941)) + (segment (start 129 82.73592) (end 136.079955 82.73592) (width 0.127) (layer "B.Cu") (net 45) (tstamp 1311e742-d9ee-42a2-8fa2-40bd24387e7a)) + (segment (start 164.47888 82.22888) (end 167.25 85) (width 0.127) (layer "B.Cu") (net 45) (tstamp 249b14c6-1e35-4177-ac77-dd3f7754df5c)) + (segment (start 137.579956 81.23592) (end 139.420046 81.23592) (width 0.127) (layer "B.Cu") (net 45) (tstamp 3287ef49-b33d-4013-ada3-83b8c68abec6)) + (segment (start 126.26408 80) (end 129 82.73592) (width 0.127) (layer "B.Cu") (net 45) (tstamp 4d0c0039-aa02-45e5-ae49-2b6c56c77841)) + (segment (start 167.25 85) (end 172.25 85) (width 0.127) (layer "B.Cu") (net 45) (tstamp ae662e10-f44a-48fc-916b-053ab44e405d)) + (segment (start 115 81.25) (end 116.25 80) (width 0.127) (layer "B.Cu") (net 45) (tstamp ae6fe998-cced-49fa-914e-ace4c2588a14)) + (segment (start 136.079955 82.73592) (end 137.579956 81.23592) (width 0.127) (layer "B.Cu") (net 45) (tstamp b2330b1f-f0a9-4412-b301-1011166d8d74)) + (segment (start 116.25 80) (end 126.26408 80) (width 0.127) (layer "B.Cu") (net 45) (tstamp c690e2b8-8b92-4893-82a2-75103d2e0462)) + (segment (start 139.420046 81.23592) (end 140.413006 82.22888) (width 0.127) (layer "B.Cu") (net 45) (tstamp cb7a4349-934a-4449-9b36-21e660efbc29)) + (segment (start 140.413006 82.22888) (end 164.47888 82.22888) (width 0.127) (layer "B.Cu") (net 45) (tstamp e5e86587-9252-4e03-a81d-c47eac730914)) + (segment (start 115 85.35) (end 115 81.25) (width 0.127) (layer "B.Cu") (net 45) (tstamp e6ba38df-0aa9-4d58-8029-ab13be77c35e)) + (segment (start 120 131) (end 120 126.5) (width 0.762) (layer "F.Cu") (net 46) (tstamp 0259b22f-bd21-4a1f-9679-c4e1d95e1b2f)) + (segment (start 149 110.25) (end 149.5 109.75) (width 0.127) (layer "F.Cu") (net 46) (tstamp 061ac749-c68b-4889-8117-91f457f70800)) + (segment (start 128.3375 107.9125) (end 128.25 108) (width 0.127) (layer "F.Cu") (net 46) (tstamp 06f16132-6144-446c-bf56-ec80b2f3853c)) + (segment (start 130.25 99.8375) (end 129.75 99.8375) (width 0.127) (layer "F.Cu") (net 46) (tstamp 12d609ca-f2ca-4fcd-9e87-f3a825d6d5e8)) + (segment (start 125 131) (end 125 126.5) (width 0.762) (layer "F.Cu") (net 46) (tstamp 1345afed-8684-423e-a861-032d2a44d653)) + (segment (start 134.25 108.1625) (end 134.75 108.1625) (width 0.127) (layer "F.Cu") (net 46) (tstamp 154e572d-00c8-4bfc-858b-299a48bbff8f)) + (segment (start 163.5 94.3375) (end 163.5 92.5) (width 0.127) (layer "F.Cu") (net 46) (tstamp 1febc71a-f41b-45f8-8fed-67d4b8085af4)) + (segment (start 147.5875 104.75) (end 150.75 104.75) (width 0.127) (layer "F.Cu") (net 46) (tstamp 287208a7-3450-404a-bf5e-931ed375d0e4)) + (segment (start 157.5 115.6625) (end 157.5 117.5) (width 0.127) (layer "F.Cu") (net 46) (tstamp 29159b91-1dcd-4e56-a24f-45f8f162c246)) + (segment (start 168.9125 99.75) (end 167.5 99.75) (width 0.127) (layer "F.Cu") (net 46) (tstamp 2a7e7a2f-6408-4f8b-9966-2ef7cce318dc)) + (segment (start 143.5 95) (end 141.5 95) (width 0.127) (layer "F.Cu") (net 46) (tstamp 2dd03991-d188-4fc1-827d-8c78a02b1e33)) + (segment (start 128.3375 106.25) (end 128.3375 106.75) (width 0.127) (layer "F.Cu") (net 46) (tstamp 3625b674-79e3-46a1-bf73-24bdc5aba2fb)) + (segment (start 167.5 110.25) (end 167 109.75) (width 0.127) (layer "F.Cu") (net 46) (tstamp 388a2f16-6378-4246-884e-a6c9bea69640)) + (segment (start 159.5 94.3375) (end 159.5 92.5) (width 0.127) (layer "F.Cu") (net 46) (tstamp 44dcd791-2c5a-46a3-b890-1536eb20af9c)) + (segment (start 136.6625 102.25) (end 136.6625 101.75) (width 0.127) (layer "F.Cu") (net 46) (tstamp 46591591-5063-45ed-b7f5-0708861375f3)) + (segment (start 105.25 95.6) (end 105.85 95.6) (width 0.508) (layer "F.Cu") (net 46) (tstamp 4b58a88a-dbb9-4991-b6bd-ab1929f681af)) + (segment (start 198.355 92.145) (end 198 92.5) (width 0.508) (layer "F.Cu") (net 46) (tstamp 4cf578a8-07ed-4f6c-80e5-6d8eff5843b1)) + (segment (start 149.5 100.25) (end 150.75 100.25) (width 0.127) (layer "F.Cu") (net 46) (tstamp 501a076a-555f-47b1-a361-2d65ca1c69fa)) + (segment (start 136.6625 101.75) (end 138.5 101.75) (width 0.127) (layer "F.Cu") (net 46) (tstamp 50407a29-ab52-48cb-9fe4-cab5c31452f9)) + (segment (start 154.5 94.3375) (end 154.5 92.5) (width 0.127) (layer "F.Cu") (net 46) (tstamp 5494ac4f-473a-460a-8273-c848553da526)) + (segment (start 168.9125 105.25) (end 165.75 105.25) (width 0.127) (layer "F.Cu") (net 46) (tstamp 5ddd53e1-3646-4190-aa4a-91b04974ef77)) + (segment (start 105.85 95.6) (end 106 95.75) (width 0.508) (layer "F.Cu") (net 46) (tstamp 6ac25195-1e9d-4fc7-b67a-2cc24824956c)) + (segment (start 180 131) (end 180 126.5) (width 0.762) (layer "F.Cu") (net 46) (tstamp 7acfe591-b5a0-4e8b-8096-79abc754be1f)) + (segment (start 105.25 89.4) (end 107.4 89.4) (width 0.508) (layer "F.Cu") (net 46) (tstamp 7b1822e5-d17c-4ea7-a7e4-2c488ae2af53)) + (segment (start 133.75 108.1625) (end 134.25 108.1625) (width 0.127) (layer "F.Cu") (net 46) (tstamp 8315c404-1a67-4cf1-8661-ad88989fc963)) + (segment (start 199.7 92.145) (end 198.355 92.145) (width 0.508) (layer "F.Cu") (net 46) (tstamp 88bc7de2-13b7-4fef-ba67-bb9adad47bdc)) + (segment (start 134.25 108.1625) (end 134.25 109.75) (width 0.127) (layer "F.Cu") (net 46) (tstamp 9049279c-3811-4b1c-adad-778e4e0533b7)) + (segment (start 167 109.75) (end 165.75 109.75) (width 0.127) (layer "F.Cu") (net 46) (tstamp 951d2a6b-842e-4ed6-932b-49b07d12227a)) + (segment (start 129.75 99.8375) (end 129.75 98) (width 0.127) (layer "F.Cu") (net 46) (tstamp 981637e7-627e-48d0-977e-668d13561ddb)) + (segment (start 141.5 95) (end 141.2 94.7) (width 0.127) (layer "F.Cu") (net 46) (tstamp 99a17aa8-4fa2-48f4-a9e2-c5f4074e6746)) + (segment (start 107.4 89.4) (end 107.5 89.5) (width 0.508) (layer "F.Cu") (net 46) (tstamp a989f518-15e5-41d0-b17a-d063cea6f75b)) + (segment (start 149 99.75) (end 149.5 100.25) (width 0.127) (layer "F.Cu") (net 46) (tstamp ab530731-414a-41f2-947c-901cbe6d469c)) + (segment (start 154 115.6625) (end 154 117.5) (width 0.127) (layer "F.Cu") (net 46) (tstamp b9140842-8591-47fd-af49-f0fe54b8c306)) + (segment (start 153.075 84.425) (end 155.1375 84.425) (width 0.127) (layer "F.Cu") (net 46) (tstamp c0654087-7684-4454-8e20-67c3d36a53a9)) + (segment (start 108.65 120.5) (end 108.65 118.75) (width 0.127) (layer "F.Cu") (net 46) (tstamp c9e1316e-c016-4e3a-ba88-76f714e8f7c7)) + (segment (start 128.3375 106.75) (end 128.3375 107.9125) (width 0.127) (layer "F.Cu") (net 46) (tstamp cac4d6d7-5ec8-430f-a5ba-141e10340473)) + (segment (start 152.5 85) (end 153.075 84.425) (width 0.127) (layer "F.Cu") (net 46) (tstamp cbc4e78f-29e4-41d8-bed8-bd2e17d0c9bb)) + (segment (start 147.5875 99.75) (end 149 99.75) (width 0.127) (layer "F.Cu") (net 46) (tstamp cdb3a48e-2516-4093-9982-606a56b0607d)) + (segment (start 123.6375 105.75) (end 125.75 105.75) (width 0.127) (layer "F.Cu") (net 46) (tstamp d29bc0e5-4ae8-4a1e-88c9-b6206264a46c)) + (segment (start 168.9125 110.25) (end 167.5 110.25) (width 0.127) (layer "F.Cu") (net 46) (tstamp dd60de71-f547-4d8a-b110-0adcfa492172)) + (segment (start 177.5 131) (end 177.5 126.5) (width 0.762) (layer "F.Cu") (net 46) (tstamp df20b12b-77e9-4e5f-a938-6185b2e880ea)) + (segment (start 167.5 131) (end 167.5 126.5) (width 0.762) (layer "F.Cu") (net 46) (tstamp e1eb7b87-55d5-4197-a57d-266d24e631be)) + (segment (start 127.5 131) (end 127.5 126.5) (width 0.762) (layer "F.Cu") (net 46) (tstamp e4fe6cc9-23e2-48a0-a4c4-570597da8fe3)) + (segment (start 147.5875 110.25) (end 149 110.25) (width 0.127) (layer "F.Cu") (net 46) (tstamp e524c05d-65c7-4159-9e89-3d34a081c1a1)) + (segment (start 167 100.25) (end 165.75 100.25) (width 0.127) (layer "F.Cu") (net 46) (tstamp ed06ea89-ff7c-406d-bc17-00466e4c427a)) + (segment (start 142.5 81.15) (end 144.595 81.15) (width 0.127) (layer "F.Cu") (net 46) (tstamp ee1bfcd7-b232-4e6b-8510-b73627f4bbcb)) + (segment (start 163 115.6625) (end 163 117.5) (width 0.127) (layer "F.Cu") (net 46) (tstamp f6641589-b453-45e7-a842-1228d41719c4)) + (segment (start 149.5 109.75) (end 150.75 109.75) (width 0.127) (layer "F.Cu") (net 46) (tstamp f8804166-7166-4255-ba41-d3b9a9db8583)) + (segment (start 131.25 108.1625) (end 131.25 109.75) (width 0.127) (layer "F.Cu") (net 46) (tstamp fbc38b08-8828-4adb-b676-4978dab2a591)) + (segment (start 167.5 99.75) (end 167 100.25) (width 0.127) (layer "F.Cu") (net 46) (tstamp fd426fda-5ce4-4c08-8ad7-7a18b7ccc50c)) + (via (at 150.75 104.75) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 46) (tstamp 010e63dc-275b-4eec-a9b0-44f5036b7f87)) + (via (at 159.5 92.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 46) (tstamp 01f60d97-cf76-442f-8c05-da3538d31425)) + (via (at 198 102.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp 0268b1c6-ae65-4056-872f-2e71f35cc80b)) + (via (at 127.5 93.75) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp 055863f3-80d6-44e7-b7c7-9386d0cf0b0c)) + (via (at 198 107.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp 07272b1e-47ef-464c-a61f-fc8009903e27)) + (via (at 115 108.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp 07bc9bc0-5f11-48cf-8a6e-f40dedeb3695)) + (via (at 142 117.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp 0c3ce780-d83d-4ed6-86b6-c89df729dbae)) + (via (at 158.25 105) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp 0d1dc76f-2fbf-43c7-a961-9016223bca31)) + (via (at 198 122.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp 0d66769d-b3c7-48a5-92b9-7d9d65d059ab)) + (via (at 183 82.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp 0f0f8f44-6afd-4ecd-96e0-bde75dae6e75)) + (via (at 154.5 92.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 46) (tstamp 108766bd-ccaf-403e-836f-664cdf94634f)) + (via (at 113 108.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp 1110beea-c1d7-4e83-8adc-dd508a07b66c)) + (via (at 158.25 100) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp 1229a66f-016b-4126-85e1-df9bb3481be2)) + (via (at 146.25 126.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp 1254c085-1089-44e3-a08f-9ec0347b6c41)) + (via (at 141.25 126.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp 12dd9c3b-d2e6-470f-9606-a6ec73dbe80e)) + (via (at 153.25 110) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp 137bbe4f-27c4-414e-bf49-6401e7a2f228)) + (via (at 102 107.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp 14865f21-0ef3-4ca6-aca9-cca3d1b320b2)) + (via (at 129.75 98) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 46) (tstamp 1c7bb780-faa4-4fa7-a575-d77dc83ee34a)) + (via (at 178 107.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp 1da80af8-f39b-4739-8f2a-6f45c2444b94)) + (via (at 198 112.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp 1e625de9-1881-4b65-92ec-5eed342ea6eb)) + (via (at 188 117.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp 1f3502ce-ddcf-4c4e-9b5c-7af636d7d4e1)) + (via (at 148.75 126.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp 229e94fe-150e-4459-bbaa-0d272ef66721)) + (via (at 168 121) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp 23039bb0-e8dd-4e6d-b560-0d24553a8df7)) + (via (at 126.5 102.25) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp 2a6f0025-963b-42a0-aba5-4dc7aadbc56f)) + (via (at 122 82.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp 2d7085b4-4b4a-4b77-82a2-a5aeaa8013bd)) + (via (at 165.75 100.25) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 46) (tstamp 2fe3399c-790b-4856-a6e1-98794c8e39e8)) + (via (at 143.5 95) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 46) (tstamp 33234bf0-03d8-43c4-9a40-3afffc97e43a)) + (via (at 163.25 100) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp 35c5d577-16ff-48fa-925d-78d757ea592b)) + (via (at 133.75 126.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp 364ba794-cb11-4140-a65d-2d0a444a262a)) + (via (at 171 115.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp 3734d90b-f7d8-44ba-8b95-1c9a7c0f930e)) + (via (at 158.75 126.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp 3750d4ae-c3ef-43ee-85c3-c73fa298df41)) + (via (at 112 106.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp 3803c35f-9fa7-4f7d-b934-7cf466e83843)) + (via (at 198 82.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp 3a17ce36-fef6-4c85-ac16-7079685da398)) + (via (at 127 117.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp 3a88e524-6e3f-43b4-87dd-94b6247b9857)) + (via (at 117 97.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp 3a990330-e956-4e30-9e68-7b47375d8815)) + (via (at 117.5 93.75) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp 3ac4ca65-164a-40d2-9435-3bbd8c91bb48)) + (via (at 178.75 126.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp 3cc415b2-8dea-46c7-875b-8e68cad487ea)) + (via (at 188 122.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp 3cdedf12-9920-416d-b71f-8c7d01a12d71)) + (via (at 176.25 126.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp 3f1669e3-9298-4cac-8121-30edfacb836e)) + (via (at 178 87.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp 40a0c737-9e93-424b-8c1d-55517ce03c8d)) + (via (at 154 117.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 46) (tstamp 42f1f3b8-3b3e-4c11-8a67-c3a3f98e9cab)) + (via (at 173.5 105) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp 443ee64c-5e8e-4583-a6af-616ec90fc668)) + (via (at 142.5 81.15) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 46) (tstamp 445d9b38-6ca5-45a4-a286-25054bacb32d)) + (via (at 178 122.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp 465adab8-d7c0-41e8-afd0-2792b8546a23)) + (via (at 112 108.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp 4b26633e-862b-4bfb-bd47-f4b288719016)) + (via (at 138.75 126.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp 4c14e74f-ff06-4382-bd6c-f82e8c9a865e)) + (via (at 122 122.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp 4d98d76d-e3db-4ff7-b7da-1623847fc8db)) + (via (at 116 105.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp 50dff10d-7b78-405d-8442-9f6c137194ae)) + (via (at 112.5 92) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp 519dc4c1-4ec7-444d-9c1c-29dae00175f7)) + (via (at 150.75 100.25) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 46) (tstamp 5341a8ab-b1ee-479f-ad9c-c3529dbe99fb)) + (via (at 112 117.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp 538e8aba-6ff1-4b68-acd4-f3810109e296)) + (via (at 112 103.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp 539f2dee-1981-4dfe-bd34-3dd169835a83)) + (via (at 123.75 126.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp 53c8639e-44d6-457a-b85c-b749ebe92a4f)) + (via (at 133.5 95.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp 550f3d67-2dd3-42ac-a489-5e3178278e18)) + (via (at 127.5 92) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp 5567f0b8-bfe7-40e1-922c-163ef38d1e9f)) + (via (at 147 122.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp 580d5963-1e7c-4b4b-92e8-b07e1f811283)) + (via (at 138 110) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp 5ae98908-ec89-4891-ac37-c95c3859cf89)) + (via (at 153.25 105) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp 5c23b3f9-df2e-4ae6-bd07-7c999dafd8d6)) + (via (at 183 117.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp 5c87d560-8d1c-45a8-b690-e18686e01476)) + (via (at 188 107.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp 5d10e305-2dea-4476-bb99-3bc2aaceb9a6)) + (via (at 158.25 110) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp 5d70826d-2d2d-4577-8ba6-595748f502da)) + (via (at 198 92.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp 5ebdbaad-97fd-42c9-b732-2efbb876e9f3)) + (via (at 183 107.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp 60297466-921f-4cb1-b76e-dbcdf5bd3f78)) + (via (at 128.75 126.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp 617cc8f3-798e-4faf-8693-2915c3c78d5d)) + (via (at 193 117.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp 6a00fc99-036a-4d2e-a9ab-709dbe592c51)) + (via (at 102 82.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp 6b00fc5a-06e6-4061-93f0-47adc770e042)) + (via (at 125.75 105.75) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 46) (tstamp 6b07e0d6-713a-45cc-aca4-8b940c2df50e)) + (via (at 193 107.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp 6b556855-8ffc-464c-bad1-1bedc463b005)) + (via (at 128.25 108) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 46) (tstamp 6f01fec2-e816-4bd6-851d-6643ad4c1118)) + (via (at 142 87.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp 6f3a998b-ddf3-4012-9bcb-517fafbf92e2)) + (via (at 112 97.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp 6f83368c-6be0-4181-884a-7c7d39145b2e)) + (via (at 117 87.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp 70f0289e-0f09-4cdc-a0ed-eeaf0c221210)) + (via (at 112 104.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp 712f7d09-db20-46e2-ab62-08180e4ffbb3)) + (via (at 138.5 101.75) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 46) (tstamp 72ce2ad2-9442-41b1-813b-1cc68ca3ea9e)) + (via (at 122.5 92) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp 762a2d71-ea6b-44db-8b12-611a7c77e250)) + (via (at 116 106.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp 7698ee81-bfda-4748-bdf8-c0cefae87c49)) + (via (at 117 117.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp 76aad24c-2cba-4775-b5e6-72e1443200f9)) + (via (at 107 82.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp 7756b6d5-4ad0-434c-baf0-1a6af0e1eb6a)) + (via (at 126.25 126.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp 77800e96-27e0-49cc-a4a0-90400b8dbf4c)) + (via (at 193 122.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp 77e35801-3e44-4822-a93e-4940240bedb9)) + (via (at 112 122.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp 7be1bd2f-011c-4986-9337-c43430bd8b60)) + (via (at 107 122.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp 7c0ef743-fa27-4b5e-bd9b-8b3ec364dcf7)) + (via (at 183 122.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp 7dad1287-172d-4260-8e8f-9efdb155f878)) + (via (at 116 108.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp 7e97909e-759a-429f-bc5d-7b3c0c196c81)) + (via (at 117 107.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp 7fed5d29-00e6-4c53-9e1b-f0fe93b5a4d1)) + (via (at 117 82.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp 8790bfba-39b4-48f0-a440-71dc69fe93b5)) + (via (at 131.25 109.75) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 46) (tstamp 8943012a-29d4-4f77-ba31-acef59643dc7)) + (via (at 132.5 114.25) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 46) (tstamp 8b451499-d657-49b1-bd1f-3f6662728159)) + (via (at 173.5 103) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp 8d1d05a8-2199-4278-b453-5f87605fe09c)) + (via (at 127 122.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp 8e32f6d3-7921-44a1-927c-bbd505300d94)) + (via (at 117 106.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp 8e45250b-cd3b-4fe0-bf70-47c861b2114e)) + (via (at 193 82.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp 8f226250-5af6-46fb-a8b4-022fa945da4f)) + (via (at 121.25 126.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp 90e7f622-646e-461a-9e31-e2ff2cc7fab5)) + (via (at 171.25 126.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp 924cac2a-75a2-4540-b85a-460c5150e4a7)) + (via (at 179 112) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp 9250522f-8b4f-49ee-b263-f60cbd466f58)) + (via (at 163.25 110) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp 93731629-15c5-4c8d-b08e-57d7152414d7)) + (via (at 168.75 126.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp 9665cda2-762d-48fd-9dcf-42820a7c21e9)) + (via (at 143.75 126.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp 96aa1d90-8e3f-425c-8122-a78edef6dc25)) + (via (at 102 117.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp 9a344a2e-a57d-4938-95c3-b8198a6f9f6f)) + (via (at 117 104.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp 9b02a4a9-70c7-4c5a-84a3-330712d8080c)) + (via (at 118.75 126.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp 9f28a6bb-656b-4b0b-ab5f-5ea560ca87d3)) + (via (at 166.25 126.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp a198f1e9-bb08-48d6-829a-5084eaeeaf65)) + (via (at 153.25 100) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp a25b4589-0eb4-4136-9976-ed44134a8cac)) + (via (at 117 122.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp a5fe6af7-08ec-424d-bccb-a0fe0976ee13)) + (via (at 181.25 126.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp a64034db-60b0-4213-b559-697127e1cdc3)) + (via (at 183 87.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp a6668d4e-ff99-4d7c-889d-37bc5ce27f23)) + (via (at 188 82.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp aaa63537-89a2-4f74-a001-3a6fe8e68528)) + (via (at 150.75 109.75) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 46) (tstamp ab4b0543-ff60-4038-bc30-ccfbd5c415aa)) + (via (at 113 102.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp ac1f63e0-1027-4cc2-b671-00670d7cbfe8)) + (via (at 163.5 92.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 46) (tstamp ae236562-cf19-45e2-a80b-fba757da295f)) + (via (at 112 102.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp af20afbc-1c4e-4e22-8319-1c8875503e6a)) + (via (at 165.75 105.25) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 46) (tstamp b0778ec1-493f-43e0-ac4f-b2eb3a0e4978)) + (via (at 117 108.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp b0a11e5c-6c68-47da-870d-52c66b64c112)) + (via (at 136.25 126.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp b358d0ec-f633-49c3-8a91-22c6cf4f0853)) + (via (at 165.75 109.75) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 46) (tstamp b38a3228-3904-4ddb-a146-ae81cb236cc8)) + (via (at 102 92.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp b3e4b856-fa30-481c-b7fb-b2a33127c250)) + (via (at 116 102.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp b412f1b2-b69b-4254-b52c-4265e101b5f1)) + (via (at 102 112.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp b88fa89d-4324-490e-94c0-61d2e9a60be6)) + (via (at 107.5 89.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 46) (tstamp ba2f78e3-79a4-455b-abf0-998a1a435aa2)) + (via (at 114 108.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp bc7be43c-8c29-4401-95b9-e15249e623b5)) + (via (at 112 105.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp bcafcddc-1c2b-4869-b916-dd528575e32a)) + (via (at 156.25 126.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp bd0e5c4e-a179-493d-bd6c-693d48ea3baa)) + (via (at 116 103.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp c25f22af-dbc4-4d3a-907d-6c4da4274693)) + (via (at 183 92.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp c68aa57b-75fc-490c-93bc-47dcf314d2ec)) + (via (at 147 117.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp c7ecca5f-07c0-41b1-92ed-15c6509e3391)) + (via (at 112 107.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp ccf280be-d78b-4bde-88c1-c5bbd786e780)) + (via (at 122.5 93.75) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp cfde02f3-0bf9-463e-ba92-e45d0cd85524)) + (via (at 153.75 126.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp d332e876-1e12-41cb-8804-3b3ade8b2aeb)) + (via (at 131.5 95.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp d623c773-0fe6-4e9e-951f-22be6cb35c8a)) + (via (at 152.5 85) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 46) (tstamp d713f29d-33c8-406f-8a62-d351f2e9b540)) + (via (at 137 117.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp d76d7f3d-8132-4b4b-bf97-7a5a295d7f24)) + (via (at 173 92.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp d7d5346b-8b08-44e5-9718-8d59df075d42)) + (via (at 132 117.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp d86f1451-d10c-4eac-bddc-36cb3a5ecd1b)) + (via (at 163.25 105) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp daa25c74-9a2e-459e-8aa1-d506ee4c08c0)) + (via (at 117 105.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp dc1ae351-a37c-4875-8739-d3438d35bc58)) + (via (at 102 102.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp de7c3570-fe77-49f7-ae6e-69e7a68abf08)) + (via (at 178 92.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp dea23b3a-1a92-416c-9b38-cfb333a3e768)) + (via (at 134.25 109.75) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 46) (tstamp dec5e058-92ba-4bb3-a66e-6c494b55b876)) + (via (at 161.25 126.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp dfca6bce-dd1d-481b-82d2-dad7982feff7)) + (via (at 163 117.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 46) (tstamp dfdc9b5a-c43e-4fc9-b58d-827cc0e41dbe)) + (via (at 198 117.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp e08ed57e-e549-46ff-af44-b00f20f5958c)) + (via (at 117.5 92) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp e2cce1d2-9e92-40a5-b6cb-6f2a8718f360)) + (via (at 157.5 117.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 46) (tstamp e4f268c1-57c1-47fa-8c1d-a3780022ccb0)) + (via (at 114 102.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp e585a8d0-0179-4a08-830c-60f1c36e2c03)) + (via (at 112 82.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp e5de9802-fa65-4fb8-be85-af8983c1508f)) + (via (at 133.5 102.25) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp e724da20-f86c-435c-9cdb-87e14d4e8ffa)) + (via (at 102 122.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp e83d78a3-400d-47e0-b2da-bf01f1c3c3da)) + (via (at 117 102.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp e8f18a66-027c-4589-92f7-ad5722e16d34)) + (via (at 117 103.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp e95929dc-4638-4476-9a7e-fac0cc18efd3)) + (via (at 163 121) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp e96de0a6-33db-49c7-b2e4-37c983fd0cef)) + (via (at 112.5 93.75) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp ecea9fdb-7c2b-4daa-a84f-93f5c2d6eaf4)) + (via (at 173.75 126.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp ed3d2220-d735-4fad-ba10-d408d63b12ad)) + (via (at 163.75 126.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp f04a9299-ae77-412f-9155-cda6da5c1f63)) + (via (at 151.25 126.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp f1abaf82-fbac-4741-9e93-be5f027ca143)) + (via (at 173 121) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp fa20c44b-7e40-40a6-8df1-ecaa29b3c1eb)) + (via (at 116 104.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp faae393d-0f1b-4918-bd97-c09396b0b091)) + (via (at 131.25 126.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp fb5152b2-1a50-4ae2-853a-3bd4e25fd454)) + (via (at 115 102.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp fd2c9dd8-82e1-4024-8d70-e23d49e40eab)) + (via (at 116 107.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp feb20421-2555-46d4-a7d1-aaf140c7e3fe)) + (via (at 173 87.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp fed9cb31-e307-4127-9086-98c727a90f38)) + (segment (start 120 131) (end 120 126.5) (width 0.762) (layer "B.Cu") (net 46) (tstamp 3f0f7f4e-d653-4a04-b4a2-67f231ef7a76)) + (segment (start 177.5 131) (end 177.5 126.5) (width 0.762) (layer "B.Cu") (net 46) (tstamp 4c98c547-6c97-4235-8227-a94690d31269)) + (segment (start 180 131) (end 180 126.5) (width 0.762) (layer "B.Cu") (net 46) (tstamp a5c5ae49-2725-40ef-a1a1-a4d23c106a0a)) + (segment (start 125 131) (end 125 126.5) (width 0.762) (layer "B.Cu") (net 46) (tstamp aec3c2a7-8799-4201-9569-87dbc45f9fa0)) + (segment (start 167.5 131) (end 167.5 126.5) (width 0.762) (layer "B.Cu") (net 46) (tstamp cb8772dd-8afe-49a5-9bbd-240411412370)) + (segment (start 127.5 131) (end 127.5 126.5) (width 0.762) (layer "B.Cu") (net 46) (tstamp d9ea89c1-ea2a-476c-b3e3-72e140763c1a)) + (segment (start 159 80.75) (end 159 94.3375) (width 0.127) (layer "F.Cu") (net 47) (tstamp 172665d3-518b-4e23-ac82-a1ba674979e5)) + (segment (start 157 81.325) (end 156.5 81.825) (width 0.127) (layer "F.Cu") (net 47) (tstamp 2db52dc8-cf37-4008-a047-01ab01959aaa)) + (segment (start 159.25 80.5) (end 160 79.75) (width 0.127) (layer "F.Cu") (net 47) (tstamp 45b51079-4984-4e8d-b2c7-e8e832bf4a6e)) + (segment (start 160 79.75) (end 173.032937 79.75) (width 0.127) (layer "F.Cu") (net 47) (tstamp 5299da53-7ddc-495c-bbfe-736c61d529d5)) + (segment (start 179.007937 85.725) (end 179.8625 85.725) (width 0.127) (layer "F.Cu") (net 47) (tstamp 7279ace4-bf33-4567-865a-0dc5198fde91)) + (segment (start 159.25 80.5) (end 159 80.75) (width 0.127) (layer "F.Cu") (net 47) (tstamp a9802956-7169-46f0-a0b7-6714d2631a5e)) + (segment (start 157 80.5) (end 157 81.325) (width 0.127) (layer "F.Cu") (net 47) (tstamp b6214d16-a5e8-49d6-bcf1-871c2eb5296a)) + (segment (start 173.032937 79.75) (end 179.007937 85.725) (width 0.127) (layer "F.Cu") (net 47) (tstamp d96d6c82-5314-4836-8690-c16464a4b22c)) + (segment (start 156.5 81.825) (end 155.1375 81.825) (width 0.127) (layer "F.Cu") (net 47) (tstamp eccb0cc0-6ce6-4953-b09f-6a5dad65dfce)) + (via (at 157 80.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 47) (tstamp 78734587-868e-4daf-a6bd-476a5f3ae6bf)) + (via (at 159.25 80.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 47) (tstamp a47dbe30-4380-49c5-b558-3666e205208a)) + (segment (start 168 81) (end 168.925 81) (width 0.127) (layer "B.Cu") (net 47) (tstamp 11836043-efce-4635-a9af-761c62c3a343)) + (segment (start 159.5 80.25) (end 167.25 80.25) (width 0.127) (layer "B.Cu") (net 47) (tstamp 1654f7c4-aacc-4b84-bbb5-3fbcac111bcc)) + (segment (start 167.25 80.25) (end 168 81) (width 0.127) (layer "B.Cu") (net 47) (tstamp a9f7aa5f-2d19-4825-bba2-2d938bfaf7f5)) + (segment (start 159.25 80.5) (end 159.5 80.25) (width 0.127) (layer "B.Cu") (net 47) (tstamp dc6e888d-bd94-44ed-8947-f6242e7d5936)) + (segment (start 159.25 80.5) (end 157 80.5) (width 0.127) (layer "B.Cu") (net 47) (tstamp efe53b65-1f8c-404b-8a49-d64295a884c5)) + (segment (start 158.5 80.5) (end 159.50352 79.49648) (width 0.127) (layer "F.Cu") (net 48) (tstamp 0e84a16b-ded5-4cc4-b1e4-5953a546ae91)) + (segment (start 158.5 82.641469) (end 158.74648 82.887949) (width 0.127) (layer "F.Cu") (net 48) (tstamp 1dc1232d-286f-4511-bd9f-3f9ec61a4179)) + (segment (start 158.74648 82.887949) (end 158.74648 89.112052) (width 0.127) (layer "F.Cu") (net 48) (tstamp 52ea4d07-c92c-44a4-9d0a-8e6529da5041)) + (segment (start 158.5 89.358532) (end 158.5 94.3375) (width 0.127) (layer "F.Cu") (net 48) (tstamp 560e6a32-6249-4150-a058-d709ee1ca5ad)) + (segment (start 178.716469 85.075) (end 179.8625 85.075) (width 0.127) (layer "F.Cu") (net 48) (tstamp 5dc5de19-70c4-46f5-be46-6c6042c9165c)) + (segment (start 158.5 81) (end 158.5 82.641469) (width 0.127) (layer "F.Cu") (net 48) (tstamp 831bafa6-499b-4f72-a629-827ecc09f04d)) + (segment (start 159.50352 79.49648) (end 173.137949 79.49648) (width 0.127) (layer "F.Cu") (net 48) (tstamp 972eb55e-fab5-4fe3-bfd7-412575dd4bde)) + (segment (start 160.75 81.7125) (end 160.8625 81.825) (width 0.127) (layer "F.Cu") (net 48) (tstamp b0b5d76d-869e-4ac6-8ff7-1809d263352c)) + (segment (start 160.75 81) (end 160.75 81.7125) (width 0.127) (layer "F.Cu") (net 48) (tstamp b7b8df1d-5a2f-49d9-b405-247b34ee21fc)) + (segment (start 158.74648 89.112052) (end 158.5 89.358532) (width 0.127) (layer "F.Cu") (net 48) (tstamp c02228fd-84f2-44cb-8754-0163f5fb65e5)) + (segment (start 158.5 81) (end 158.5 80.5) (width 0.127) (layer "F.Cu") (net 48) (tstamp c407fe13-5b85-491b-a17d-d01f66ad52e8)) + (segment (start 173.137949 79.49648) (end 178.716469 85.075) (width 0.127) (layer "F.Cu") (net 48) (tstamp e62c7fbb-97d2-4019-a773-2608530f1493)) + (via (at 158.5 81) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 48) (tstamp 363d0aca-34ac-4948-952c-4691b2464396)) + (via (at 160.75 81) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 48) (tstamp 7e33f386-38e6-4496-8e26-9e647236a1e7)) + (segment (start 165.75352 80.50352) (end 168 82.75) (width 0.127) (layer "B.Cu") (net 48) (tstamp 31aa19a2-3c44-4f16-9419-1d72cf40c3f4)) + (segment (start 168 82.75) (end 168.925 82.75) (width 0.127) (layer "B.Cu") (net 48) (tstamp c7be14d6-bb75-4530-800c-c35e2bf23304)) + (segment (start 161.24648 80.50352) (end 165.75352 80.50352) (width 0.127) (layer "B.Cu") (net 48) (tstamp d5f0b73d-c8d8-4b3a-a0d0-3a6c49d51a75)) + (segment (start 160.75 81) (end 161.24648 80.50352) (width 0.127) (layer "B.Cu") (net 48) (tstamp e71b0dc9-97a6-4509-a16b-c442eb65a7ce)) + (segment (start 160.75 81) (end 158.5 81) (width 0.127) (layer "B.Cu") (net 48) (tstamp ff2d3185-f06f-4b30-8bff-3b3c1e42fe1a)) + (segment (start 158 82.5) (end 158.49296 82.99296) (width 0.127) (layer "F.Cu") (net 49) (tstamp 13b03349-7f6a-4d7e-8071-d0832af1493a)) + (segment (start 155.5 90) (end 155.5 94.3375) (width 0.127) (layer "F.Cu") (net 49) (tstamp 2d3298fe-a5e2-4c12-a070-1e11bf083688)) + (segment (start 158.49296 89.00704) (end 158 89.5) (width 0.127) (layer "F.Cu") (net 49) (tstamp 36032628-4acc-45ba-8f2c-a1d294fe3a9d)) + (segment (start 156 89.5) (end 155.5 90) (width 0.127) (layer "F.Cu") (net 49) (tstamp 4b8970c4-2268-4495-ad66-2cf84a485fe6)) + (segment (start 173.24296 79.24296) (end 159.398508 79.24296) (width 0.127) (layer "F.Cu") (net 49) (tstamp 5754402b-73c1-4658-b8a0-43568dac7186)) + (segment (start 178.425 84.425) (end 173.24296 79.24296) (width 0.127) (layer "F.Cu") (net 49) (tstamp 58bcc82b-a385-42a0-8097-bf89c72869dd)) + (segment (start 155.1375 82.475) (end 157.975 82.475) (width 0.127) (layer "F.Cu") (net 49) (tstamp 7361a17b-8559-457f-8e4c-9f55a23108da)) + (segment (start 157.975 82.475) (end 158 82.5) (width 0.127) (layer "F.Cu") (net 49) (tstamp 7d05f4f5-6ae1-4404-a62d-04a06a21fcdc)) + (segment (start 179.8625 84.425) (end 178.425 84.425) (width 0.127) (layer "F.Cu") (net 49) (tstamp b8e5e83c-2dbf-447b-8dca-f75d64925e5d)) + (segment (start 159.398508 79.24296) (end 158 80.641468) (width 0.127) (layer "F.Cu") (net 49) (tstamp c8429dbc-e1fb-44ef-ad86-0dde43068fba)) + (segment (start 158 80.641468) (end 158 82.5) (width 0.127) (layer "F.Cu") (net 49) (tstamp cc395f44-aa22-4253-a911-b32c8a445411)) + (segment (start 158 89.5) (end 156 89.5) (width 0.127) (layer "F.Cu") (net 49) (tstamp cce738eb-235f-4520-82f8-ae391d1ad69a)) + (segment (start 158.49296 82.99296) (end 158.49296 89.00704) (width 0.127) (layer "F.Cu") (net 49) (tstamp d3119fd4-303f-4753-8055-e099d810a395)) + (segment (start 123.25 112.25) (end 123.325 112.175) (width 0.127) (layer "F.Cu") (net 50) (tstamp 00520809-172f-452b-8202-fc5a773aa17c)) + (segment (start 154 94.3375) (end 154 96.25) (width 0.127) (layer "F.Cu") (net 50) (tstamp 040aa7fa-1fd3-4351-8598-dd3101c05b1f)) + (segment (start 136.6625 101.25) (end 135.75 101.25) (width 0.127) (layer "F.Cu") (net 50) (tstamp 05aa7228-edc7-4f17-8949-91646d5d0b2e)) + (segment (start 138.8 92.8) (end 137.05 92.8) (width 0.127) (layer "F.Cu") (net 50) (tstamp 093d8a0c-9eb8-42ea-9a62-1ed313c36978)) + (segment (start 123.25 112.25) (end 121 112.25) (width 0.508) (layer "F.Cu") (net 50) (tstamp 0c49515e-a55e-4516-9e47-0502649fb325)) + (segment (start 162.5 94.3375) (end 162.5 96.25) (width 0.127) (layer "F.Cu") (net 50) (tstamp 0e9bf0fb-6fbc-4566-89b5-a1c775f30940)) + (segment (start 181.7 83.775) (end 181.75 83.825) (width 0.127) (layer "F.Cu") (net 50) (tstamp 1209ccc7-3951-4ca1-8212-d30fa64c3722)) + (segment (start 186.85 113.75) (end 186.85 115.5) (width 0.127) (layer "F.Cu") (net 50) (tstamp 163ec352-6d02-447e-8833-589bbcb548c5)) + (segment (start 137.05 92.8) (end 136.25 92) (width 0.127) (layer "F.Cu") (net 50) (tstamp 165d75cd-c6ac-4fbc-a3df-69ff53133ff0)) + (segment (start 152.25 83.25) (end 152.25 81) (width 0.127) (layer "F.Cu") (net 50) (tstamp 16896306-7bd3-487e-b6b4-99b414058efb)) + (segment (start 194.05 102.25) (end 194.05 104) (width 0.127) (layer "F.Cu") (net 50) (tstamp 19383f74-548a-4392-897b-faea6cd46c1a)) + (segment (start 128.3375 105.75) (end 130.25 105.75) (width 0.127) (layer "F.Cu") (net 50) (tstamp 19aa4689-4749-47b4-9656-f16f7b9ac428)) + (segment (start 132.997398 87.29) (end 132.635 87.29) (width 0.127) (layer "F.Cu") (net 50) (tstamp 1ad10ede-56a4-451c-958d-48974b41ac21)) + (segment (start 128.3375 102.25) (end 130.25 102.25) (width 0.127) (layer "F.Cu") (net 50) (tstamp 1c2440af-3a23-422c-8756-fef666b7d4b6)) + (segment (start 149.5 113.75) (end 156.5 113.75) (width 0.508) (layer "F.Cu") (net 50) (tstamp 1cc96e3a-a1f4-49b1-b0f6-6c5d97bca651)) + (segment (start 157.894988 89.24648) (end 158.23944 88.902028) (width 0.127) (layer "F.Cu") (net 50) (tstamp 1d6bd3e7-2295-43c3-895a-8ee76dbc426c)) + (segment (start 148.405 89.845) (end 148.405 88.35) (width 0.127) (layer "F.Cu") (net 50) (tstamp 1e89aea8-f9d0-4135-867d-9d7a65380799)) + (segment (start 168.9125 99.25) (end 167 99.25) (width 0.127) (layer "F.Cu") (net 50) (tstamp 1f8d96c3-7594-4272-bb3a-b758dc96beaf)) + (segment (start 154 94.3375) (end 154 90) (width 0.127) (layer "F.Cu") (net 50) (tstamp 22acaa13-27ac-4a5b-816e-eea722fddfdc)) + (segment (start 195.25 90) (end 195.305 89.945) (width 0.508) (layer "F.Cu") (net 50) (tstamp 240e125f-7575-4db0-a38f-afe7cb4cb97a)) + (segment (start 152.775 83.775) (end 152.5 83.5) (width 0.127) (layer "F.Cu") (net 50) (tstamp 249090ac-cf48-42b2-93f4-8698b9f5bb4a)) + (segment (start 179.8625 83.775) (end 181.7 83.775) (width 0.127) (layer "F.Cu") (net 50) (tstamp 26aab602-1a13-433d-b0d6-b91c4df8cbb1)) + (segment (start 152.5 83.5) (end 152.25 83.25) (width 0.127) (layer "F.Cu") (net 50) (tstamp 2a883cdb-3801-43c2-9bd1-698083b4f1f4)) + (segment (start 154 90) (end 154.75352 89.24648) (width 0.127) (layer "F.Cu") (net 50) (tstamp 2e2f4b79-f32c-4006-b3c0-93b2204490f4)) + (segment (start 195.65 102.25) (end 195.65 104) (width 0.127) (layer "F.Cu") (net 50) (tstamp 32cbf82c-b1b5-45ce-ba46-17b202f751d5)) + (segment (start 154.75352 89.24648) (end 157.894988 89.24648) (width 0.127) (layer "F.Cu") (net 50) (tstamp 3330b1e1-55e6-4c89-980d-6e9ef7e7f9bf)) + (segment (start 134.457398 88.75) (end 132.997398 87.29) (width 0.127) (layer "F.Cu") (net 50) (tstamp 3443799c-4825-4c70-9da5-b19244f39a47)) + (segment (start 182.05 113.75) (end 182.05 112) (width 0.127) (layer "F.Cu") (net 50) (tstamp 3888a8c9-86e2-4cc9-a2fa-cc33af542718)) + (segment (start 189.25 102.25) (end 189.25 104) (width 0.127) (layer "F.Cu") (net 50) (tstamp 3c2cd94d-ece2-4774-8aea-17fa4462b7cd)) + (segment (start 134.5 90.25) (end 134.5 88.75) (width 0.127) (layer "F.Cu") (net 50) (tstamp 3db9bbd9-8cb7-4d26-8532-a363947923d0)) + (segment (start 118.75 113.6) (end 118.825 113.675) (width 0.508) (layer "F.Cu") (net 50) (tstamp 40d2e2e6-20c1-4e91-aafa-e4e528e6f86d)) + (segment (start 130.25 104) (end 130.25 102.25) (width 0.127) (layer "F.Cu") (net 50) (tstamp 469068bb-c387-4876-8e88-665f1fcd5685)) + (segment (start 195.305 89.945) (end 199.7 89.945) (width 0.508) (layer "F.Cu") (net 50) (tstamp 523582db-66ee-4e82-ae15-a0c121ea0922)) + (segment (start 147.5875 113.75) (end 149.5 113.75) (width 0.127) (layer "F.Cu") (net 50) (tstamp 53d8e29a-5780-4d34-ba27-8be1ec2a0f0b)) + (segment (start 130.25 105.75) (end 130.25 106.25) (width 0.127) (layer "F.Cu") (net 50) (tstamp 5ab41bd0-fb42-4331-9b0b-8115b091eb0e)) + (segment (start 138 116) (end 135.25 113.25) (width 0.127) (layer "F.Cu") (net 50) (tstamp 5cf947ab-2b21-426e-845f-7d3ed2b71194)) + (segment (start 121.3625 106.7) (end 119.55 106.7) (width 0.127) (layer "F.Cu") (net 50) (tstamp 6d2af8d0-3f72-4b1d-9eb3-a2fab18469c5)) + (segment (start 154.025 83.775) (end 155.1375 83.775) (width 0.127) (layer "F.Cu") (net 50) (tstamp 73586493-80cb-4479-996c-9b17554cb48b)) + (segment (start 123.25 112.25) (end 124.75 112.25) (width 0.127) (layer "F.Cu") (net 50) (tstamp 73add63a-5d50-432a-8bac-47f103e9fa34)) + (segment (start 121.675 97.75) (end 119.5 97.75) (width 0.127) (layer "F.Cu") (net 50) (tstamp 75b08c63-b0cc-45c9-a145-c0a621de4939)) + (segment (start 116.75 111.7) (end 116.75 113.6) (width 0.508) (layer "F.Cu") (net 50) (tstamp 7c4cc436-ed13-409f-81d9-8a175463a38b)) + (segment (start 130.25 104) (end 134.75 104) (width 0.127) (layer "F.Cu") (net 50) (tstamp 7cde545f-7329-4bdc-acbd-4722a0d431dd)) + (segment (start 119.55 106.7) (end 119.5 106.75) (width 0.127) (layer "F.Cu") (net 50) (tstamp 7e3a1c1f-6471-4b06-bbbb-7461a6e0d4ec)) + (segment (start 119.575 113.675) (end 118.825 113.675) (width 0.508) (layer "F.Cu") (net 50) (tstamp 7e753979-7587-4f8e-9365-49890b7d0dff)) + (segment (start 174.85 102.25) (end 174.85 104) (width 0.127) (layer "F.Cu") (net 50) (tstamp 93d63252-6637-419c-8c8f-1983f5861c0c)) + (segment (start 157.775 83.775) (end 155.1375 83.775) (width 0.127) (layer "F.Cu") (net 50) (tstamp 97e9a9bb-5d19-432a-9de1-e582750358b6)) + (segment (start 134.75 104) (end 134.75 106.5) (width 0.127) (layer "F.Cu") (net 50) (tstamp 9ff821b8-65f1-44c3-a493-b0b2dcb464b9)) + (segment (start 167 115.6625) (end 167 113.75) (width 0.127) (layer "F.Cu") (net 50) (tstamp a05c3aca-cbde-4c1b-8adc-80d2df02e4a9)) + (segment (start 118.75 111.525) (end 118.75 113.6) (width 0.508) (layer "F.Cu") (net 50) (tstamp a0810d59-d0e8-4f5e-98a4-a2149e471f58)) + (segment (start 112.8625 113.675) (end 116.675 113.675) (width 0.508) (layer "F.Cu") (net 50) (tstamp a0ba3cc1-2b1b-4e46-bb8d-9450893c51fd)) + (segment (start 135.75 101.25) (end 134.75 102.25) (width 0.127) (layer "F.Cu") (net 50) (tstamp a10f0d3a-ea8f-46dc-94be-1e5f61328847)) + (segment (start 191.65 113.75) (end 191.65 115.5) (width 0.127) (layer "F.Cu") (net 50) (tstamp a400ccb7-53bb-491e-828d-b025cff3748b)) + (segment (start 123.325 112.175) (end 123.325 110.25) (width 0.127) (layer "F.Cu") (net 50) (tstamp a73bb2dd-b09f-40fd-ba37-b31cdd104039)) + (segment (start 134.75 102.25) (end 134.75 104) (width 0.127) (layer "F.Cu") (net 50) (tstamp a9d1c042-569e-4a9f-bc9b-3744e5de8724)) + (segment (start 158.23944 88.902028) (end 158.23944 84.23944) (width 0.127) (layer "F.Cu") (net 50) (tstamp aa5abdc7-237f-4607-958e-34d68d15d664)) + (segment (start 147.5875 99.25) (end 149.5 99.25) (width 0.127) (layer "F.Cu") (net 50) (tstamp ad65f3af-16a1-4169-8947-594831017dbc)) + (segment (start 168.9125 106.25) (end 167 106.25) (width 0.127) (layer "F.Cu") (net 50) (tstamp ad96f5d2-5ce6-4cc9-9b18-1e6c03a03fb2)) + (segment (start 185.25 102.25) (end 185.25 104) (width 0.127) (layer "F.Cu") (net 50) (tstamp b0bba463-dc5a-43d5-8adf-2adaa3e2fe7a)) + (segment (start 149.5 94.3375) (end 149.5 96.25) (width 0.127) (layer "F.Cu") (net 50) (tstamp b15b94cd-7d67-4bab-ac4a-eb099208a988)) + (segment (start 148.25 90) (end 148.405 89.845) (width 0.127) (layer "F.Cu") (net 50) (tstamp b238a6d8-a153-4440-9363-cbcbc66bb00c)) + (segment (start 135.25 108.1625) (end 135.25 112.25) (width 0.127) (layer "F.Cu") (net 50) (tstamp b4bc2457-141a-41e6-b07b-31d61bbb40a9)) + (segment (start 160 94.3375) (end 160 96.25) (width 0.127) (layer "F.Cu") (net 50) (tstamp b4cc122c-cfe6-4ac5-8a00-26a1a88e7c46)) + (segment (start 121.725 103) (end 119.5 103) (width 0.127) (layer "F.Cu") (net 50) (tstamp b89ded1b-1aed-492a-967c-1d8e873c033f)) + (segment (start 134.5 88.75) (end 134.457398 88.75) (width 0.127) (layer "F.Cu") (net 50) (tstamp b8a339a6-f703-44f6-9f0b-9d412f8c5af9)) + (segment (start 154 96.25) (end 160 96.25) (width 0.508) (layer "F.Cu") (net 50) (tstamp bddea2a3-2f5a-467f-b28e-d055fc667605)) + (segment (start 169 96.1625) (end 168.9125 96.25) (width 0.127) (layer "F.Cu") (net 50) (tstamp bf243659-4491-4865-ab79-91e36e01a749)) + (segment (start 128.3375 102.25) (end 128.3375 102.75) (width 0.127) (layer "F.Cu") (net 50) (tstamp c30bade7-2e91-407f-a260-0a0544a77958)) + (segment (start 156.5 115.6625) (end 156.5 113.75) (width 0.127) (layer "F.Cu") (net 50) (tstamp c37d01e4-4e74-4747-a45a-5ea3f7ed6b69)) + (segment (start 164 113.75) (end 167 113.75) (width 0.508) (layer "F.Cu") (net 50) (tstamp c7481177-0145-4b4a-9259-91826e58ec86)) + (segment (start 147.5875 103.75) (end 149.5 103.75) (width 0.127) (layer "F.Cu") (net 50) (tstamp cab5a2ec-7f3d-4d91-bacb-0b4d2ae505a8)) + (segment (start 134.75 106.5) (end 135.25 107) (width 0.127) (layer "F.Cu") (net 50) (tstamp cdf6b0c5-5780-40b0-a165-347a5097bfff)) + (segment (start 147.5875 110.75) (end 149.5 110.75) (width 0.127) (layer "F.Cu") (net 50) (tstamp d1e97fdc-fae3-447d-bd24-f641f4b0b63f)) + (segment (start 136.25 92) (end 134.5 90.25) (width 0.127) (layer "F.Cu") (net 50) (tstamp d32a9694-0a13-479f-b16a-69bcaef7c288)) + (segment (start 135.25 107) (end 135.25 108.1625) (width 0.127) (layer "F.Cu") (net 50) (tstamp d4b3301c-8d10-48ba-a654-bec8de5226dd)) + (segment (start 169 93.25) (end 169 96.1625) (width 0.127) (layer "F.Cu") (net 50) (tstamp d5116e25-1b4e-4d10-b7fc-8e72d3e19ef6)) + (segment (start 168.9125 110.75) (end 167 110.75) (width 0.127) (layer "F.Cu") (net 50) (tstamp d8f4ad78-2436-433e-8759-507bed013d64)) + (segment (start 168.9125 96.25) (end 167 96.25) (width 0.127) (layer "F.Cu") (net 50) (tstamp daf00d4f-b706-4b40-8946-739bc4f9dc52)) + (segment (start 149.5 115.6625) (end 149.5 113.75) (width 0.127) (layer "F.Cu") (net 50) (tstamp e20c1479-e982-44ac-8404-d08143fd8c97)) + (segment (start 160 96.25) (end 162.5 96.25) (width 0.508) (layer "F.Cu") (net 50) (tstamp e31f6c3c-caf0-4019-af26-6dfe55b81dc2)) + (segment (start 154.025 83.775) (end 152.775 83.775) (width 0.127) (layer "F.Cu") (net 50) (tstamp e3591012-ed33-4948-a9a2-9f562d5255f4)) + (segment (start 130.25 105.75) (end 130.25 104) (width 0.127) (layer "F.Cu") (net 50) (tstamp e54b624e-104c-4250-9696-7f197a6e347f)) + (segment (start 124.75 112.25) (end 125.8 113.3) (width 0.127) (layer "F.Cu") (net 50) (tstamp e64d9a47-adb0-4f23-af72-f607d764be0a)) + (segment (start 149.5 96.25) (end 154 96.25) (width 0.508) (layer "F.Cu") (net 50) (tstamp e6de164e-6797-4518-8ce8-417dc97a1f70)) + (segment (start 158.23944 84.23944) (end 157.775 83.775) (width 0.127) (layer "F.Cu") (net 50) (tstamp eb99690f-ef74-44e5-af17-c3409aba8361)) + (segment (start 156.5 113.75) (end 164 113.75) (width 0.508) (layer "F.Cu") (net 50) (tstamp ebd3d05d-ec95-47c0-b0af-db12457ff63a)) + (segment (start 116.675 113.675) (end 118.825 113.675) (width 0.508) (layer "F.Cu") (net 50) (tstamp ec222b9c-82d3-4fe2-937b-87a5430036d4)) + (segment (start 162.5 96.25) (end 167 96.25) (width 0.508) (layer "F.Cu") (net 50) (tstamp efe45fa8-0c3b-4bea-870f-f04d821f41c8)) + (segment (start 116.75 113.6) (end 116.675 113.675) (width 0.508) (layer "F.Cu") (net 50) (tstamp f18e337f-76f4-4a70-9278-07acf5791599)) + (segment (start 164 115.6625) (end 164 113.75) (width 0.127) (layer "F.Cu") (net 50) (tstamp f1ccf7f3-c212-44b0-88ce-3d350eb86494)) + (segment (start 135.25 113.25) (end 135.25 112.25) (width 0.127) (layer "F.Cu") (net 50) (tstamp f2aa03b8-61b8-4b09-80b8-e3c27469ae98)) + (segment (start 121 112.25) (end 119.575 113.675) (width 0.508) (layer "F.Cu") (net 50) (tstamp f4321209-6211-4dc7-883d-a67900fe25d9)) + (via (at 149.5 110.75) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 50) (tstamp 07d2724b-769b-45fa-abc9-8d3eca72d1ee)) + (via (at 185.25 104) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 50) (tstamp 08b0519e-e2e0-44de-8456-f0e1829128f6)) + (via (at 186.85 115.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 50) (tstamp 0fe94b8f-73a7-46bc-af96-efad4535b788)) + (via (at 167 113.75) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 50) (tstamp 1814ee64-6981-44a0-b518-acf68a9e2846)) + (via (at 136.25 92) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 50) (tstamp 20d91061-774d-4048-936a-3bd9530ee43a)) + (via (at 154 96.25) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 50) (tstamp 233e3d8f-ee9c-4ece-8713-7de61218499c)) + (via (at 152.25 81) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 50) (tstamp 32a958f4-f30c-4ea2-99d8-079909a64b6c)) + (via (at 182.05 112) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 50) (tstamp 3b568201-5c81-4c1a-984a-051d69aee314)) + (via (at 167 106.25) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 50) (tstamp 3fd37ba9-e1d8-4fdb-83d8-8182f4482a1c)) + (via (at 149.5 99.25) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 50) (tstamp 4533cd33-6444-49b9-aa63-07fec8b42dcc)) + (via (at 195.25 90) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 50) (tstamp 49c5e75c-19a3-44cc-b82e-ba9ae2c8c970)) + (via (at 195.65 104) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 50) (tstamp 5ebb0d92-f9c0-4451-9afe-c3a3d69d3dec)) + (via (at 167 99.25) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 50) (tstamp 6596c866-5e5d-453d-ad1c-194548d2594d)) + (via (at 181.75 83.825) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 50) (tstamp 667495bc-4010-4697-9f89-7255e5947c70)) + (via (at 119.5 97.75) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 50) (tstamp 71d7d898-0e83-4be8-b359-f620f7a922b0)) + (via (at 130.25 102.25) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 50) (tstamp 753a3293-da4f-495d-8100-e46d6f44ae3f)) + (via (at 134.75 106.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 50) (tstamp 7aec0ad7-5d55-48d7-9477-118575be97c3)) + (via (at 134.75 102.25) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 50) (tstamp 7f234e98-c0cf-45d0-99a0-33cde9f5c7ef)) + (via (at 149.5 96.25) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 50) (tstamp 7f3aedf6-2b5a-42f6-a01a-b2e987b69ad9)) + (via (at 135.25 112.25) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 50) (tstamp 8680aebc-5dad-478f-b981-d427a65b390d)) + (via (at 149.5 103.75) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 50) (tstamp 94976a35-8fc7-4091-be85-ce830192b652)) + (via (at 169 93.25) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 50) (tstamp 9f092ee1-a496-4591-b550-4e7ed48402aa)) + (via (at 167 110.75) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 50) (tstamp a27841df-dc44-478a-a7ec-9d85bf2d3c35)) + (via (at 148.25 90) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 50) (tstamp a8ed30ca-e0a1-4e38-b9dc-671e766a1df4)) + (via (at 164 113.75) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 50) (tstamp b175f779-ce2d-474c-bd4e-843ab59ce441)) + (via (at 191.65 115.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 50) (tstamp c2c465bc-2e06-4143-969f-ddb74de7d3e6)) + (via (at 194.05 104) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 50) (tstamp c2cf25ba-d9b1-433a-9902-a4121f2ace12)) + (via (at 119.5 106.75) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 50) (tstamp c38cb136-505e-4947-8d7e-650fb0fb861c)) + (via (at 162.5 96.25) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 50) (tstamp c6ad14ea-a362-4160-aca7-80a2513abb3a)) + (via (at 156.5 113.75) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 50) (tstamp c7d979f2-ee33-4084-aee6-a9ab6002795a)) + (via (at 149.5 113.75) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 50) (tstamp d85f7422-60c7-40c3-8185-464b2a8e11fc)) + (via (at 119.5 103) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 50) (tstamp d99773a6-9054-4791-b9f8-d7744efb850a)) + (via (at 167 96.25) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 50) (tstamp dd2c0a8c-152d-45cf-a467-0913357812c3)) + (via (at 174.85 104) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 50) (tstamp de0462e8-6c7c-414d-bf2b-70ed0242900e)) + (via (at 160 96.25) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 50) (tstamp e384586e-f1c5-4052-8098-0fc951d1aaa8)) + (via (at 134.5 88.75) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 50) (tstamp e42d2209-2e18-417b-b485-309e74993afb)) + (via (at 130.25 106.25) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 50) (tstamp f6eb8e2d-c2fc-4e30-ae1b-40bb70bb6215)) + (via (at 123.25 112.25) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 50) (tstamp f90ce97e-1f07-4fe4-bf01-3cc834fddf83)) + (via (at 189.25 104) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 50) (tstamp f9a63465-fba0-4355-bc2b-590d101c53e4)) + (segment (start 167 113.75) (end 167 104) (width 0.508) (layer "B.Cu") (net 50) (tstamp 00387cd4-53e7-4799-a41f-e6b8835c8fb6)) + (segment (start 138.075 86.925) (end 138.75 86.925) (width 0.127) (layer "B.Cu") (net 50) (tstamp 0303726d-ed0f-4b6b-a669-5b888d86c31d)) + (segment (start 196 90.75) (end 195.25 90) (width 0.127) (layer "B.Cu") (net 50) (tstamp 054354d4-dbb8-4ca4-840b-743cd3a17bcb)) + (segment (start 160.75 94.275) (end 160 95.025) (width 0.127) (layer "B.Cu") (net 50) (tstamp 06b72b08-133d-45f6-a7d6-f7e177c6558e)) + (segment (start 174.85 104) (end 174.85 102.525) (width 0.127) (layer "B.Cu") (net 50) (tstamp 072e3c69-83a1-41b1-899e-e10fcd382a6d)) + (segment (start 129.5 107) (end 129.5 109.475) (width 0.127) (layer "B.Cu") (net 50) (tstamp 07d2040e-8b07-45f2-97a3-76bb0e8d947f)) + (segment (start 130.25 106.25) (end 129.5 107) (width 0.127) (layer "B.Cu") (net 50) (tstamp 0811e9b2-ce38-4c24-9e0d-30e215ff300d)) + (segment (start 168.975 110.75) (end 167 110.75) (width 0.127) (layer "B.Cu") (net 50) (tstamp 08fb9773-4204-4f4a-b58f-296fbff8154f)) + (segment (start 164 115.475) (end 164 113.75) (width 0.127) (layer "B.Cu") (net 50) (tstamp 0d9d20a7-463c-435f-8315-8c431d855e9f)) + (segment (start 167 104) (end 167 96.25) (width 0.508) (layer "B.Cu") (net 50) (tstamp 0ec81642-046a-48bb-a09f-c306ca21d797)) + (segment (start 189.25 104) (end 189.25 115.5) (width 0.508) (layer "B.Cu") (net 50) (tstamp 10924841-485d-4bbc-bffa-02b8dc2c6a3f)) + (segment (start 164.25 115.725) (end 164 115.475) (width 0.127) (layer "B.Cu") (net 50) (tstamp 11a40cd0-38de-4305-ad36-c77743a2a77a)) + (segment (start 123.725 113.475) (end 125.75 113.475) (width 0.127) (layer "B.Cu") (net 50) (tstamp 12b566d1-33b9-4b99-b27e-17f7e22d746c)) + (segment (start 148.775 94.275) (end 149.5 94.275) (width 0.127) (layer "B.Cu") (net 50) (tstamp 130759b7-5b99-4e8a-a48b-db7ac5ccbbec)) + (segment (start 160 95.025) (end 160 96.25) (width 0.127) (layer "B.Cu") (net 50) (tstamp 15aa895d-3410-424e-99e2-5b35b65b9ad5)) + (segment (start 119 106.75) (end 119.5 106.75) (width 0.127) (layer "B.Cu") (net 50) (tstamp 181f1d78-9085-4d43-8b71-03f87b119f1e)) + (segment (start 148.25 93.75) (end 148.775 94.275) (width 0.127) (layer "B.Cu") (net 50) (tstamp 186504b6-b4c1-458e-884f-c770e977d9a2)) + (segment (start 170.575 80.575) (end 170.575 81) (width 0.127) (layer "B.Cu") (net 50) (tstamp 1a383b65-6b52-436e-b883-8f1a1fa482de)) + (segment (start 149.5 96.25) (end 149.5 112.25) (width 0.508) (layer "B.Cu") (net 50) (tstamp 1cf1c249-e380-4581-a9b4-841b93ab92b0)) + (segment (start 193.975 91.275) (end 193.975 95.425) (width 0.508) (layer "B.Cu") (net 50) (tstamp 1e8d1173-5e98-46d4-890e-811834d63ba8)) + (segment (start 154 95.25) (end 154 96.25) (width 0.127) (layer "B.Cu") (net 50) (tstamp 2471b6c5-296a-4f88-a5ce-ca8bb463cf58)) + (segment (start 169 93.25) (end 170.575 91.675) (width 0.127) (layer "B.Cu") (net 50) (tstamp 2561f62e-1d12-4704-9da2-3203107c0e38)) + (segment (start 170.575 91.675) (end 170.575 88) (width 0.127) (layer "B.Cu") (net 50) (tstamp 2857a465-5b3e-4ee3-b452-42f42c0911c6)) + (segment (start 129.5 112.25) (end 135.25 112.25) (width 0.508) (layer "B.Cu") (net 50) (tstamp 28a187c6-b201-4123-9c5a-4d6863e87e78)) + (segment (start 152.25 81) (end 153.475 81) (width 0.127) (layer "B.Cu") (net 50) (tstamp 28fc4a77-579b-4878-8f77-5bf7dbae65c8)) + (segment (start 130.25 102.25) (end 130.25 103.7) (width 0.127) (layer "B.Cu") (net 50) (tstamp 2a1bf7fb-7496-429d-84bc-aa124a18cb7e)) + (segment (start 169.99648 79.99648) (end 170.575 80.575) (width 0.127) (layer "B.Cu") (net 50) (tstamp 2ef84832-1970-455c-8a90-f8d4126e814b)) + (segment (start 189.25 104) (end 185.25 104) (width 0.508) (layer "B.Cu") (net 50) (tstamp 31728819-51fc-4a4d-9c31-00b7f033e52b)) + (segment (start 156.25 115.725) (end 156.5 115.475) (width 0.127) (layer "B.Cu") (net 50) (tstamp 327a831c-2633-44a2-9d40-b52469671b06)) + (segment (start 123.25 112.25) (end 129.5 112.25) (width 0.508) (layer "B.Cu") (net 50) (tstamp 343a4c18-d49f-4288-9f33-fc9d7ac2f534)) + (segment (start 193.975 95.425) (end 194.05 95.5) (width 0.508) (layer "B.Cu") (net 50) (tstamp 387c4c76-ca44-43df-8750-ed5f2258da36)) + (segment (start 135.25 112.25) (end 135.5 112.25) (width 0.508) (layer "B.Cu") (net 50) (tstamp 39c5521a-09cd-4f0b-92a6-25460a5313e2)) + (segment (start 194 95.55) (end 194 98) (width 0.508) (layer "B.Cu") (net 50) (tstamp 3b5c8123-5f96-411f-b319-a5629f61315a)) + (segment (start 191.65 115.5) (end 191.65 114.025) (width 0.127) (layer "B.Cu") (net 50) (tstamp 44739e20-7688-47c8-89d1-aa1825698f48)) + (segment (start 174.85 104) (end 167 104) (width 0.508) (layer "B.Cu") (net 50) (tstamp 49811a5a-9f61-4326-af23-15835bf0da6c)) + (segment (start 186.85 115.5) (end 189.25 115.5) (width 0.508) (layer "B.Cu") (net 50) (tstamp 4c55ceb9-8aaa-4dc9-9212-600d4fb80f3c)) + (segment (start 194 98) (end 191.75 100.25) (width 0.508) (layer "B.Cu") (net 50) (tstamp 4fde4bde-62b5-4c12-9009-491c088c3dbb)) + (segment (start 148.5 88.525) (end 150.2 88.525) (width 0.127) (layer "B.Cu") (net 50) (tstamp 515b32c7-d370-4b0a-9b24-c195bd93d14b)) + (segment (start 185.25 104) (end 174.85 104) (width 0.508) (layer "B.Cu") (net 50) (tstamp 5620eb9d-d5cf-4463-b0db-8dd95366ffe9)) + (segment (start 123.25 112.25) (end 123.25 113) (width 0.127) (layer "B.Cu") (net 50) (tstamp 57236aa3-f5d8-4fbf-90fb-e1585955e03d)) + (segment (start 153.25 94.275) (end 154 95.025) (width 0.127) (layer "B.Cu") (net 50) (tstamp 5834cac5-1da1-4fb4-ad86-931d6f415c26)) + (segment (start 147.525 99.25) (end 149.5 99.25) (width 0.127) (layer "B.Cu") (net 50) (tstamp 591e0f60-b408-435f-abf0-bae10139cf48)) + (segment (start 136.25 88.75) (end 138.075 86.925) (width 0.127) (layer "B.Cu") (net 50) (tstamp 594802c0-6721-4200-a068-e9990b22bc15)) + (segment (start 122.75 106.75) (end 119.5 106.75) (width 0.127) (layer "B.Cu") (net 50) (tstamp 60edb02e-9f70-4c97-a95e-eae2b6fba289)) + (segment (start 168.975 99.25) (end 167 99.25) (width 0.127) (layer "B.Cu") (net 50) (tstamp 617222d5-1aca-4996-986f-07f439b81db8)) + (segment (start 118.5 106.25) (end 119 106.75) (width 0.127) (layer "B.Cu") (net 50) (tstamp 649ab3dd-8ee2-4c98-bda0-2ef0c98a1caf)) + (segment (start 118.5 103.5) (end 118.5 106.25) (width 0.127) (layer "B.Cu") (net 50) (tstamp 67831f5a-b712-4168-97a5-7f675c5c56a9)) + (segment (start 135.5 113.4625) (end 135.5 112.25) (width 0.127) (layer "B.Cu") (net 50) (tstamp 75144879-070f-4f47-8e9e-cf86c6576e68)) + (segment (start 154.47852 79.99648) (end 169.99648 79.99648) (width 0.127) (layer "B.Cu") (net 50) (tstamp 77451131-3bf8-4cce-984a-d31f1f8e0f0b)) + (segment (start 199 90.75) (end 196 90.75) (width 0.127) (layer "B.Cu") (net 50) (tstamp 775cd29f-6ccb-41f6-bcb0-9fa43f06d101)) + (segment (start 149.5 115.725) (end 149.5 113.75) (width 0.127) (layer "B.Cu") (net 50) (tstamp 77d67a21-bd06-46c9-8a6d-21cb3f5f65a4)) + (segment (start 135.5 112.25) (end 149.5 112.25) (width 0.508) (layer "B.Cu") (net 50) (tstamp 7c3e8df7-613a-40ee-8d1a-8e7aa65a7d7c)) + (segment (start 153.475 81) (end 154.47852 79.99648) (width 0.127) (layer "B.Cu") (net 50) (tstamp 84845db9-e9d0-4c1a-a172-e49908bbb685)) + (segment (start 123.25 107.25) (end 122.75 106.75) (width 0.127) (layer "B.Cu") (net 50) (tstamp 879296d7-c6f6-47d5-a140-f29d661ada55)) + (segment (start 150.2 88.525) (end 150.25 88.575) (width 0.127) (layer "B.Cu") (net 50) (tstamp 88382654-856f-4a2f-a041-6e8f7c3662bb)) + (segment (start 170.575 82.75) (end 179.025 82.75) (width 0.127) (layer "B.Cu") (net 50) (tstamp 8e6f5396-f7b5-4c45-82b6-ed01b2891865)) + (segment (start 147.525 103.75) (end 149.5 103.75) (width 0.127) (layer "B.Cu") (net 50) (tstamp 8e9a6e7f-22bd-486d-9028-1c9a86db42d4)) + (segment (start 149.5 112.25) (end 149.5 113.75) (width 0.508) (layer "B.Cu") (net 50) (tstamp 8faeb2e7-a5ab-4274-9478-050d2710bd87)) + (segment (start 195.65 104) (end 194.05 104) (width 0.508) (layer "B.Cu") (net 50) (tstamp 9148962e-87e1-46a0-a187-4955c60e5763)) + (segment (start 191.75 104) (end 189.25 104) (width 0.508) (layer "B.Cu") (net 50) (tstamp 91d4ac76-ee4e-4d49-9004-d4eb5b149b62)) + (segment (start 181.675 83.75) (end 180.025 83.75) (width 0.127) (layer "B.Cu") (net 50) (tstamp 9313bfa4-ce48-4a29-973e-aedc75f17c2f)) + (segment (start 167 115.725) (end 167 113.75) (width 0.127) (layer "B.Cu") (net 50) (tstamp 94e004a8-54aa-4e68-8c53-ff45cfbb019d)) + (segment (start 181.75 83.825) (end 181.675 83.75) (width 0.127) (layer "B.Cu") (net 50) (tstamp 967e5a0b-292c-4455-a6ac-09c2bc55e005)) + (segment (start 154 95.025) (end 154 95.25) (width 0.127) (layer "B.Cu") (net 50) (tstamp 97935380-a845-4926-a037-b8e3570fc83c)) + (segment (start 119.5 103) (end 119 103) (width 0.127) (layer "B.Cu") (net 50) (tstamp 9d7b9452-2ee6-45d0-84f9-9f1c6ba1a2f1)) + (segment (start 130.275 103.725) (end 129.5 103.725) (width 0.127) (layer "B.Cu") (net 50) (tstamp 9dc182ae-acf9-4c78-99c9-43f1e4d63bff)) + (segment (start 147.525 110.75) (end 149.5 110.75) (width 0.127) (layer "B.Cu") (net 50) (tstamp 9f8744cc-a18c-4627-a211-672419817cc2)) + (segment (start 148.25 88.775) (end 148.5 88.525) (width 0.127) (layer "B.Cu") (net 50) (tstamp 9f8b66f7-ffe9-493b-a900-e3f4ec4dfbf7)) + (segment (start 138.75 92.975) (end 138.75 92) (width 0.127) (layer "B.Cu") (net 50) (tstamp a014a8dc-83b4-4fbe-86ed-0ac3a12a128d)) + (segment (start 149.5 94.275) (end 149.5 96.25) (width 0.127) (layer "B.Cu") (net 50) (tstamp a03fab47-af11-4f05-85f7-b9bb23f12032)) + (segment (start 182.05 112) (end 185.55 115.5) (width 0.127) (layer "B.Cu") (net 50) (tstamp a4884d90-fc86-4de5-a5ec-2fe601d5f08c)) + (segment (start 195.65 104) (end 195.65 102.525) (width 0.127) (layer "B.Cu") (net 50) (tstamp a4b5629a-cf7a-4c58-ab1c-a5fbcc868e44)) + (segment (start 189.25 104) (end 189.25 102.525) (width 0.127) (layer "B.Cu") (net 50) (tstamp a5548ca9-3e02-4dc1-b49a-4f81fb56a414)) + (segment (start 194.05 104) (end 194.05 102.525) (width 0.127) (layer "B.Cu") (net 50) (tstamp a57af561-b641-4c02-8d34-e88244f7dc21)) + (segment (start 162.25 94.275) (end 162.5 94.525) (width 0.127) (layer "B.Cu") (net 50) (tstamp a74dcc61-a67d-49e4-879d-4c9196f15e06)) + (segment (start 148.25 90) (end 148.25 88.775) (width 0.127) (layer "B.Cu") (net 50) (tstamp a825dacd-9696-4a26-b3fc-d6a988924ad0)) + (segment (start 179.025 82.75) (end 180.025 83.75) (width 0.127) (layer "B.Cu") (net 50) (tstamp a90cf3ac-e928-4015-8873-41990cdcd3cb)) + (segment (start 168.975 106.25) (end 167 106.25) (width 0.127) (layer "B.Cu") (net 50) (tstamp ab900d20-4d6e-4c10-b5ce-56dcc8eb010c)) + (segment (start 162.5 94.525) (end 162.5 96.25) (width 0.127) (layer "B.Cu") (net 50) (tstamp ad8acc58-a186-4ee8-bf4f-16b8a1eca9cc)) + (segment (start 123.25 113) (end 123.725 113.475) (width 0.127) (layer "B.Cu") (net 50) (tstamp b15db7b6-9496-4754-ac2a-27bcc5be62e5)) + (segment (start 119.5 97.75) (end 119.5 103) (width 0.127) (layer "B.Cu") (net 50) (tstamp b6cf260c-1e43-4d19-9fc7-0d5d2dca900b)) + (segment (start 134.75 106.5) (end 134.75 105.275) (width 0.127) (layer "B.Cu") (net 50) (tstamp b8122a9b-9244-49b7-98c7-abea8ffd0e70)) + (segment (start 194.05 104) (end 191.75 104) (width 0.508) (layer "B.Cu") (net 50) (tstamp ba19bf79-b3c5-410f-9a76-80e2994881dc)) + (segment (start 136.25 92) (end 145.25 92) (width 0.127) (layer "B.Cu") (net 50) (tstamp ba7f787c-8913-41d6-b0d8-083e61039a20)) + (segment (start 185.55 115.5) (end 186.85 115.5) (width 0.127) (layer "B.Cu") (net 50) (tstamp be3b65a3-e889-49fc-b2bd-7a31d1db3421)) + (segment (start 134.5 88.75) (end 136.25 88.75) (width 0.127) (layer "B.Cu") (net 50) (tstamp c03a2b90-d4ed-4b07-8305-2dd9a49f1bb6)) + (segment (start 147.525 113.75) (end 149.5 113.75) (width 0.127) (layer "B.Cu") (net 50) (tstamp c91e4947-641e-4714-aae9-8ad759b80d4d)) + (segment (start 199.575 90.175) (end 199 90.75) (width 0.127) (layer "B.Cu") (net 50) (tstamp c988cd8b-7ad9-4565-bdb4-c84432b0cf9a)) + (segment (start 199.575 89.5) (end 199.575 90.175) (width 0.127) (layer "B.Cu") (net 50) (tstamp cc612808-adf5-432a-a369-09a390f88d1e)) + (segment (start 194.05 95.5) (end 194 95.55) (width 0.508) (layer "B.Cu") (net 50) (tstamp cc8fc350-f793-4f10-bc65-b9f25872604f)) + (segment (start 119 103) (end 118.5 103.5) (width 0.127) (layer "B.Cu") (net 50) (tstamp cc9cff0f-2d28-4c6d-a935-995b1dcc7236)) + (segment (start 168.975 96.25) (end 167 96.25) (width 0.127) (layer "B.Cu") (net 50) (tstamp d11b0feb-8a7e-4ba4-a56e-6005020abe7a)) + (segment (start 185.25 104) (end 185.25 102.525) (width 0.127) (layer "B.Cu") (net 50) (tstamp d505e2a0-7c62-4991-9f0e-f63f45b5362f)) + (segment (start 130.25 103.7) (end 130.275 103.725) (width 0.127) (layer "B.Cu") (net 50) (tstamp da6facce-8172-4b60-abf8-7b9f15183aeb)) + (segment (start 156.5 115.475) (end 156.5 113.75) (width 0.127) (layer "B.Cu") (net 50) (tstamp e0a56a5f-3b7e-4f14-9c22-e7c8808c39b6)) + (segment (start 186.85 115.5) (end 186.85 114.025) (width 0.127) (layer "B.Cu") (net 50) (tstamp e1938d9f-e27e-4ee2-89cd-97d9c164793f)) + (segment (start 123.25 112.25) (end 123.25 107.25) (width 0.127) (layer "B.Cu") (net 50) (tstamp e1b89e76-c061-4692-aa7a-24d3c1eaf294)) + (segment (start 131.25 103.725) (end 130.275 103.725) (width 0.127) (layer "B.Cu") (net 50) (tstamp e1eb5611-f671-4b5e-83c7-ad5462df1e61)) + (segment (start 170.575 81) (end 170.575 82.75) (width 0.127) (layer "B.Cu") (net 50) (tstamp e4e09d70-0782-4708-b86d-a3427c01a91c)) + (segment (start 145.25 92) (end 149.5 96.25) (width 0.127) (layer "B.Cu") (net 50) (tstamp e55f2ed7-f653-414f-8ff5-72e9dab13883)) + (segment (start 134.75 102.25) (end 134.75 101.025) (width 0.127) (layer "B.Cu") (net 50) (tstamp e8d412ba-6114-46fe-a85d-596b1fee6fd5)) + (segment (start 129.5 113.4625) (end 129.5 112.25) (width 0.127) (layer "B.Cu") (net 50) (tstamp ecc4cb64-0879-4913-863d-39d8489002d2)) + (segment (start 148.25 90) (end 148.25 93.75) (width 0.127) (layer "B.Cu") (net 50) (tstamp ef0b4c1d-73af-4e57-8ce7-641acbe48556)) + (segment (start 195.25 90) (end 193.975 91.275) (width 0.508) (layer "B.Cu") (net 50) (tstamp ef230740-f141-453b-b127-e43bbf22cf71)) + (segment (start 191.75 100.25) (end 191.75 104) (width 0.508) (layer "B.Cu") (net 50) (tstamp f1e4d36f-893f-4856-81ea-35939e45ac39)) + (segment (start 189.25 115.5) (end 191.65 115.5) (width 0.508) (layer "B.Cu") (net 50) (tstamp f4cf0659-796d-4476-b46e-479ff04edff4)) + (segment (start 121 115.75) (end 119.575 114.325) (width 0.508) (layer "F.Cu") (net 51) (tstamp 3c3fa3d1-a5d9-4c17-b472-824bc2a15c0e)) + (segment (start 160 131) (end 160 125.75) (width 0.508) (layer "F.Cu") (net 51) (tstamp 56e38712-2d05-4e80-a684-858c17412103)) + (segment (start 114.675 114.325) (end 112.8625 114.325) (width 0.508) (layer "F.Cu") (net 51) (tstamp 78abe65e-0fc6-4269-b6f3-bd28c14aee04)) + (segment (start 118.75 116.475) (end 118.75 114.4) (width 0.508) (layer "F.Cu") (net 51) (tstamp 876858d2-d5b0-4485-94dc-ec0c832e0418)) + (segment (start 119.575 114.325) (end 118.675 114.325) (width 0.508) (layer "F.Cu") (net 51) (tstamp a436355e-f349-4399-93ce-d13a669e45e2)) + (segment (start 140 131) (end 140 125.75) (width 0.508) (layer "F.Cu") (net 51) (tstamp ae0aae84-301a-4f15-b579-f6b7af298d6d)) + (segment (start 118.675 114.325) (end 114.675 114.325) (width 0.508) (layer "F.Cu") (net 51) (tstamp c5744f61-04c1-49fc-a06d-bd0cb5ff4e8f)) + (segment (start 118.75 114.4) (end 118.675 114.325) (width 0.508) (layer "F.Cu") (net 51) (tstamp eea05c9d-0395-4d6d-8659-f77ec60b55d6)) + (via (at 121 115.75) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 51) (tstamp 075cfa12-6baa-4559-beca-c567c605bade)) + (via (at 140 125.75) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 51) (tstamp 6f89ab31-3a3a-478a-982b-a14808a4c021)) + (via (at 160 125.75) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 51) (tstamp 77b10589-f0bd-4a50-8138-9265e9676472)) + (segment (start 140 131) (end 140 125.75) (width 0.508) (layer "B.Cu") (net 51) (tstamp 182c9452-762c-4051-bab0-5858a4094d2c)) + (segment (start 154.5 120.25) (end 160 125.75) (width 0.508) (layer "B.Cu") (net 51) (tstamp 3100e716-e816-4760-8f13-19c449d88445)) + (segment (start 125.5 120.25) (end 140 120.25) (width 0.508) (layer "B.Cu") (net 51) (tstamp 8f861b44-1a77-4e7d-b9bd-b1b104d5b065)) + (segment (start 160 131) (end 160 125.75) (width 0.508) (layer "B.Cu") (net 51) (tstamp 9aa9acb8-c992-4e16-94a8-ede8a6ea23af)) + (segment (start 140 120.25) (end 154.5 120.25) (width 0.508) (layer "B.Cu") (net 51) (tstamp d6920382-97a5-41cd-b8c3-aaa2dbf32346)) + (segment (start 121 115.75) (end 125.5 120.25) (width 0.508) (layer "B.Cu") (net 51) (tstamp d7d8d23b-c7bc-4a99-9824-468f00aeaef2)) + (segment (start 140 125.75) (end 140 120.25) (width 0.508) (layer "B.Cu") (net 51) (tstamp ecce5ee8-6217-44fe-bc01-9648ea35dbae)) + (segment (start 105.25 94.85) (end 106.4 94.85) (width 0.508) (layer "F.Cu") (net 52) (tstamp 0b44fa66-2d20-41e6-b824-532ae94aebf2)) + (segment (start 109.225 97.5) (end 107.95 97.5) (width 0.508) (layer "F.Cu") (net 52) (tstamp 19d1a23d-d56b-4f1c-b401-263cc906c613)) + (segment (start 109.75 101.25) (end 109.225 100.725) (width 0.127) (layer "F.Cu") (net 52) (tstamp 24c85814-cde3-4766-9510-12ff56cab355)) + (segment (start 107.85 96.1) (end 107.85 97.6) (width 0.508) (layer "F.Cu") (net 52) (tstamp 297fdb77-588f-4ab6-be5e-509680ba3137)) + (segment (start 107.95 99.25) (end 107.85 99.15) (width 0.508) (layer "F.Cu") (net 52) (tstamp 3266bebf-0775-4dea-add5-0dfa3ea6538b)) + (segment (start 106.5 94.75) (end 107.85 96.1) (width 0.508) (layer "F.Cu") (net 52) (tstamp 65223296-55b3-4ab4-bb5a-8300368313ad)) + (segment (start 109.225 99.25) (end 107.95 99.25) (width 0.508) (layer "F.Cu") (net 52) (tstamp 7de524a2-85a9-4f78-8f76-51af06d544b7)) + (segment (start 107.85 99.15) (end 107.85 103.2) (width 0.508) (layer "F.Cu") (net 52) (tstamp 94f67fd2-6d17-4b94-9d44-3b87e52cae73)) + (segment (start 106.5 90.25) (end 106.4 90.15) (width 0.508) (layer "F.Cu") (net 52) (tstamp 9a53d755-4688-4901-a2dd-479526bc89db)) + (segment (start 106.4 94.85) (end 106.5 94.75) (width 0.508) (layer "F.Cu") (net 52) (tstamp ae1129f7-4cb6-4e2e-a8a5-c54966adbc35)) + (segment (start 107.95 97.5) (end 107.85 97.6) (width 0.508) (layer "F.Cu") (net 52) (tstamp bef01cdb-e148-4ef4-9770-0eab0e6d6a9f)) + (segment (start 121.675 101.25) (end 109.75 101.25) (width 0.127) (layer "F.Cu") (net 52) (tstamp c8960252-0dc8-40ab-8ca1-ed7b4a79f437)) + (segment (start 109.225 100.725) (end 109.225 99.25) (width 0.127) (layer "F.Cu") (net 52) (tstamp ca229636-6374-4a89-8476-4fd679a77b4a)) + (segment (start 106.4 90.15) (end 105.25 90.15) (width 0.508) (layer "F.Cu") (net 52) (tstamp d7ee7627-1b06-4d09-a22c-294669db31a7)) + (segment (start 107.85 97.6) (end 107.85 99.15) (width 0.508) (layer "F.Cu") (net 52) (tstamp e3e17852-e76c-40cb-9fd6-e7b7506b5c02)) + (via (at 106.5 90.25) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 52) (tstamp 3d8783fe-0d41-45ec-9f36-56c37953d96b)) + (via (at 106.5 94.75) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 52) (tstamp 8b7041e7-288a-443d-b920-73f43679995b)) + (segment (start 106 94.25) (end 106 90.75) (width 0.508) (layer "B.Cu") (net 52) (tstamp 04a5d01b-fa95-4427-9df6-15bdbe4e7287)) + (segment (start 106 90.75) (end 106.5 90.25) (width 0.508) (layer "B.Cu") (net 52) (tstamp 68882cc8-993d-4918-82a8-03dbc4f5c13f)) + (segment (start 106.5 94.75) (end 106 94.25) (width 0.508) (layer "B.Cu") (net 52) (tstamp fb2d7f2b-766f-42d1-adb1-b332ef4cbd65)) + (segment (start 152.5 86.75) (end 149.25 83.5) (width 0.127) (layer "F.Cu") (net 53) (tstamp 1ab2d2ff-24e4-4ac0-8fab-5d6decdf3b16)) + (segment (start 148.405 83.155) (end 148.405 81.15) (width 0.127) (layer "F.Cu") (net 53) (tstamp 7866eca3-4fda-4b6a-b9b3-f311f0e99a93)) + (segment (start 152.5 94.3375) (end 152.5 86.75) (width 0.127) (layer "F.Cu") (net 53) (tstamp 7d7b2164-0443-4bf2-b9bc-2f4d4d89d5d5)) + (segment (start 149.25 83.5) (end 148.75 83.5) (width 0.127) (layer "F.Cu") (net 53) (tstamp 966daf52-af0a-4278-a061-9818456be0eb)) + (segment (start 148.75 83.5) (end 148.405 83.155) (width 0.127) (layer "F.Cu") (net 53) (tstamp 9c4df23e-d158-4a00-93a9-490bdab1e13b)) + (segment (start 152 94.3375) (end 152 86.75) (width 0.127) (layer "F.Cu") (net 54) (tstamp 1eb2f219-3f5c-43b1-9da1-41e7f4611c5d)) + (segment (start 147.5 84) (end 147.135 83.635) (width 0.127) (layer "F.Cu") (net 54) (tstamp 2c6989c8-8833-4a3b-ab2c-5c65fd05ee83)) + (segment (start 147.135 83.635) (end 147.135 81.15) (width 0.127) (layer "F.Cu") (net 54) (tstamp c587eff1-ff55-48f2-b6d3-ec4d2c53e2e6)) + (segment (start 152 86.75) (end 149.25 84) (width 0.127) (layer "F.Cu") (net 54) (tstamp d5f55830-aab4-446c-a8a3-62d4891d8741)) + (segment (start 149.25 84) (end 147.5 84) (width 0.127) (layer "F.Cu") (net 54) (tstamp d8ecc6cc-f145-405e-9d2c-b9f3bbdcb38c)) + (segment (start 146.25 84.5) (end 145.865 84.115) (width 0.127) (layer "F.Cu") (net 55) (tstamp 1d087305-2972-42c5-a8e6-14eca700627c)) + (segment (start 151.5 86.75) (end 149.25 84.5) (width 0.127) (layer "F.Cu") (net 55) (tstamp 7c789f47-8344-4d51-8d7e-5801a613179a)) + (segment (start 151.5 94.3375) (end 151.5 86.75) (width 0.127) (layer "F.Cu") (net 55) (tstamp 8ff4e733-67a3-494e-8149-c11051374c5e)) + (segment (start 149.25 84.5) (end 146.25 84.5) (width 0.127) (layer "F.Cu") (net 55) (tstamp c5166bec-a251-4a5b-ab08-b4613b54229d)) + (segment (start 145.865 84.115) (end 145.865 81.15) (width 0.127) (layer "F.Cu") (net 55) (tstamp e029b6ad-ad3c-4df3-98d9-bbd1fa890e1c)) + (segment (start 145 85) (end 144.595 85.405) (width 0.127) (layer "F.Cu") (net 56) (tstamp 36ccd124-be9c-4cf9-b07f-b636d5ffa649)) + (segment (start 151 94.3375) (end 151 86.75) (width 0.127) (layer "F.Cu") (net 56) (tstamp 3d087d04-53fb-4459-86d2-a3f69774f8c8)) + (segment (start 149.25 85) (end 145 85) (width 0.127) (layer "F.Cu") (net 56) (tstamp 43641f6c-d6fb-4bd4-a72c-148d04040732)) + (segment (start 144.595 85.405) (end 144.595 88.35) (width 0.127) (layer "F.Cu") (net 56) (tstamp 52280664-292f-42f6-8db8-1bd9c70b21bb)) + (segment (start 151 86.75) (end 149.25 85) (width 0.127) (layer "F.Cu") (net 56) (tstamp 9b10215b-1171-4bf2-b6f6-e0280f02a77c)) + (segment (start 150.5 86.75) (end 149.25 85.5) (width 0.127) (layer "F.Cu") (net 57) (tstamp 0713edb0-708a-412a-9e1e-a2b4c66fd414)) + (segment (start 149.25 85.5) (end 146.25 85.5) (width 0.127) (layer "F.Cu") (net 57) (tstamp 2f5a6e78-1f71-4ec4-bf42-771da92351f3)) + (segment (start 145.865 85.885) (end 145.865 88.35) (width 0.127) (layer "F.Cu") (net 57) (tstamp 820e65ce-e4fb-4666-8846-1c81f405a642)) + (segment (start 150.5 94.3375) (end 150.5 86.75) (width 0.127) (layer "F.Cu") (net 57) (tstamp 9a0dae00-9869-47ba-be2e-7e12a5306a07)) + (segment (start 146.25 85.5) (end 145.865 85.885) (width 0.127) (layer "F.Cu") (net 57) (tstamp a4255402-1894-4d6b-9793-d0d8945e3c49)) + (segment (start 147.5 86) (end 147.135 86.365) (width 0.127) (layer "F.Cu") (net 58) (tstamp 155741fe-42a5-4376-ade1-6ca9134d8894)) + (segment (start 150 94.3375) (end 150 86.75) (width 0.127) (layer "F.Cu") (net 58) (tstamp 53ee32f6-5689-4c61-94b9-1281695f8bbd)) + (segment (start 147.135 86.365) (end 147.135 88.35) (width 0.127) (layer "F.Cu") (net 58) (tstamp 626d5fad-6fb7-4252-89dc-35b1a8708e20)) + (segment (start 149.25 86) (end 147.5 86) (width 0.127) (layer "F.Cu") (net 58) (tstamp 6ffb2387-f819-425c-b7f3-65a6f56e8db5)) + (segment (start 150 86.75) (end 149.25 86) (width 0.127) (layer "F.Cu") (net 58) (tstamp edf10c2a-8927-4590-acfd-a7a88b4c18ea)) + (segment (start 147.5875 106.75) (end 136.6625 106.75) (width 0.127) (layer "F.Cu") (net 59) (tstamp 725a4308-08a0-4ab3-a54a-e20324290817)) + (segment (start 147.5875 106.25) (end 136.6625 106.25) (width 0.127) (layer "F.Cu") (net 60) (tstamp dcfba783-78eb-4d63-b912-6bdb64d3e2c1)) + (segment (start 147.5875 105.75) (end 136.6625 105.75) (width 0.127) (layer "F.Cu") (net 61) (tstamp 6b6e094e-0d47-499f-8068-6c13a4af77f0)) + (segment (start 147.5875 105.25) (end 136.6625 105.25) (width 0.127) (layer "F.Cu") (net 62) (tstamp 0e4b6a97-4f4b-4b22-9cc9-6e6f4731bf79)) + (segment (start 147.5875 104.25) (end 146.25 104.25) (width 0.127) (layer "F.Cu") (net 63) (tstamp d3ff609d-17ac-4880-83d7-cc8e08692e93)) + (segment (start 146.25 104.25) (end 145.75 104.75) (width 0.127) (layer "F.Cu") (net 63) (tstamp d765128f-4488-4bc8-ad23-dd0adbe34c7b)) + (segment (start 145.75 104.75) (end 136.6625 104.75) (width 0.127) (layer "F.Cu") (net 63) (tstamp d7bd5be3-dba1-4e0c-aa38-cb512e655d9b)) + (segment (start 146.25 103.25) (end 145.25 104.25) (width 0.127) (layer "F.Cu") (net 64) (tstamp 5363e7d0-935f-480a-9e62-b9a099c8707a)) + (segment (start 147.5875 103.25) (end 146.25 103.25) (width 0.127) (layer "F.Cu") (net 64) (tstamp 88fbee1c-5702-4324-a344-aa103175b579)) + (segment (start 145.25 104.25) (end 136.6625 104.25) (width 0.127) (layer "F.Cu") (net 64) (tstamp afc295d3-0905-4d7f-b3fe-be341d72067a)) + (segment (start 146.25 102.75) (end 147.5875 102.75) (width 0.127) (layer "F.Cu") (net 65) (tstamp 3b0ac049-7636-43f4-9fe7-80353a74633d)) + (segment (start 136.6625 103.75) (end 145.25 103.75) (width 0.127) (layer "F.Cu") (net 65) (tstamp 67fd7eb6-30af-49b5-af7a-4cb803bee93b)) + (segment (start 145.25 103.75) (end 146.25 102.75) (width 0.127) (layer "F.Cu") (net 65) (tstamp c1e6d435-8893-4931-8b36-c755bfad106c)) + (segment (start 145.25 103.25) (end 136.6625 103.25) (width 0.127) (layer "F.Cu") (net 66) (tstamp b1fc05dc-9240-44c4-92a9-633e6b2099b6)) + (segment (start 146.25 102.25) (end 145.25 103.25) (width 0.127) (layer "F.Cu") (net 66) (tstamp dcad242e-1161-478e-b7e1-8ecf80e19566)) + (segment (start 147.5875 102.25) (end 146.25 102.25) (width 0.127) (layer "F.Cu") (net 66) (tstamp e60edf71-ad2f-40c8-88e0-4505955cce14)) + (segment (start 146.25 101.75) (end 147.5875 101.75) (width 0.127) (layer "F.Cu") (net 67) (tstamp 2becfc0d-c4d2-4323-ab65-aa2b6b68b4f7)) + (segment (start 136.6625 102.75) (end 145.25 102.75) (width 0.127) (layer "F.Cu") (net 67) (tstamp 4322cb13-dc96-47c2-b06b-2d7104a8ef02)) + (segment (start 145.25 102.75) (end 146.25 101.75) (width 0.127) (layer "F.Cu") (net 67) (tstamp 60e3d398-bc5f-4609-8292-9041d05b933c)) + (segment (start 143.5 101.25) (end 147.5875 101.25) (width 0.127) (layer "F.Cu") (net 68) (tstamp 1bd9e2be-2008-4712-99c8-4adf39b41f5f)) + (segment (start 135.25 98.5) (end 135.5 98.25) (width 0.127) (layer "F.Cu") (net 68) (tstamp 4b3e83ad-be63-4859-a0d5-4f5635c3a7a0)) + (segment (start 135.25 99.8375) (end 135.25 98.5) (width 0.127) (layer "F.Cu") (net 68) (tstamp b39d45b8-1373-4a27-b1b6-81283302ce06)) + (segment (start 140.5 98.25) (end 143.5 101.25) (width 0.127) (layer "F.Cu") (net 68) (tstamp c696b27c-7e9e-4c29-97aa-a362d47eff8f)) + (segment (start 135.5 98.25) (end 140.5 98.25) (width 0.127) (layer "F.Cu") (net 68) (tstamp ebb19c5b-ce3e-47a3-833a-2980c00aea18)) + (segment (start 135.25352 97.99648) (end 140.74648 97.99648) (width 0.127) (layer "F.Cu") (net 69) (tstamp 36620ff1-884f-4773-a29e-caf190423b77)) + (segment (start 134.75 98.5) (end 135.25352 97.99648) (width 0.127) (layer "F.Cu") (net 69) (tstamp 4810b1b1-b69d-46c2-a9f3-2848ffc38b70)) + (segment (start 140.74648 97.99648) (end 143.5 100.75) (width 0.127) (layer "F.Cu") (net 69) (tstamp 67f10f9f-abb7-4f2e-9c8d-449ecc485482)) + (segment (start 143.5 100.75) (end 147.5875 100.75) (width 0.127) (layer "F.Cu") (net 69) (tstamp d25a7069-0293-4085-837d-aac29ec6eaef)) + (segment (start 134.75 99.8375) (end 134.75 98.5) (width 0.127) (layer "F.Cu") (net 69) (tstamp da5ab63a-60e4-4e9d-b103-371d5cb69b97)) + (segment (start 155.5 119.25) (end 161.25 125) (width 0.127) (layer "F.Cu") (net 70) (tstamp 1723719d-99a6-477e-995d-589945cdd526)) + (segment (start 155.5 115.6625) (end 155.5 119.25) (width 0.127) (layer "F.Cu") (net 70) (tstamp 7c364d8d-8483-45e5-9d26-29e85a0c649e)) + (via (at 161.25 125) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 79330170-24a4-4d49-a14f-3021b3782e27)) + (segment (start 162.5 131) (end 162.5 126.25) (width 0.127) (layer "B.Cu") (net 70) (tstamp 75eca2ed-9384-4fbb-acc3-854b65b2bd32)) + (segment (start 162.5 126.25) (end 161.25 125) (width 0.127) (layer "B.Cu") (net 70) (tstamp 7c9de9cf-dfda-4afb-af23-94cfb7055116)) + (segment (start 156.25 120.717064) (end 156.25 125) (width 0.127) (layer "F.Cu") (net 71) (tstamp 21669a22-4b06-45da-9d53-6c21fda21a18)) + (segment (start 154.5 115.6625) (end 154.5 118.967064) (width 0.127) (layer "F.Cu") (net 71) (tstamp be4dbb00-e5b9-4b1f-92a6-cc637830fa20)) + (segment (start 154.5 118.967064) (end 156.25 120.717064) (width 0.127) (layer "F.Cu") (net 71) (tstamp e9e6748c-33f8-4e49-a85f-72947d33481f)) + (via (at 156.25 125) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 71) (tstamp 8fc3f846-f2bb-48e0-90fd-271236dcaacb)) + (segment (start 157.5 126.25) (end 157.5 131) (width 0.127) (layer "B.Cu") (net 71) (tstamp 7cce64e4-36a8-4567-b586-2b31f3047171)) + (segment (start 157.5 126.25) (end 156.25 125) (width 0.127) (layer "B.Cu") (net 71) (tstamp 8f375703-e755-44a1-8f3a-31e7cb9148bd)) + (segment (start 153 111.25) (end 152.5 111.75) (width 0.127) (layer "F.Cu") (net 72) (tstamp 1d4a251a-1ba9-47b3-8c07-659f0cf91b4b)) + (segment (start 144.48592 111.76408) (end 144.48592 119.405548) (width 0.127) (layer "F.Cu") (net 72) (tstamp 2db69d5b-cb22-4f94-8445-3892dc152cab)) + (segment (start 152.5 111.75) (end 149.5 111.75) (width 0.127) (layer "F.Cu") (net 72) (tstamp 3f2e4813-8d42-4e1a-9f95-8a46ee32f298)) + (segment (start 156.475 85.725) (end 156.5 85.75) (width 0.127) (layer "F.Cu") (net 72) (tstamp 5f68128f-d82d-47ed-86b6-c04c8ae2b3e4)) + (segment (start 145 111.25) (end 144.48592 111.76408) (width 0.127) (layer "F.Cu") (net 72) (tstamp 6499845a-3b04-4d89-87ec-1ff7b3864d3b)) + (segment (start 147.5875 111.25) (end 145 111.25) (width 0.127) (layer "F.Cu") (net 72) (tstamp 85542706-fb8d-429d-bfb0-a0de9af0def2)) + (segment (start 149 111.25) (end 147.5875 111.25) (width 0.127) (layer "F.Cu") (net 72) (tstamp 9b5c0439-3104-4c75-9cf4-c571ee158f2c)) + (segment (start 135.75352 122.99648) (end 133.75 125) (width 0.127) (layer "F.Cu") (net 72) (tstamp a883e42a-38be-47f5-a084-eb868e29f23b)) + (segment (start 149.5 111.75) (end 149 111.25) (width 0.127) (layer "F.Cu") (net 72) (tstamp af834ceb-6d00-44d1-8abc-f55a9c3254c4)) + (segment (start 140.894988 122.99648) (end 135.75352 122.99648) (width 0.127) (layer "F.Cu") (net 72) (tstamp c9ad769d-213d-446e-a757-ea421c7a63bd)) + (segment (start 144.48592 119.405548) (end 140.894988 122.99648) (width 0.127) (layer "F.Cu") (net 72) (tstamp d6e613b5-1a13-4b6e-9bb0-3c219c9b4f44)) + (segment (start 155.1375 85.725) (end 156.475 85.725) (width 0.127) (layer "F.Cu") (net 72) (tstamp de1cc8c8-c8e3-451f-bd36-11ac1050d1d4)) + (via (at 156.5 85.75) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 72) (tstamp 1f694030-7fac-444f-bce2-f872400c5f74)) + (via (at 133.75 125) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 72) (tstamp d7539763-d7e6-413c-b9ec-1c105a5c16f1)) + (via (at 153 111.25) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 72) (tstamp f50bb398-27ad-40b2-bab5-d6115f1aa92e)) + (segment (start 153.99296 90.742959) (end 153.99296 88.25704) (width 0.127) (layer "B.Cu") (net 72) (tstamp 116c9e44-c622-430b-b3c9-66432c66315d)) + (segment (start 132.5 131) (end 132.5 126.5) (width 0.127) (layer "B.Cu") (net 72) (tstamp 417c3e50-16a5-40fa-bf11-3c7f78476020)) + (segment (start 132.5 126.5) (end 132.5 126.25) (width 0.127) (layer "B.Cu") (net 72) (tstamp 4b6d13ed-1578-47c3-a8a7-e0612bdd028d)) + (segment (start 132.5 126.25) (end 133.75 125) (width 0.127) (layer "B.Cu") (net 72) (tstamp 53d3a91e-6822-4a21-955d-75a6d2e15ccc)) + (segment (start 153 111.25) (end 155 109.25) (width 0.127) (layer "B.Cu") (net 72) (tstamp 5c3c383e-0fe8-4616-9389-45f3facccd84)) + (segment (start 155 109.25) (end 155 91.75) (width 0.127) (layer "B.Cu") (net 72) (tstamp e0c37a6d-5e22-486d-9677-1de26685b41f)) + (segment (start 153.99296 88.25704) (end 156.5 85.75) (width 0.127) (layer "B.Cu") (net 72) (tstamp ea75e369-36db-4fca-85f9-6d8143d29570)) + (segment (start 155 91.75) (end 153.99296 90.742959) (width 0.127) (layer "B.Cu") (net 72) (tstamp f804934d-388e-4286-8216-e2b31c58002d)) + (segment (start 144.73944 112.26056) (end 144.73944 119.51056) (width 0.127) (layer "F.Cu") (net 73) (tstamp 20ee78df-f883-4f9b-8aa4-ba319534b269)) + (segment (start 144.73944 119.51056) (end 141 123.25) (width 0.127) (layer "F.Cu") (net 73) (tstamp 2f7be62c-1ed2-4b10-b594-8a2cd071a683)) + (segment (start 141 123.25) (end 136.75 123.25) (width 0.127) (layer "F.Cu") (net 73) (tstamp 4b0c498d-1553-4ac7-8576-816a457e560a)) + (segment (start 135 125) (end 135 131) (width 0.127) (layer "F.Cu") (net 73) (tstamp 72e9ed89-f322-49fa-97c8-f9f9a0f8430c)) + (segment (start 147.5875 111.75) (end 145.25 111.75) (width 0.127) (layer "F.Cu") (net 73) (tstamp a95f08b5-aa2f-4e04-9cfb-32628c7fbbb1)) + (segment (start 145.25 111.75) (end 144.73944 112.26056) (width 0.127) (layer "F.Cu") (net 73) (tstamp e6744aff-5352-471b-8d7e-aac71297d805)) + (segment (start 136.75 123.25) (end 135 125) (width 0.127) (layer "F.Cu") (net 73) (tstamp f54c317c-154d-46e0-9790-22083df308f3)) + (segment (start 144.2324 119.300536) (end 140.789976 122.74296) (width 0.127) (layer "F.Cu") (net 74) (tstamp 09f50597-cb74-4833-9334-54bb279d07a7)) + (segment (start 147.5875 109.75) (end 145.75 109.75) (width 0.127) (layer "F.Cu") (net 74) (tstamp 0d5c0195-e48e-4581-ba90-acc01eca7361)) + (segment (start 132.5 125) (end 132.5 131) (width 0.127) (layer "F.Cu") (net 74) (tstamp 1e6644c7-d14e-46bc-ad65-f68527226dc2)) + (segment (start 134.75704 122.74296) (end 132.5 125) (width 0.127) (layer "F.Cu") (net 74) (tstamp 3a0d4a1c-7eb5-446a-884c-676b7ccd1149)) + (segment (start 145.75 109.75) (end 144.2324 111.2676) (width 0.127) (layer "F.Cu") (net 74) (tstamp 803cb213-6ea5-42cf-b57e-ae0800d2cfd3)) + (segment (start 144.2324 111.2676) (end 144.2324 119.300536) (width 0.127) (layer "F.Cu") (net 74) (tstamp d0073ba6-fffe-40f3-8bfb-979928866635)) + (segment (start 140.789976 122.74296) (end 134.75704 122.74296) (width 0.127) (layer "F.Cu") (net 74) (tstamp e0653644-2034-4474-ae1b-cdc3d62fbe35)) + (segment (start 168.9125 96.75) (end 190.6 96.75) (width 0.127) (layer "F.Cu") (net 75) (tstamp 696d63e9-301f-41dd-89ab-e2831fce97e0)) + (segment (start 194.85 101) (end 194.85 102.25) (width 0.127) (layer "F.Cu") (net 75) (tstamp 887fec78-b7c6-4389-b3f3-ca2be7bae5b3)) + (segment (start 190.6 96.75) (end 194.85 101) (width 0.127) (layer "F.Cu") (net 75) (tstamp ed4c51ce-0b78-4c79-9ca5-49f3fc9e70df)) + (segment (start 189.25352 97.00352) (end 193.25 101) (width 0.127) (layer "F.Cu") (net 76) (tstamp 54c6e21f-db09-4e66-a68f-ea2a1debe8ef)) + (segment (start 193.25 101) (end 193.25 102.25) (width 0.127) (layer "F.Cu") (net 76) (tstamp 6fd6a84f-2f8c-44db-aa4d-df45741e562d)) + (segment (start 168.9125 97.25) (end 170.25 97.25) (width 0.127) (layer "F.Cu") (net 76) (tstamp 720879cc-ea21-435e-a16c-68cbabdd1783)) + (segment (start 170.49648 97.00352) (end 189.25352 97.00352) (width 0.127) (layer "F.Cu") (net 76) (tstamp a98bb03e-f6b6-42e6-96b7-aab4b67118e9)) + (segment (start 170.25 97.25) (end 170.49648 97.00352) (width 0.127) (layer "F.Cu") (net 76) (tstamp b63224e8-0d1f-447d-adb8-cb1d2804523b)) + (segment (start 192.45 101) (end 192.45 102.25) (width 0.127) (layer "F.Cu") (net 77) (tstamp 16bf37f0-7cd3-4464-b423-602850f360c4)) + (segment (start 168.9125 97.75) (end 170.25704 97.75) (width 0.127) (layer "F.Cu") (net 77) (tstamp 1c9a8086-08c0-462d-81eb-66621a8e588f)) + (segment (start 170.25704 97.75) (end 170.75 97.25704) (width 0.127) (layer "F.Cu") (net 77) (tstamp 3a05b605-2825-43cb-a26e-350644d2cd0e)) + (segment (start 170.75 97.25704) (end 188.70704 97.25704) (width 0.127) (layer "F.Cu") (net 77) (tstamp 7fcff273-311f-4651-a947-640ca386e129)) + (segment (start 188.70704 97.25704) (end 192.45 101) (width 0.127) (layer "F.Cu") (net 77) (tstamp a3a16ec1-a73c-4f5a-98c1-d20ed76d3cc7)) + (segment (start 190.85 102.25) (end 190.85 101) (width 0.127) (layer "F.Cu") (net 78) (tstamp 0b3e695a-152f-4813-9eb3-0254c7c2b5da)) + (segment (start 190.85 101) (end 187.36056 97.51056) (width 0.127) (layer "F.Cu") (net 78) (tstamp 4be077f7-dcd5-4fb0-b2ac-72ef7833827a)) + (segment (start 187.36056 97.51056) (end 171 97.51056) (width 0.127) (layer "F.Cu") (net 78) (tstamp 609912c9-b92f-4cda-9245-4d83efab8548)) + (segment (start 171 97.51056) (end 170.26056 98.25) (width 0.127) (layer "F.Cu") (net 78) (tstamp c983c112-a5a4-439e-bc58-bc5b81603d7b)) + (segment (start 170.26056 98.25) (end 168.9125 98.25) (width 0.127) (layer "F.Cu") (net 78) (tstamp e8590bcc-483b-4bb8-8543-02d560445e32)) + (segment (start 186.81408 97.76408) (end 190.05 101) (width 0.127) (layer "F.Cu") (net 79) (tstamp 4189e94e-4fef-4c4a-8aa2-84f58867f101)) + (segment (start 171.25 97.76408) (end 186.81408 97.76408) (width 0.127) (layer "F.Cu") (net 79) (tstamp a513fcb8-62b4-480e-9629-c86ca5529089)) + (segment (start 168.9125 98.75) (end 170.26408 98.75) (width 0.127) (layer "F.Cu") (net 79) (tstamp d3538377-0138-40f0-ac23-deb5cc4b508f)) + (segment (start 170.26408 98.75) (end 171.25 97.76408) (width 0.127) (layer "F.Cu") (net 79) (tstamp e070e470-4972-4f29-978a-627c6e079628)) + (segment (start 190.05 101) (end 190.05 102.25) (width 0.127) (layer "F.Cu") (net 79) (tstamp ff429d28-9b9d-4f7a-bb3b-47c5bb65e34c)) + (segment (start 172.4824 98.0176) (end 185.4676 98.0176) (width 0.127) (layer "F.Cu") (net 80) (tstamp 1195c257-234b-4168-bd46-8834dbadfdfc)) + (segment (start 185.4676 98.0176) (end 188.45 101) (width 0.127) (layer "F.Cu") (net 80) (tstamp 1df768a3-9931-4694-b297-4f91d33abf45)) + (segment (start 188.45 101) (end 188.45 102.25) (width 0.127) (layer "F.Cu") (net 80) (tstamp a4bb89f5-5bb0-4b92-8567-a56dd9d3d71e)) + (segment (start 168.9125 100.25) (end 170.25 100.25) (width 0.127) (layer "F.Cu") (net 80) (tstamp ce4fac34-1bd7-4d54-848e-d501fe57d4fa)) + (segment (start 170.25 100.25) (end 172.4824 98.0176) (width 0.127) (layer "F.Cu") (net 80) (tstamp edd243cb-6fc7-4648-b703-86daa156c749)) + (segment (start 172.75 98.27112) (end 170.27112 100.75) (width 0.127) (layer "F.Cu") (net 81) (tstamp 0e41e171-1c55-426a-be06-8e11cd3f48d4)) + (segment (start 187.65 102.25) (end 187.65 101) (width 0.127) (layer "F.Cu") (net 81) (tstamp 2c168f1c-0ef7-4381-8609-0078d061cd4f)) + (segment (start 187.65 101) (end 184.92112 98.27112) (width 0.127) (layer "F.Cu") (net 81) (tstamp 42e96df3-a22e-4e16-9291-4142cc8852de)) + (segment (start 170.27112 100.75) (end 168.9125 100.75) (width 0.127) (layer "F.Cu") (net 81) (tstamp 77e65ee3-7982-4e2d-abc5-a8a4274cf11d)) + (segment (start 184.92112 98.27112) (end 172.75 98.27112) (width 0.127) (layer "F.Cu") (net 81) (tstamp d78020e7-2570-42e9-bb69-390c0eadcc05)) + (segment (start 170.25 101.25) (end 168.9125 101.25) (width 0.127) (layer "F.Cu") (net 82) (tstamp 211c92d8-4213-48bf-81e5-e3e469bafde3)) + (segment (start 172.97536 98.52464) (end 170.25 101.25) (width 0.127) (layer "F.Cu") (net 82) (tstamp 26f85f2e-4d03-49b1-8374-83293c4c50ee)) + (segment (start 183.57464 98.52464) (end 172.97536 98.52464) (width 0.127) (layer "F.Cu") (net 82) (tstamp abcecda9-13cd-44bc-a8c6-0eb426190537)) + (segment (start 186.05 102.25) (end 186.05 101) (width 0.127) (layer "F.Cu") (net 82) (tstamp be5fd1f5-3a63-49df-966a-164eb879d817)) + (segment (start 186.05 101) (end 183.57464 98.52464) (width 0.127) (layer "F.Cu") (net 82) (tstamp c388ac4b-2ba3-4253-960d-40af173a6759)) + (segment (start 168.9125 113.25) (end 170.25 113.25) (width 0.127) (layer "F.Cu") (net 83) (tstamp 0285a284-73a4-4d55-bcf6-36e2682dc20e)) + (segment (start 183.82816 110.27816) (end 186.05 112.5) (width 0.127) (layer "F.Cu") (net 83) (tstamp 4092ff0f-0465-4b92-b2b6-8f94e8255a0f)) + (segment (start 173.22184 110.27816) (end 183.82816 110.27816) (width 0.127) (layer "F.Cu") (net 83) (tstamp 71e7a388-6152-4245-9c74-06c641bd9b9c)) + (segment (start 170.25 113.25) (end 173.22184 110.27816) (width 0.127) (layer "F.Cu") (net 83) (tstamp edfe2fb6-9abb-4d4b-9866-348dc18ed1e9)) + (segment (start 186.05 112.5) (end 186.05 113.75) (width 0.127) (layer "F.Cu") (net 83) (tstamp fe74f5de-7723-482f-a83a-100fd5f47eb5)) + (segment (start 185.17464 110.02464) (end 187.65 112.5) (width 0.127) (layer "F.Cu") (net 84) (tstamp 649be00e-e896-494c-8f04-d9ffdf70d12a)) + (segment (start 187.65 112.5) (end 187.65 113.75) (width 0.127) (layer "F.Cu") (net 84) (tstamp 725e212f-d653-4189-9d64-394d036de9f3)) + (segment (start 170.25 112.75) (end 172.97536 110.02464) (width 0.127) (layer "F.Cu") (net 84) (tstamp 7a051655-bcc8-44fb-bccb-881644f00917)) + (segment (start 172.97536 110.02464) (end 185.17464 110.02464) (width 0.127) (layer "F.Cu") (net 84) (tstamp 9c826247-34c4-459d-a035-11f579f3b63c)) + (segment (start 168.9125 112.75) (end 170.25 112.75) (width 0.127) (layer "F.Cu") (net 84) (tstamp e3769a99-4725-4120-9708-45ed4a68f568)) + (segment (start 170.25 112.25) (end 172.72888 109.77112) (width 0.127) (layer "F.Cu") (net 85) (tstamp 91ff6677-36d9-4445-934a-ed18d2f95c38)) + (segment (start 168.9125 112.25) (end 170.25 112.25) (width 0.127) (layer "F.Cu") (net 85) (tstamp b4abe577-1b91-49b5-acbc-9573f7529726)) + (segment (start 172.72888 109.77112) (end 185.72112 109.77112) (width 0.127) (layer "F.Cu") (net 85) (tstamp cf7d1438-4178-4543-9a85-7d8a43a94230)) + (segment (start 188.45 112.5) (end 188.45 113.75) (width 0.127) (layer "F.Cu") (net 85) (tstamp db682fb5-b456-4add-8a45-1d849d5f6ae9)) + (segment (start 185.72112 109.77112) (end 188.45 112.5) (width 0.127) (layer "F.Cu") (net 85) (tstamp f307460f-3575-426a-b7f6-6a162630a933)) + (segment (start 187.0676 109.5176) (end 190.05 112.5) (width 0.127) (layer "F.Cu") (net 86) (tstamp bf1ccb55-e678-4f16-a1a2-dccbad7c6e6b)) + (segment (start 172.4824 109.5176) (end 187.0676 109.5176) (width 0.127) (layer "F.Cu") (net 86) (tstamp c6ec9a9e-bf29-4bda-9940-1b12f4b117ef)) + (segment (start 170.25 111.75) (end 172.4824 109.5176) (width 0.127) (layer "F.Cu") (net 86) (tstamp d1e5cc58-f775-4e79-b247-05d95d435163)) + (segment (start 190.05 112.5) (end 190.05 113.75) (width 0.127) (layer "F.Cu") (net 86) (tstamp dd7447c9-746c-4b04-b5ec-a5fea214f379)) + (segment (start 168.9125 111.75) (end 170.25 111.75) (width 0.127) (layer "F.Cu") (net 86) (tstamp ee25cc59-a763-4891-93ec-94d0737a5abd)) + (segment (start 190.85 113.75) (end 190.85 112.5) (width 0.127) (layer "F.Cu") (net 87) (tstamp 22bd2c81-adce-4b38-a8b7-a3be9a11d7a4)) + (segment (start 190.85 112.5) (end 187.61408 109.26408) (width 0.127) (layer "F.Cu") (net 87) (tstamp 71fc3601-0970-4e65-bb0c-c6a98152502b)) + (segment (start 170.25 111.25) (end 168.9125 111.25) (width 0.127) (layer "F.Cu") (net 87) (tstamp 939d7fe4-6ced-4741-b4a6-26952c9b321e)) + (segment (start 172.23592 109.26408) (end 170.25 111.25) (width 0.127) (layer "F.Cu") (net 87) (tstamp baae9c54-5ff7-4628-8d39-d6f1d1826c96)) + (segment (start 187.61408 109.26408) (end 172.23592 109.26408) (width 0.127) (layer "F.Cu") (net 87) (tstamp f4afa1dc-8b76-4eb1-ba40-c19070c7416a)) + (segment (start 188.96056 109.01056) (end 192.45 112.5) (width 0.127) (layer "F.Cu") (net 88) (tstamp 115964be-734b-4b40-9343-369aad62e517)) + (segment (start 192.45 112.5) (end 192.45 113.75) (width 0.127) (layer "F.Cu") (net 88) (tstamp 19fa00e1-76f4-44fb-b78d-d891a221648c)) + (segment (start 170.98944 109.01056) (end 188.96056 109.01056) (width 0.127) (layer "F.Cu") (net 88) (tstamp 63353403-44d0-44c2-ab54-8d5d969b0923)) + (segment (start 168.9125 109.75) (end 170.25 109.75) (width 0.127) (layer "F.Cu") (net 88) (tstamp 977eb6f7-c65d-44cf-8804-fd742d3c66ec)) + (segment (start 170.25 109.75) (end 170.98944 109.01056) (width 0.127) (layer "F.Cu") (net 88) (tstamp f03c996f-4a25-4e7c-b9b6-728fda0bfa2f)) + (segment (start 168.9125 109.25) (end 170.25 109.25) (width 0.127) (layer "F.Cu") (net 89) (tstamp 2e3fe632-3a2f-4e38-ae4c-c2085856b4dc)) + (segment (start 170.74296 108.75704) (end 189.50704 108.75704) (width 0.127) (layer "F.Cu") (net 89) (tstamp 6b51fd22-67cb-4efe-b8b9-517da5097b32)) + (segment (start 193.25 112.5) (end 193.25 113.75) (width 0.127) (layer "F.Cu") (net 89) (tstamp 7823f899-6046-44be-b40c-d2c47ed1679f)) + (segment (start 170.25 109.25) (end 170.74296 108.75704) (width 0.127) (layer "F.Cu") (net 89) (tstamp 95cdeb61-cce7-4240-b2c9-788afef0a03b)) + (segment (start 189.50704 108.75704) (end 193.25 112.5) (width 0.127) (layer "F.Cu") (net 89) (tstamp e9607d22-5f49-4f25-b956-96ac12274a8a)) + (segment (start 170.49648 108.50352) (end 190.85352 108.50352) (width 0.127) (layer "F.Cu") (net 90) (tstamp 44815245-e981-4665-8f25-397cbb2203c5)) + (segment (start 194.85 112.5) (end 194.85 113.75) (width 0.127) (layer "F.Cu") (net 90) (tstamp 544d2651-7a98-4449-ae4d-8b59374d6e08)) + (segment (start 168.9125 108.75) (end 170.25 108.75) (width 0.127) (layer "F.Cu") (net 90) (tstamp b953fbb8-3927-4153-a8c2-e17d14e72814)) + (segment (start 190.85352 108.50352) (end 194.85 112.5) (width 0.127) (layer "F.Cu") (net 90) (tstamp bef1a6f9-5e4f-40ea-af07-e267ca6ff186)) + (segment (start 170.25 108.75) (end 170.49648 108.50352) (width 0.127) (layer "F.Cu") (net 90) (tstamp f414a468-9b29-4ab4-842c-602cb9340fd6)) + (segment (start 144.25 96.75) (end 144.75 97.25) (width 0.127) (layer "F.Cu") (net 91) (tstamp 030ba4da-5efa-49f0-adb3-eb3d1b31402c)) + (segment (start 144.25 93.25) (end 144.25 96.75) (width 0.127) (layer "F.Cu") (net 91) (tstamp 1584a658-d2a3-4026-a6db-834f4e56afd9)) + (segment (start 144.75 97.25) (end 147.5875 97.25) (width 0.127) (layer "F.Cu") (net 91) (tstamp 20b162fe-8a60-4c95-9069-2201ba4298ec)) + (segment (start 141.2 92.8) (end 143.8 92.8) (width 0.127) (layer "F.Cu") (net 91) (tstamp a63a4473-0b8a-4ab5-a2cf-6537532f1e15)) + (segment (start 143.8 92.8) (end 144.25 93.25) (width 0.127) (layer "F.Cu") (net 91) (tstamp d6692d1e-f116-4879-851f-130b86ec05b4)) + (segment (start 160.5 93.22867) (end 160.5 94.3375) (width 0.127) (layer "F.Cu") (net 92) (tstamp 675a5e9c-512d-4c46-b1d9-8e21c2f015db)) + (segment (start 162.98592 90.74275) (end 160.5 93.22867) (width 0.127) (layer "F.Cu") (net 92) (tstamp 93108d6f-e9b1-4f78-8267-4f4e0aad5d18)) + (segment (start 160.8625 87.675) (end 161.675 87.675) (width 0.127) (layer "F.Cu") (net 92) (tstamp 9a42740a-6f87-41f3-9068-39c484db9bd1)) + (segment (start 161.675 87.675) (end 162.98592 88.98592) (width 0.127) (layer "F.Cu") (net 92) (tstamp a6177261-f9f7-4732-8ebb-0128ce82b37d)) + (segment (start 162.98592 88.98592) (end 162.98592 90.74275) (width 0.127) (layer "F.Cu") (net 92) (tstamp ef8d2e8e-d863-47f6-843b-e79a377ac39d)) + (segment (start 163.49296 87.24296) (end 161.975 85.725) (width 0.127) (layer "F.Cu") (net 93) (tstamp 401fd579-5266-46c9-93b3-f91df02f7dd1)) + (segment (start 163.49296 90.952774) (end 163.49296 87.24296) (width 0.127) (layer "F.Cu") (net 93) (tstamp 7d3fe398-5b5e-4935-a732-69e3cd64892c)) + (segment (start 161.975 85.725) (end 160.8625 85.725) (width 0.127) (layer "F.Cu") (net 93) (tstamp b911b067-2598-40a2-b123-d295570c6a09)) + (segment (start 161.5 92.945734) (end 163.49296 90.952774) (width 0.127) (layer "F.Cu") (net 93) (tstamp c48dd31d-2934-4629-8db3-3a93282b8afa)) + (segment (start 161.5 94.3375) (end 161.5 92.945734) (width 0.127) (layer "F.Cu") (net 93) (tstamp fd1375d0-f732-4f17-9651-f44dc367e14d)) + (segment (start 162.75 85.75) (end 163.74648 86.74648) (width 0.127) (layer "F.Cu") (net 94) (tstamp 585296cb-e094-4f17-bfc6-74c83ab6fe77)) + (segment (start 163.74648 86.74648) (end 163.74648 91.057786) (width 0.127) (layer "F.Cu") (net 94) (tstamp 60a1a820-fe90-4d68-aa59-d369fee9cdca)) + (segment (start 162.75 87.25) (end 161.875 86.375) (width 0.127) (layer "F.Cu") (net 94) (tstamp 6b96e7d6-94bf-464e-9118-460cb0c730db)) + (segment (start 161.875 86.375) (end 160.8625 86.375) (width 0.127) (layer "F.Cu") (net 94) (tstamp 85c7f0e9-5846-413f-bfe4-68f6c57cc3b5)) + (segment (start 163.74648 91.057786) (end 162 92.804266) (width 0.127) (layer "F.Cu") (net 94) (tstamp eea52f35-514a-45ac-b6ae-364fc6bebdb7)) + (segment (start 162 92.804266) (end 162 94.3375) (width 0.127) (layer "F.Cu") (net 94) (tstamp f6e686dd-cd7a-484d-81fa-e828178c755a)) + (via (at 162.75 87.25) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 94) (tstamp 3ee374db-1849-40a2-b46b-e3d1ec9142e1)) + (via (at 162.75 85.75) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 94) (tstamp 8d9776f8-f209-4991-969b-fdbfe5e205ab)) + (segment (start 163.5 88) (end 162.75 87.25) (width 0.127) (layer "B.Cu") (net 94) (tstamp 8633769d-2db5-4ae5-8a98-b91085e05a01)) + (segment (start 168.925 88) (end 163.5 88) (width 0.127) (layer "B.Cu") (net 94) (tstamp cc50152f-2c56-4900-8603-c12a9cfd9fac)) + (segment (start 162.75 85.75) (end 162.75 87.25) (width 0.127) (layer "B.Cu") (net 94) (tstamp e2303f67-502a-4fea-9f13-65fc5a89eeed)) + (segment (start 160.8625 85.075) (end 162.825 85.075) (width 0.127) (layer "F.Cu") (net 95) (tstamp 5bd7bffb-f283-4912-80b6-e72464fd6968)) + (segment (start 162.825 85.075) (end 164 86.25) (width 0.127) (layer "F.Cu") (net 95) (tstamp 68daffa6-ae8b-409f-ba0c-d97b64264d8d)) + (segment (start 163 92.162798) (end 163 94.3375) (width 0.127) (layer "F.Cu") (net 95) (tstamp 81538dd4-90f5-4c2d-8f4c-cb59d1511be5)) + (segment (start 164 86.25) (end 164 91.162798) (width 0.127) (layer "F.Cu") (net 95) (tstamp c7c8e4c9-35ad-4f4b-8ee7-1e08fb5d36e6)) + (segment (start 164 91.162798) (end 163 92.162798) (width 0.127) (layer "F.Cu") (net 95) (tstamp d5ccca07-67bf-47ae-8531-45fee3efaa41)) + (segment (start 168.9125 101.75) (end 170.25 101.75) (width 0.127) (layer "F.Cu") (net 96) (tstamp 1d821cc3-1107-4629-aff5-ee7c328d6d09)) + (segment (start 170.25 101.75) (end 173.22184 98.77816) (width 0.127) (layer "F.Cu") (net 96) (tstamp 3131bd79-d526-42ec-a77c-d8e6b81b87c4)) + (segment (start 182.22816 98.77816) (end 184.45 101) (width 0.127) (layer "F.Cu") (net 96) (tstamp 3c57e760-549d-4843-8a1a-867dc584785f)) + (segment (start 173.22184 98.77816) (end 182.22816 98.77816) (width 0.127) (layer "F.Cu") (net 96) (tstamp 40ff5cba-3a18-4215-afe1-5c5f5e4448a1)) + (segment (start 184.45 101) (end 184.45 102.25) (width 0.127) (layer "F.Cu") (net 96) (tstamp 9b216e9c-f7e2-4089-a7b6-9000fcdf02d0)) + (segment (start 183.65 101) (end 183.65 102.25) (width 0.127) (layer "F.Cu") (net 97) (tstamp 4e0dcba9-afb3-4f96-9b4c-bbb370475317)) + (segment (start 168.9125 102.25) (end 170.25 102.25) (width 0.127) (layer "F.Cu") (net 97) (tstamp 4f7c8351-0e5c-4c3e-8ba1-150d698fe837)) + (segment (start 170.25 102.25) (end 173.46832 99.03168) (width 0.127) (layer "F.Cu") (net 97) (tstamp 9fcc7f32-6e30-45eb-9864-4ee15ed54a50)) + (segment (start 181.68168 99.03168) (end 183.65 101) (width 0.127) (layer "F.Cu") (net 97) (tstamp cc6793e6-733a-4721-93c6-dfe3278a15e9)) + (segment (start 173.46832 99.03168) (end 181.68168 99.03168) (width 0.127) (layer "F.Cu") (net 97) (tstamp e7f6b96b-c8bd-47d8-bc85-c5e292a0ddab)) + (segment (start 181.1352 99.2852) (end 182.85 101) (width 0.127) (layer "F.Cu") (net 98) (tstamp 178e0752-d77e-4e11-96c2-6d67dacb1e61)) + (segment (start 173.7148 99.2852) (end 181.1352 99.2852) (width 0.127) (layer "F.Cu") (net 98) (tstamp 1ec3438e-4661-4344-baee-0961ac716b52)) + (segment (start 182.85 101) (end 182.85 102.25) (width 0.127) (layer "F.Cu") (net 98) (tstamp 9bde7565-24ec-4f56-b53c-aa287d05f52e)) + (segment (start 168.9125 102.75) (end 170.25 102.75) (width 0.127) (layer "F.Cu") (net 98) (tstamp d2df172c-38bf-47ea-9ee5-a4d942be1f93)) + (segment (start 170.25 102.75) (end 173.7148 99.2852) (width 0.127) (layer "F.Cu") (net 98) (tstamp fd4c2a4a-7fde-4454-87dc-12d974de9202)) + (segment (start 170.25 103.25) (end 173.96128 99.53872) (width 0.127) (layer "F.Cu") (net 99) (tstamp 0b99d9c0-4ec5-4f0f-848f-3f75dbe08cfb)) + (segment (start 173.96128 99.53872) (end 180.58872 99.53872) (width 0.127) (layer "F.Cu") (net 99) (tstamp 8830c2ce-ecc3-4b27-a0eb-230502aca6ae)) + (segment (start 182.05 101) (end 182.05 102.25) (width 0.127) (layer "F.Cu") (net 99) (tstamp 9f183a5b-f2e2-4a50-bb8c-231c2df9ff5b)) + (segment (start 168.9125 103.25) (end 170.25 103.25) (width 0.127) (layer "F.Cu") (net 99) (tstamp be7eb725-d8df-414e-99b1-33adb993e4a7)) + (segment (start 180.58872 99.53872) (end 182.05 101) (width 0.127) (layer "F.Cu") (net 99) (tstamp c08fc658-63fe-4ccc-b7d3-9b0c20b4bf35)) + (segment (start 174.20776 99.79224) (end 180.04224 99.79224) (width 0.127) (layer "F.Cu") (net 100) (tstamp 4009367c-1d6d-460c-902f-d4fbfee9f30a)) + (segment (start 170.25 103.75) (end 174.20776 99.79224) (width 0.127) (layer "F.Cu") (net 100) (tstamp 40c99843-de4d-4481-9fe4-c6546f16ac4f)) + (segment (start 168.9125 103.75) (end 170.25 103.75) (width 0.127) (layer "F.Cu") (net 100) (tstamp 716c7140-6d74-4977-ab12-8a0485399eff)) + (segment (start 181.25 101) (end 181.25 102.25) (width 0.127) (layer "F.Cu") (net 100) (tstamp d25559c1-187b-491a-b98c-3fb2812069ef)) + (segment (start 180.04224 99.79224) (end 181.25 101) (width 0.127) (layer "F.Cu") (net 100) (tstamp e00fe895-c700-43da-a152-92aa27abefac)) + (segment (start 170.25 104.25) (end 174.45424 100.04576) (width 0.127) (layer "F.Cu") (net 101) (tstamp 8b145176-b45e-40b0-8fb7-30ae4c04ec13)) + (segment (start 174.45424 100.04576) (end 179.49576 100.04576) (width 0.127) (layer "F.Cu") (net 101) (tstamp c6de5783-51ab-4c92-82dc-dd6793118da3)) + (segment (start 179.49576 100.04576) (end 180.45 101) (width 0.127) (layer "F.Cu") (net 101) (tstamp c7e87021-12f4-4f1d-9027-c6769d7ba52c)) + (segment (start 180.45 101) (end 180.45 102.25) (width 0.127) (layer "F.Cu") (net 101) (tstamp da8ab3eb-a69c-4dba-8f8a-9c0e104531a9)) + (segment (start 168.9125 104.25) (end 170.25 104.25) (width 0.127) (layer "F.Cu") (net 101) (tstamp e7bfcebd-8c1d-41cc-933a-c4ca7fcf8061)) + (segment (start 168.9125 104.75) (end 170.25 104.75) (width 0.127) (layer "F.Cu") (net 102) (tstamp 327b988f-b9db-4dd2-a521-a6087a97ce61)) + (segment (start 178.94928 100.29928) (end 179.65 101) (width 0.127) (layer "F.Cu") (net 102) (tstamp aa70cb86-7191-40bc-9412-47b97a4f5dd7)) + (segment (start 170.25 104.75) (end 174.70072 100.29928) (width 0.127) (layer "F.Cu") (net 102) (tstamp abd3a3fa-2c61-430a-b899-9d26905e45ab)) + (segment (start 174.70072 100.29928) (end 178.94928 100.29928) (width 0.127) (layer "F.Cu") (net 102) (tstamp b50977f6-4f3d-4f89-8fe4-49339ddd675b)) + (segment (start 179.65 101) (end 179.65 102.25) (width 0.127) (layer "F.Cu") (net 102) (tstamp df78ca98-c73d-4d92-af2b-2e0603b886ee)) + (segment (start 175 108.25) (end 178.85 104.4) (width 0.127) (layer "F.Cu") (net 103) (tstamp 0a5f37d9-ce30-4c03-95d2-96d5c0511adc)) + (segment (start 178.85 104.4) (end 178.85 102.25) (width 0.127) (layer "F.Cu") (net 103) (tstamp 6a142cae-df67-4daa-aa6d-e02f311f3e89)) + (segment (start 168.9125 108.25) (end 175 108.25) (width 0.127) (layer "F.Cu") (net 103) (tstamp f035b9db-f0d5-4461-b903-c95f83c3b0e1)) + (segment (start 170.49648 107.99648) (end 174.75352 107.99648) (width 0.127) (layer "F.Cu") (net 104) (tstamp a89b7dd3-4c06-43a4-8c6e-01f4d4074af2)) + (segment (start 168.9125 107.75) (end 170.25 107.75) (width 0.127) (layer "F.Cu") (net 104) (tstamp b38f0ef6-22b1-4b39-8b88-1a7f33e75689)) + (segment (start 178.05 104.7) (end 178.05 102.25) (width 0.127) (layer "F.Cu") (net 104) (tstamp b89beb74-d1bd-48bf-8741-60f1e6c4f307)) + (segment (start 170.25 107.75) (end 170.49648 107.99648) (width 0.127) (layer "F.Cu") (net 104) (tstamp dc015050-a45a-4ce9-9c4e-93ae0c078f5e)) + (segment (start 174.75352 107.99648) (end 178.05 104.7) (width 0.127) (layer "F.Cu") (net 104) (tstamp dd328936-3808-43f2-a61d-6b3872d545de)) + (segment (start 168.9125 107.25) (end 170.25 107.25) (width 0.127) (layer "F.Cu") (net 105) (tstamp 428ed99f-01e7-41af-af63-761fa7ceee62)) + (segment (start 174.5 107.74296) (end 177.25 104.99296) (width 0.127) (layer "F.Cu") (net 105) (tstamp 5b60c6f6-d4e3-4f6a-a4eb-1d915b5e8481)) + (segment (start 170.74296 107.74296) (end 174.5 107.74296) (width 0.127) (layer "F.Cu") (net 105) (tstamp 7609435b-ac6e-4767-91ee-553fba71af2a)) + (segment (start 177.25 104.99296) (end 177.25 102.25) (width 0.127) (layer "F.Cu") (net 105) (tstamp 78af5975-e642-4785-aaab-5834f4d57665)) + (segment (start 170.25 107.25) (end 170.74296 107.74296) (width 0.127) (layer "F.Cu") (net 105) (tstamp 93be3f7d-ffec-4525-91e4-cf8a1f947148)) + (segment (start 170.25 106.75) (end 170.98944 107.48944) (width 0.127) (layer "F.Cu") (net 106) (tstamp 1989ef7b-99e2-4cd6-bb1c-c75b4963996f)) + (segment (start 168.9125 106.75) (end 170.25 106.75) (width 0.127) (layer "F.Cu") (net 106) (tstamp 34658318-d25d-4020-b4d3-7a72724557c4)) + (segment (start 170.98944 107.48944) (end 174.26056 107.48944) (width 0.127) (layer "F.Cu") (net 106) (tstamp 55499fab-fed0-485d-a5f4-9eebddacd601)) + (segment (start 174.26056 107.48944) (end 176.45 105.3) (width 0.127) (layer "F.Cu") (net 106) (tstamp 583d8b7a-07c8-46d3-a7f4-b37d93255100)) + (segment (start 176.45 105.3) (end 176.45 102.25) (width 0.127) (layer "F.Cu") (net 106) (tstamp a450f529-9554-4606-9628-d4bfefec9cfe)) + (segment (start 175.65 105.6) (end 175.65 102.25) (width 0.127) (layer "F.Cu") (net 107) (tstamp 44d2d81e-8f8c-4854-bd68-5df152dedf6b)) + (segment (start 174.01408 107.23592) (end 175.65 105.6) (width 0.127) (layer "F.Cu") (net 107) (tstamp 4cf1990c-0d14-4b34-96c5-31d7d228148c)) + (segment (start 170.25 105.75) (end 171.73592 107.23592) (width 0.127) (layer "F.Cu") (net 107) (tstamp 5df11f72-aed3-4546-b040-4de2ee9d5c0e)) + (segment (start 171.73592 107.23592) (end 174.01408 107.23592) (width 0.127) (layer "F.Cu") (net 107) (tstamp 6ec4469b-1488-4047-92a0-21fb0e63de03)) + (segment (start 168.9125 105.75) (end 170.25 105.75) (width 0.127) (layer "F.Cu") (net 107) (tstamp b1b5dc4e-ed22-4d52-8cee-33a12a64e304)) + (segment (start 175.65 115) (end 175.65 113.75) (width 0.127) (layer "F.Cu") (net 108) (tstamp 7567e22a-16db-4216-ab32-ff64d371a6a1)) + (segment (start 172.92816 117.72184) (end 175.65 115) (width 0.127) (layer "F.Cu") (net 108) (tstamp 91cee353-c655-4949-88cb-a26b02642fb2)) + (segment (start 166.5 115.6625) (end 166.5 117.5) (width 0.127) (layer "F.Cu") (net 108) (tstamp d35a12b3-d8fa-418a-b143-e867e2e21e04)) + (segment (start 166.5 117.5) (end 166.72184 117.72184) (width 0.127) (layer "F.Cu") (net 108) (tstamp e8788d18-fa3b-4818-a316-b4d2d899dd73)) + (segment (start 166.72184 117.72184) (end 172.92816 117.72184) (width 0.127) (layer "F.Cu") (net 108) (tstamp eb94f83d-7702-4fb9-a7a4-118b5f6017b6)) + (segment (start 176.45 115) (end 176.45 113.75) (width 0.127) (layer "F.Cu") (net 109) (tstamp 0b347d97-d046-4832-b0d8-96496be8ef65)) + (segment (start 173.47464 117.97536) (end 176.45 115) (width 0.127) (layer "F.Cu") (net 109) (tstamp 49989e76-2555-47f4-8c14-f5c46bf57f04)) + (segment (start 166 117.5) (end 166.47536 117.97536) (width 0.127) (layer "F.Cu") (net 109) (tstamp 93952602-2401-466a-9424-d39a7cb4dfd9)) + (segment (start 166.47536 117.97536) (end 173.47464 117.97536) (width 0.127) (layer "F.Cu") (net 109) (tstamp a440f8f1-40b4-4b58-b7e5-008557837371)) + (segment (start 166 115.6625) (end 166 117.5) (width 0.127) (layer "F.Cu") (net 109) (tstamp fb4a3ff8-9da0-48de-b315-8ec93f482cb8)) + (segment (start 165.5 115.6625) (end 165.5 117.5) (width 0.127) (layer "F.Cu") (net 110) (tstamp 012cdacd-c339-494b-9b1e-22346b5ed7a3)) + (segment (start 166.22888 118.22888) (end 174.02112 118.22888) (width 0.127) (layer "F.Cu") (net 110) (tstamp 1c345eff-f38d-49a1-acf4-f038e6e0d65e)) + (segment (start 174.02112 118.22888) (end 177.25 115) (width 0.127) (layer "F.Cu") (net 110) (tstamp 2531e1a9-1d7e-4a11-9bb4-eed4285b7c21)) + (segment (start 177.25 115) (end 177.25 113.75) (width 0.127) (layer "F.Cu") (net 110) (tstamp ce591662-cfed-4009-b508-b8125e3b82f6)) + (segment (start 165.5 117.5) (end 166.22888 118.22888) (width 0.127) (layer "F.Cu") (net 110) (tstamp d9d25104-4a84-4a1d-a4dd-fb7cadee1ad9)) + (segment (start 174.5676 118.4824) (end 178.05 115) (width 0.127) (layer "F.Cu") (net 111) (tstamp 02b09c18-8d7f-47fa-9cd1-1315bdec543c)) + (segment (start 165 115.6625) (end 165 117.5) (width 0.127) (layer "F.Cu") (net 111) (tstamp 28e788c5-519f-4224-8ea9-95559b04fa2a)) + (segment (start 165.9824 118.4824) (end 174.5676 118.4824) (width 0.127) (layer "F.Cu") (net 111) (tstamp 3fc932c0-e173-4b12-9a7d-64be4b15c1f6)) + (segment (start 178.05 115) (end 178.05 113.75) (width 0.127) (layer "F.Cu") (net 111) (tstamp 98c090c3-4e01-440f-a44c-49a3c7f5d929)) + (segment (start 165 117.5) (end 165.9824 118.4824) (width 0.127) (layer "F.Cu") (net 111) (tstamp acda14c2-ddd2-4a43-8f87-9893909f52ed)) + (segment (start 175.11408 118.73592) (end 178.85 115) (width 0.127) (layer "F.Cu") (net 112) (tstamp 083ada3c-6f9e-4bb7-ae37-727764079608)) + (segment (start 165.73592 118.73592) (end 175.11408 118.73592) (width 0.127) (layer "F.Cu") (net 112) (tstamp 94a1e924-2d86-4b5e-8488-be0a7ed8a78d)) + (segment (start 164.5 117.5) (end 165.73592 118.73592) (width 0.127) (layer "F.Cu") (net 112) (tstamp c7d5355b-266f-40c8-8016-8b737aed0199)) + (segment (start 178.85 115) (end 178.85 113.75) (width 0.127) (layer "F.Cu") (net 112) (tstamp d6ca12a5-0d1f-4802-9560-feacbab21d91)) + (segment (start 164.5 115.6625) (end 164.5 117.5) (width 0.127) (layer "F.Cu") (net 112) (tstamp e52d246d-ef6d-4ef7-8097-bd6229bc158e)) + (segment (start 163.5 115.6625) (end 163.5 117.5) (width 0.127) (layer "F.Cu") (net 113) (tstamp 36d168eb-49b5-4fd3-ab9e-c390455583d8)) + (segment (start 164.98944 118.98944) (end 175.66056 118.98944) (width 0.127) (layer "F.Cu") (net 113) (tstamp 8b050dd0-3e2e-4233-a41a-59bdc9eb8d4c)) + (segment (start 163.5 117.5) (end 164.98944 118.98944) (width 0.127) (layer "F.Cu") (net 113) (tstamp 9a2cf6c3-82c9-4307-9eef-b21d948a3db5)) + (segment (start 179.65 115) (end 179.65 113.75) (width 0.127) (layer "F.Cu") (net 113) (tstamp cb8d881b-bc58-4916-a84c-454c2c0d2dbb)) + (segment (start 175.66056 118.98944) (end 179.65 115) (width 0.127) (layer "F.Cu") (net 113) (tstamp ecc22619-c2c0-4dbb-9489-d80104330f23)) + (segment (start 176.20704 119.24296) (end 180.45 115) (width 0.127) (layer "F.Cu") (net 114) (tstamp 37c97630-04b8-42c9-8f86-f30a4fa2d14c)) + (segment (start 162.5 118) (end 163.74296 119.24296) (width 0.127) (layer "F.Cu") (net 114) (tstamp 504b58e1-08ad-4e76-b4ba-c662796d2c9b)) + (segment (start 180.45 115) (end 180.45 113.75) (width 0.127) (layer "F.Cu") (net 114) (tstamp cbf7d195-0e3d-4096-a4bf-87fdf4e31d0d)) + (segment (start 163.74296 119.24296) (end 176.20704 119.24296) (width 0.127) (layer "F.Cu") (net 114) (tstamp d2763c29-7398-43ee-bd67-417c46d70c96)) + (segment (start 162.5 115.6625) (end 162.5 118) (width 0.127) (layer "F.Cu") (net 114) (tstamp f2ffac4a-8190-4ec3-b3ff-6b0c47d330d0)) + (segment (start 176.75352 119.49648) (end 181.25 115) (width 0.127) (layer "F.Cu") (net 115) (tstamp 285b84bd-69e8-40b5-8798-918a55233493)) + (segment (start 163.49648 119.49648) (end 176.75352 119.49648) (width 0.127) (layer "F.Cu") (net 115) (tstamp 79741bd9-f0a0-4b29-84b2-5651c192a9c8)) + (segment (start 162 118) (end 163.49648 119.49648) (width 0.127) (layer "F.Cu") (net 115) (tstamp a67d6170-eecf-4c39-b5c4-0452cdbf461b)) + (segment (start 162 115.6625) (end 162 118) (width 0.127) (layer "F.Cu") (net 115) (tstamp ad0f55e0-1454-4c4e-af6b-42c6e563afa0)) + (segment (start 181.25 115) (end 181.25 113.75) (width 0.127) (layer "F.Cu") (net 115) (tstamp c7192f7b-d207-4d11-9d13-dc4a1e797e47)) + (segment (start 182.85 115) (end 182.85 113.75) (width 0.127) (layer "F.Cu") (net 116) (tstamp 5e06eb6a-661b-489d-bda3-3eedf5ccff38)) + (segment (start 182.85 115) (end 178.1 119.75) (width 0.127) (layer "F.Cu") (net 116) (tstamp 5e591575-014b-4383-914a-ac819d7605bd)) + (segment (start 161.5 118) (end 163.25 119.75) (width 0.127) (layer "F.Cu") (net 116) (tstamp 9a76483f-dc05-48f1-b0e7-831e01cfdb5f)) + (segment (start 178.1 119.75) (end 163.25 119.75) (width 0.127) (layer "F.Cu") (net 116) (tstamp c9c57618-3489-4a4f-98c0-f42cdd5fcadc)) + (segment (start 161.5 115.6625) (end 161.5 118) (width 0.127) (layer "F.Cu") (net 116) (tstamp f9bc593b-3d8d-4f64-9a62-35f97fb13576)) + (segment (start 183.65 112.5) (end 183.65 113.75) (width 0.127) (layer "F.Cu") (net 117) (tstamp 1eb279b8-cfeb-41b6-8510-73a87163ce55)) + (segment (start 173.46832 110.53168) (end 181.68168 110.53168) (width 0.127) (layer "F.Cu") (net 117) (tstamp 23481ef8-b19f-4041-9e74-a887112bb02d)) + (segment (start 168.9125 113.75) (end 170.25 113.75) (width 0.127) (layer "F.Cu") (net 117) (tstamp b3345f61-0aaa-47f9-af61-7278b199f4e9)) + (segment (start 181.68168 110.53168) (end 183.65 112.5) (width 0.127) (layer "F.Cu") (net 117) (tstamp ba5e69b3-7ed5-4f1d-ae19-f22bba8defaf)) + (segment (start 170.25 113.75) (end 173.46832 110.53168) (width 0.127) (layer "F.Cu") (net 117) (tstamp fac24ce0-2872-4239-b400-e5e32bad31ca)) + (segment (start 143.5 100.25) (end 147.5875 100.25) (width 0.127) (layer "F.Cu") (net 118) (tstamp 51f02493-5de5-4fa4-a94d-429696b6c56f)) + (segment (start 140.99296 97.74296) (end 143.5 100.25) (width 0.127) (layer "F.Cu") (net 118) (tstamp 714cfcf3-411e-45b2-ba4c-1f13ee3e10c3)) + (segment (start 135.00704 97.74296) (end 140.99296 97.74296) (width 0.127) (layer "F.Cu") (net 118) (tstamp dfde4d6c-ba6a-44b9-8a04-f3234033311f)) + (segment (start 134.25 98.5) (end 135.00704 97.74296) (width 0.127) (layer "F.Cu") (net 118) (tstamp e33f8932-01fb-490a-bccb-79f08f4dd219)) + (segment (start 134.25 99.8375) (end 134.25 98.5) (width 0.127) (layer "F.Cu") (net 118) (tstamp fcd26501-38a4-412a-9d56-515bc7a10ea6)) + (segment (start 133.75 98.5) (end 134.76056 97.48944) (width 0.127) (layer "F.Cu") (net 119) (tstamp 2c5f1f2e-3c9d-48a9-86aa-7d64b7c846df)) + (segment (start 142.5 98.75) (end 147.5875 98.75) (width 0.127) (layer "F.Cu") (net 119) (tstamp 663f11ae-540b-47a9-9887-04e4928060e9)) + (segment (start 141.23944 97.48944) (end 142.5 98.75) (width 0.127) (layer "F.Cu") (net 119) (tstamp 801afa99-53aa-4012-ae30-e1bb81bb906d)) + (segment (start 134.76056 97.48944) (end 141.23944 97.48944) (width 0.127) (layer "F.Cu") (net 119) (tstamp 973017eb-855a-45ae-9010-48d572afa8a1)) + (segment (start 133.75 99.8375) (end 133.75 98.5) (width 0.127) (layer "F.Cu") (net 119) (tstamp bdcb21c5-06bb-4f9c-aaad-9da95be6ae41)) + (segment (start 141.452982 97.23592) (end 142.467062 98.25) (width 0.127) (layer "F.Cu") (net 120) (tstamp 42488097-c0f3-4e90-acb4-b9e5fcc5fd89)) + (segment (start 134.51408 97.23592) (end 141.452982 97.23592) (width 0.127) (layer "F.Cu") (net 120) (tstamp 5f788257-ca37-486e-be68-7986c211ca50)) + (segment (start 142.467062 98.25) (end 147.5875 98.25) (width 0.127) (layer "F.Cu") (net 120) (tstamp 6d394d72-164e-4cec-8457-51a90c0b7f5e)) + (segment (start 133.25 98.5) (end 134.51408 97.23592) (width 0.127) (layer "F.Cu") (net 120) (tstamp a499b521-3612-42b7-8f98-28a71dba2af1)) + (segment (start 133.25 99.8375) (end 133.25 98.5) (width 0.127) (layer "F.Cu") (net 120) (tstamp be685d5d-a318-4fe2-9628-c3b924cde07d)) + (segment (start 132.75 98.5) (end 134.2676 96.9824) (width 0.127) (layer "F.Cu") (net 121) (tstamp 20c12285-6301-467b-82b1-79a5da32288c)) + (segment (start 142.521329 97.75) (end 147.5875 97.75) (width 0.127) (layer "F.Cu") (net 121) (tstamp 61ba6d29-b002-4b4b-894a-c939eec465c2)) + (segment (start 141.753729 96.9824) (end 142.521329 97.75) (width 0.127) (layer "F.Cu") (net 121) (tstamp 94a2169f-f118-4c24-ab5e-c24a97107699)) + (segment (start 134.2676 96.9824) (end 141.753729 96.9824) (width 0.127) (layer "F.Cu") (net 121) (tstamp cbceb92b-1f6b-4894-bd9b-2caa96b219be)) + (segment (start 132.75 99.8375) (end 132.75 98.5) (width 0.127) (layer "F.Cu") (net 121) (tstamp f125f21c-801e-4364-89ff-7e21caa0215f)) + (segment (start 192.5 87.75) (end 192.5 89) (width 0.127) (layer "F.Cu") (net 122) (tstamp 101b6d7d-1af4-4cd6-a28e-accfe98534f4)) + (segment (start 166.141469 90.5) (end 165.5 90.5) (width 0.127) (layer "F.Cu") (net 122) (tstamp 1a0e8dcb-fc05-4c4d-8664-0efb5c23904e)) + (segment (start 155.1375 87.675) (end 155.4625 88) (width 0.127) (layer "F.Cu") (net 122) (tstamp 3588d452-643e-4c2e-a2ba-6b42bf602f7f)) + (segment (start 192.01408 89.48592) (end 167.155549 89.48592) (width 0.127) (layer "F.Cu") (net 122) (tstamp 3b622150-65e1-4f81-a02c-b2d961a6acf5)) + (segment (start 192.5 89) (end 192.01408 89.48592) (width 0.127) (layer "F.Cu") (net 122) (tstamp 6b7345ec-7638-4606-95fc-02c5893a2ef6)) + (segment (start 155.4625 88) (end 156.985931 88) (width 0.127) (layer "F.Cu") (net 122) (tstamp 74c57f13-22f4-41eb-831e-8f1bc3f830e0)) + (segment (start 167.155549 89.48592) (end 166.141469 90.5) (width 0.127) (layer "F.Cu") (net 122) (tstamp b5f45711-68b5-48a9-aaf0-5ecea50c774c)) + (segment (start 155 90.25) (end 155 94.3375) (width 0.127) (layer "F.Cu") (net 122) (tstamp c0463d5d-f2b6-4a50-b82e-bbf6104f721f)) + (via (at 192.5 87.75) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 122) (tstamp 1cc52f5d-6e72-4f52-b4d0-96d4de4dc785)) + (via (at 165.5 90.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 122) (tstamp 3bfa2c7d-21b2-409d-b4fa-99cd93e6db97)) + (via (at 156.985931 88) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 122) (tstamp 507ad3cc-c793-4cbe-89ea-07baf30ffe0c)) + (via (at 155 90.25) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 122) (tstamp b6be7ae8-efe7-41ba-9d84-346a4d7c5053)) + (segment (start 159.25 90.5) (end 158 91.75) (width 0.127) (layer "B.Cu") (net 122) (tstamp 327412f3-b3d8-4cb2-8c4d-af28a6e76fbd)) + (segment (start 156.985931 88) (end 156.5 88) (width 0.127) (layer "B.Cu") (net 122) (tstamp 4d5a67cb-24ec-45f2-a400-cb87d2edcfd9)) + (segment (start 192.5 87.75) (end 197.925 87.75) (width 0.127) (layer "B.Cu") (net 122) (tstamp 534f10a9-69b8-4484-a577-f3357d5b3edb)) + (segment (start 156.075594 91.75) (end 155 90.674405) (width 0.127) (layer "B.Cu") (net 122) (tstamp 71c5cc8c-797d-4670-8d67-286d79427b59)) + (segment (start 155 90.674405) (end 155 90.25) (width 0.127) (layer "B.Cu") (net 122) (tstamp 7ceb66e3-8b9b-49af-bbae-503677f5d7f3)) + (segment (start 165.5 90.5) (end 159.25 90.5) (width 0.127) (layer "B.Cu") (net 122) (tstamp 81dd56ac-522d-47a8-9b70-8f3906b0b913)) + (segment (start 155 89.5) (end 155 90.25) (width 0.127) (layer "B.Cu") (net 122) (tstamp d912f4e0-8f25-4236-8268-dda4048ce7f1)) + (segment (start 158 91.75) (end 156.075594 91.75) (width 0.127) (layer "B.Cu") (net 122) (tstamp ec349236-7288-4aa7-a1f4-62cd8d1ca43e)) + (segment (start 156.5 88) (end 155 89.5) (width 0.127) (layer "B.Cu") (net 122) (tstamp f4cbf740-2b73-4e5d-b29e-f6531f3cb8c2)) + (segment (start 142.9648 109.5) (end 142.9648 108.7852) (width 0.127) (layer "F.Cu") (net 123) (tstamp 0b105dc7-5a90-4740-ad34-e83153f60b6b)) + (segment (start 144.5 107.25) (end 147.5875 107.25) (width 0.127) (layer "F.Cu") (net 123) (tstamp 30db6241-d323-4888-be78-1ac525750d3d)) + (segment (start 142.4648 110) (end 142.9648 109.5) (width 0.127) (layer "F.Cu") (net 123) (tstamp 69434251-1f72-49a1-bea8-07a2faa4f471)) + (segment (start 142.9648 108.7852) (end 144.5 107.25) (width 0.127) (layer "F.Cu") (net 123) (tstamp 8a6f3234-1d29-4685-a956-7e6a17e6c5ed)) + (segment (start 141 110) (end 142.4648 110) (width 0.127) (layer "F.Cu") (net 123) (tstamp a1d00cd0-731d-48b4-b99e-b104cddd0065)) + (segment (start 141 113) (end 142.71832 113) (width 0.127) (layer "F.Cu") (net 124) (tstamp 50d810a4-964f-4e7a-abcb-544ef87fc914)) + (segment (start 142.71832 113) (end 143.21832 112.5) (width 0.127) (layer "F.Cu") (net 124) (tstamp 642b1d13-7251-498d-8513-ffe596e7f64a)) + (segment (start 144.75 107.75) (end 147.5875 107.75) (width 0.127) (layer "F.Cu") (net 124) (tstamp 74ecf149-cc35-4150-8b9a-fcf24f865b97)) + (segment (start 143.21832 112.5) (end 143.21832 109.28168) (width 0.127) (layer "F.Cu") (net 124) (tstamp 753c4e13-21e0-4dc0-9cfc-7cc6137d4d44)) + (segment (start 143.21832 109.28168) (end 144.75 107.75) (width 0.127) (layer "F.Cu") (net 124) (tstamp af66518f-af54-48c0-b506-27977596c4f8)) + (segment (start 132.25 98.5) (end 131.75 98) (width 0.127) (layer "F.Cu") (net 125) (tstamp 2ab95fb0-5a22-43d6-8926-6bc4a10e4f69)) + (segment (start 132.25 98.5) (end 132.25 99.8375) (width 0.127) (layer "F.Cu") (net 125) (tstamp 2fb98d10-3c0b-4ba7-92f1-4489a0c21bef)) + (segment (start 124.75 99.5) (end 123.325 99.5) (width 0.127) (layer "F.Cu") (net 125) (tstamp 6add9d25-1bd5-42ae-95bb-4b8b102dfda2)) + (segment (start 142.72888 96.72888) (end 134.02112 96.72888) (width 0.127) (layer "F.Cu") (net 125) (tstamp 6dc02908-35bf-431c-9378-ec745c181c5b)) + (segment (start 134.02112 96.72888) (end 132.25 98.5) (width 0.127) (layer "F.Cu") (net 125) (tstamp 745e03b2-6f66-423f-b515-d6677a63a6ae)) + (segment (start 123.325 101.25) (end 123.325 99.5) (width 0.127) (layer "F.Cu") (net 125) (tstamp 7fab1be2-c41b-4ec1-a0a3-a02fef313c3b)) + (segment (start 145.75 96.75) (end 147.5875 96.75) (width 0.127) (layer "F.Cu") (net 125) (tstamp a16e5d4f-210c-4f7d-859e-c2b5c7252c22)) + (segment (start 142.75 96.75) (end 142.72888 96.72888) (width 0.127) (layer "F.Cu") (net 125) (tstamp e4c8021f-a1a1-470a-ad93-5ee52cc09ff3)) + (via (at 145.75 96.75) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 125) (tstamp 0908dee1-fc33-40d4-b59b-cddba9b6ca30)) + (via (at 124.75 99.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 125) (tstamp 8833d699-eb56-4105-832f-ac45aeac84aa)) + (via (at 131.75 98) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 125) (tstamp 9b7df4c4-0f11-4dba-9fa5-f9d5eefc0359)) + (via (at 142.75 96.75) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 125) (tstamp a5a697c4-eec6-4d5e-9753-0e307b570b0b)) + (segment (start 130.25 97.25) (end 129.25 97.25) (width 0.127) (layer "B.Cu") (net 125) (tstamp 10953116-0ebe-4dcb-93e7-fe3082da17db)) + (segment (start 127 99.5) (end 124.75 99.5) (width 0.127) (layer "B.Cu") (net 125) (tstamp 33806498-2184-4d5b-af0f-333d399ed0d5)) + (segment (start 129.25 97.25) (end 127 99.5) (width 0.127) (layer "B.Cu") (net 125) (tstamp 4186663f-291a-4e7b-9a36-72a50650a1cb)) + (segment (start 131.75 98) (end 131 98) (width 0.127) (layer "B.Cu") (net 125) (tstamp 9a9ac7ee-0a9e-4b5e-ba71-6c726ac64f0c)) + (segment (start 142.75 96.75) (end 145.75 96.75) (width 0.127) (layer "B.Cu") (net 125) (tstamp a624dd0c-f5ca-42fd-b5cc-c5f4ebf6da8e)) + (segment (start 131 98) (end 130.25 97.25) (width 0.127) (layer "B.Cu") (net 125) (tstamp bfc972f2-37bc-4bb5-8ed2-141f8e12ac79)) + (segment (start 131.716059 93.449479) (end 132.050521 93.449479) (width 0.127) (layer "F.Cu") (net 126) (tstamp 18bae09e-f21a-445c-8059-06edc20af087)) + (segment (start 132.688501 108.101001) (end 132.75 108.1625) (width 0.127) (layer "F.Cu") (net 126) (tstamp 358f0734-bc28-4679-abbb-aec7bdc3b358)) + (segment (start 106.75 92.25) (end 107 92.5) (width 0.127) (layer "F.Cu") (net 126) (tstamp 785e476f-5f7b-4110-9229-71cd8b163584)) + (segment (start 132.925 106.5) (end 132.688501 106.736499) (width 0.127) (layer "F.Cu") (net 126) (tstamp 7872d963-08a7-4828-a581-1472f6f1eb89)) + (segment (start 106.75 92.75) (end 105.25 92.75) (width 0.127) (layer "F.Cu") (net 126) (tstamp 9727eb1c-fbd6-4bce-ae5c-e3e67c104e8f)) + (segment (start 105.25 92.25) (end 106.75 92.25) (width 0.127) (layer "F.Cu") (net 126) (tstamp bcfcf081-4e47-493b-bb53-a788d8ec07e9)) + (segment (start 109.707773 92.6865) (end 130.95308 92.6865) (width 0.127) (layer "F.Cu") (net 126) (tstamp c5c59592-7385-475a-ac1c-b31c6416a85a)) + (segment (start 109.521273 92.5) (end 109.707773 92.6865) (width 0.127) (layer "F.Cu") (net 126) (tstamp c68035bf-fb22-42dc-a9a1-42e29c5ae0d7)) + (segment (start 107 92.5) (end 106.75 92.75) (width 0.127) (layer "F.Cu") (net 126) (tstamp db32eb4e-fe2e-4d18-adc6-3186496ec054)) + (segment (start 107 92.5) (end 109.521273 92.5) (width 0.127) (layer "F.Cu") (net 126) (tstamp dee1ff6a-bce3-4f26-9aec-740a71199589)) + (segment (start 130.95308 92.6865) (end 131.716059 93.449479) (width 0.127) (layer "F.Cu") (net 126) (tstamp e1c11d1e-9592-4531-b0e8-2e0867661c95)) + (segment (start 132.688501 106.736499) (end 132.688501 108.101001) (width 0.127) (layer "F.Cu") (net 126) (tstamp e38054e7-d303-408a-9eec-9ed67ce1c2b6)) + (via (at 132.050521 93.449479) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 126) (tstamp 2f3582ca-8bfe-4677-a0cc-9236df067f55)) + (via (at 132.925 106.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 126) (tstamp 676d6f27-9918-4798-bbe6-38d8c480590c)) + (segment (start 132.688501 106.263501) (end 132.688501 94.421921) (width 0.127) (layer "B.Cu") (net 126) (tstamp 4a1f485b-8cf6-41b7-89b1-756a2a337ad9)) + (segment (start 132.050521 93.783941) (end 132.050521 93.449479) (width 0.127) (layer "B.Cu") (net 126) (tstamp 6b3c3255-54fc-4d8b-85bc-2235233f842b)) + (segment (start 132.925 106.5) (end 132.688501 106.263501) (width 0.127) (layer "B.Cu") (net 126) (tstamp 945bd9ab-b5a7-4b01-a8b9-c6c5742e8f24)) + (segment (start 132.688501 94.421921) (end 132.050521 93.783941) (width 0.127) (layer "B.Cu") (net 126) (tstamp bf88dceb-0397-4056-ba00-1ac0239edf15)) + (segment (start 108.9 93.25) (end 109.521273 93.25) (width 0.127) (layer "F.Cu") (net 127) (tstamp 13b7edb7-1577-4455-bfb2-99e97709faf1)) + (segment (start 109.707773 93.0635) (end 130.79692 93.0635) (width 0.127) (layer "F.Cu") (net 127) (tstamp 29796ac6-c0d2-42f2-a1b1-489b93e87181)) + (segment (start 108.9 93.25) (end 105.25 93.25) (width 0.127) (layer "F.Cu") (net 127) (tstamp 7326e675-baa8-4208-b08b-497dbb14f2e5)) + (segment (start 132.075 106.5) (end 132.311499 106.736499) (width 0.127) (layer "F.Cu") (net 127) (tstamp 7508d427-118c-4af1-a22d-87896f3f82c0)) + (segment (start 132.311499 108.101001) (end 132.25 108.1625) (width 0.127) (layer "F.Cu") (net 127) (tstamp 886b894e-6de0-4a55-a1ed-36db99eb4d88)) + (segment (start 130.79692 93.0635) (end 131.449479 93.716059) (width 0.127) (layer "F.Cu") (net 127) (tstamp ae14edc4-0f70-41fc-a626-576a014c4e6e)) + (segment (start 107.4 91.75) (end 105.25 91.75) (width 0.127) (layer "F.Cu") (net 127) (tstamp bc9c18b4-ccd7-4d4d-9e20-4ab25779a1ce)) + (segment (start 131.449479 93.716059) (end 131.449479 94.050521) (width 0.127) (layer "F.Cu") (net 127) (tstamp e363dab2-a764-4e06-81d2-b6abe4805f6f)) + (segment (start 109.521273 93.25) (end 109.707773 93.0635) (width 0.127) (layer "F.Cu") (net 127) (tstamp e53b1785-918f-41e6-8372-7ef3158e1de4)) + (segment (start 132.311499 106.736499) (end 132.311499 108.101001) (width 0.127) (layer "F.Cu") (net 127) (tstamp f5f8ee62-de0f-42ab-b5be-62609e8ec359)) + (via (at 108.9 93.25) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 127) (tstamp 891aa562-9df1-4ab8-8e10-aebef9c1ea4d)) + (via (at 131.449479 94.050521) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 127) (tstamp 9496964e-45f4-465d-9003-46ab0e06853e)) + (via (at 132.075 106.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 127) (tstamp edcbfb89-6d5e-473a-9e97-3c63f7c051ea)) + (via (at 107.4 91.75) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 127) (tstamp f4e7dac8-3c57-4151-ac97-49689f959ac4)) + (segment (start 131.783941 94.050521) (end 131.449479 94.050521) (width 0.127) (layer "B.Cu") (net 127) (tstamp 4b721557-2526-4836-8b49-59b3cecf3fcf)) + (segment (start 132.311499 106.263501) (end 132.311499 94.578079) (width 0.127) (layer "B.Cu") (net 127) (tstamp 63a878c8-e455-486b-938d-dedf0267d266)) + (segment (start 107.4 91.75) (end 108.9 93.25) (width 0.127) (layer "B.Cu") (net 127) (tstamp 828c6c9f-0696-49ac-94d4-80e6814f8480)) + (segment (start 132.311499 94.578079) (end 131.783941 94.050521) (width 0.127) (layer "B.Cu") (net 127) (tstamp 8c32b11f-9dff-43a9-a80e-da8bf1852e44)) + (segment (start 132.075 106.5) (end 132.311499 106.263501) (width 0.127) (layer "B.Cu") (net 127) (tstamp 954804b7-646a-444c-8040-323028c7f08c)) + (segment (start 199.575 87.75) (end 199.575 84.2875) (width 0.127) (layer "B.Cu") (net 128) (tstamp 24922b59-c574-4417-9ce9-95a18ce71f8c)) + (segment (start 199.575 84.2875) (end 199.5375 84.25) (width 0.127) (layer "B.Cu") (net 128) (tstamp 44851835-9020-4fec-889a-e9079e613c29)) + (segment (start 140 90) (end 143.75 90) (width 0.127) (layer "F.Cu") (net 129) (tstamp 02afcb8d-d32a-45bd-bbc3-ae0ff363d237)) + (segment (start 120.25 90) (end 119.75 89.5) (width 0.127) (layer "F.Cu") (net 129) (tstamp 12a784c9-b91f-4bbf-ad28-be3cf9bcf72d)) + (segment (start 127.5 90) (end 120.25 90) (width 0.127) (layer "F.Cu") (net 129) (tstamp 340e1794-c158-4100-98ac-11991a20658b)) + (segment (start 147.5875 93.8375) (end 147.5875 96.25) (width 0.127) (layer "F.Cu") (net 129) (tstamp 78256150-720d-4149-90f0-720f8c364837)) + (segment (start 143.75 90) (end 147.5875 93.8375) (width 0.127) (layer "F.Cu") (net 129) (tstamp 86de48fa-53ea-49ca-b980-531c1eb0fd8e)) + (segment (start 119.75 89.5) (end 119.75 88.75) (width 0.127) (layer "F.Cu") (net 129) (tstamp f5223ec0-9a7b-403a-aeba-f9f6aea37027)) + (via (at 140 90) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 129) (tstamp 301198e1-3275-4d74-95ec-2f50d08252f5)) + (via (at 127.5 90) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 129) (tstamp 39f7dcd2-d194-4c1b-837d-ac81acea3d77)) + (segment (start 138.75 90) (end 140 90) (width 0.127) (layer "B.Cu") (net 129) (tstamp 13e6718c-ec71-4a91-84a3-991a27c7786a)) + (segment (start 138.75 88.575) (end 138.75 90) (width 0.127) (layer "B.Cu") (net 129) (tstamp bb1e8ec1-7780-4803-a735-7612c6293d23)) + (segment (start 127.5 90) (end 138.75 90) (width 0.127) (layer "B.Cu") (net 129) (tstamp e3a8a59c-4515-446b-a0d8-a05fa9e20bea)) + (segment (start 141 116) (end 142.97184 116) (width 0.127) (layer "F.Cu") (net 133) (tstamp 140f3c51-9347-4b10-9448-8e6b70483969)) + (segment (start 145 108.25) (end 147.5875 108.25) (width 0.127) (layer "F.Cu") (net 133) (tstamp 3c5fd1e8-61fe-4561-8200-9d4ce5c13c6b)) + (segment (start 143.47184 109.77816) (end 145 108.25) (width 0.127) (layer "F.Cu") (net 133) (tstamp 67c3d879-df32-4141-846b-9e2ed0ee3926)) + (segment (start 143.47184 115.5) (end 143.47184 109.77816) (width 0.127) (layer "F.Cu") (net 133) (tstamp a495d064-6f1b-45ea-993e-b9f18a12ac25)) + (segment (start 142.97184 116) (end 143.47184 115.5) (width 0.127) (layer "F.Cu") (net 133) (tstamp a85da59a-bf1a-45a2-a0a8-e4b9e8e98dfd)) + (segment (start 163.23944 90.847762) (end 161 93.087202) (width 0.127) (layer "F.Cu") (net 134) (tstamp 0014be84-e80a-48a1-9822-c7c58b711e72)) + (segment (start 161.775 87.025) (end 163.23944 88.48944) (width 0.127) (layer "F.Cu") (net 134) (tstamp 4dd56f08-7237-455a-b3d1-4456003bbe5e)) + (segment (start 161 93.087202) (end 161 94.3375) (width 0.127) (layer "F.Cu") (net 134) (tstamp 55f03ca3-fb64-4e6b-9b0f-c8ab9095791b)) + (segment (start 160.8625 87.025) (end 161.775 87.025) (width 0.127) (layer "F.Cu") (net 134) (tstamp 6fb423b3-a32e-4299-b3aa-bf3ec0dbeffb)) + (segment (start 163.23944 88.48944) (end 163.23944 90.847762) (width 0.127) (layer "F.Cu") (net 134) (tstamp fa7112f7-1d6d-4fb2-9a8b-09b07ae1c41f)) + (segment (start 108.65 117) (end 110.275 115.375) (width 0.127) (layer "F.Cu") (net 136) (tstamp 11757172-12ed-49fb-a19f-8192ebf77b81)) + (segment (start 107.85 107.8) (end 107.85 110.6) (width 0.508) (layer "F.Cu") (net 136) (tstamp 3ee7e083-3496-459b-95ff-57b020826a20)) + (segment (start 112.8625 112.1375) (end 113.475 111.525) (width 0.508) (layer "F.Cu") (net 136) (tstamp 77a1dd40-49b3-4b43-86c5-1dc31de4ddd6)) + (segment (start 112.8625 113.025) (end 110.275 113.025) (width 0.508) (layer "F.Cu") (net 136) (tstamp 8d592bb8-5eb4-406e-a930-0fc89325e342)) + (segment (start 113.475 111.525) (end 114.75 111.525) (width 0.508) (layer "F.Cu") (net 136) (tstamp a170da10-dd14-4d9c-ba63-0fbc0c86125d)) + (segment (start 110.275 115.375) (end 110.275 113.025) (width 0.127) (layer "F.Cu") (net 136) (tstamp bb36515f-fb98-431b-8ca6-fb4aaf7d9e8f)) + (segment (start 112.8625 113.025) (end 112.8625 112.1375) (width 0.508) (layer "F.Cu") (net 136) (tstamp d076356e-5705-401b-898c-95610e81c32e)) + (segment (start 107.85 110.6) (end 110.275 113.025) (width 0.508) (layer "F.Cu") (net 136) (tstamp e9450ca0-9c44-41de-a282-df76ddc980d6)) + (segment (start 105.25 90.75) (end 108.175 90.75) (width 0.127) (layer "F.Cu") (net 137) (tstamp 090b4397-999f-43fe-8d8c-8d1974ec5b29)) + (segment (start 108.175 90.75) (end 109.175 89.75) (width 0.127) (layer "F.Cu") (net 137) (tstamp 409b76d6-06eb-45a6-bfd9-8ad97033f1fb)) + (segment (start 105.25 93.75) (end 108.175 93.75) (width 0.127) (layer "F.Cu") (net 139) (tstamp 855b2579-2f1a-4e4c-8eb4-cae81fcf3bfa)) + (segment (start 108.175 93.75) (end 109.175 94.75) (width 0.127) (layer "F.Cu") (net 139) (tstamp a4468c1a-d153-4941-af0e-2621c404055c)) + (segment (start 107.25 115.75) (end 107 116) (width 0.127) (layer "F.Cu") (net 141) (tstamp 0323cd81-2802-4eb5-8aa6-dd6a88d5d35e)) + (segment (start 108.25 114.5) (end 108.25 115.5) (width 0.127) (layer "F.Cu") (net 141) (tstamp 0df84b01-040d-42af-8889-f0a40b32ef6c)) + (segment (start 108 115.75) (end 107.25 115.75) (width 0.127) (layer "F.Cu") (net 141) (tstamp 363974e5-4df2-4b15-abe2-05335088b2de)) + (segment (start 107.1375 114.325) (end 108.075 114.325) (width 0.127) (layer "F.Cu") (net 141) (tstamp 77963130-8fd0-4201-a586-11c34c598dd7)) + (segment (start 108.25 115.5) (end 108 115.75) (width 0.127) (layer "F.Cu") (net 141) (tstamp c0b608e1-dcfb-4b56-bbe6-e7e8f3b290d6)) + (segment (start 107 117) (end 107 118.75) (width 0.127) (layer "F.Cu") (net 141) (tstamp c6b936a8-3979-4fcd-82c7-8122d6215e50)) + (segment (start 107 116) (end 107 117) (width 0.127) (layer "F.Cu") (net 141) (tstamp cd014350-feb9-4516-9a20-9a01a0c6a8b4)) + (segment (start 108.075 114.325) (end 108.25 114.5) (width 0.127) (layer "F.Cu") (net 141) (tstamp f240c20c-da4b-4be5-900d-f6bb3eb345bf)) + (segment (start 106.025 114.975) (end 105.75 115.25) (width 0.127) (layer "F.Cu") (net 142) (tstamp 1293cea5-c37a-48a4-b663-e9dcc16bdd15)) + (segment (start 107.1375 114.975) (end 106.025 114.975) (width 0.127) (layer "F.Cu") (net 142) (tstamp 4c240172-76ae-4d07-938a-f823286db9d7)) + (segment (start 105.75 120.25) (end 106 120.5) (width 0.127) (layer "F.Cu") (net 142) (tstamp 76055543-9511-4943-a60a-dcb2328860f0)) + (segment (start 106 120.5) (end 107 120.5) (width 0.127) (layer "F.Cu") (net 142) (tstamp 92298f22-8a0c-48d2-822f-678d1311bdfb)) + (segment (start 105.75 115.25) (end 105.75 120.25) (width 0.127) (layer "F.Cu") (net 142) (tstamp eb0c2a2e-9f97-49f7-8296-f0945e1937d4)) + (segment (start 121.675 110.25) (end 121.675 108.5) (width 0.127) (layer "F.Cu") (net 143) (tstamp 23886040-5769-421c-af27-2d43cf1f321b)) + (segment (start 123.475 106.7) (end 121.675 108.5) (width 0.127) (layer "F.Cu") (net 143) (tstamp 461c25be-20df-404e-98af-da09e66ebf91)) + (segment (start 123.6375 106.7) (end 123.475 106.7) (width 0.127) (layer "F.Cu") (net 143) (tstamp 4acd6835-a023-4732-82bc-ae179c966faf)) + (segment (start 124.1875 104.25) (end 123.6375 104.8) (width 0.127) (layer "F.Cu") (net 144) (tstamp 255a1622-5a0e-4e9d-83a1-286887fab9a5)) + (segment (start 126.5 106.75) (end 125.25 106.75) (width 0.127) (layer "F.Cu") (net 144) (tstamp 5863ec51-ce43-4e82-8259-b2a60a33e449)) + (segment (start 128.3375 104.25) (end 126.5 104.25) (width 0.127) (layer "F.Cu") (net 144) (tstamp 7213ef7f-cc4b-49d6-b9f8-2733bb39b020)) + (segment (start 125 108.25) (end 124.75 108.5) (width 0.127) (layer "F.Cu") (net 144) (tstamp 79538433-b5b1-4d57-9abf-849367639d4d)) + (segment (start 125.25 106.75) (end 125 107) (width 0.127) (layer "F.Cu") (net 144) (tstamp 9377961a-c1b1-4405-9264-0d7a5b3c5b3e)) + (segment (start 126.5 104.25) (end 124.1875 104.25) (width 0.127) (layer "F.Cu") (net 144) (tstamp c5990296-1f17-4cfe-bec8-c0b8d541ddbe)) + (segment (start 124.75 108.5) (end 123.325 108.5) (width 0.127) (layer "F.Cu") (net 144) (tstamp cba3fb90-7e2c-4627-90aa-09f1ad482297)) + (segment (start 125 107) (end 125 108.25) (width 0.127) (layer "F.Cu") (net 144) (tstamp d5b48164-02b6-4ad6-ab83-3e7ccd974fb8)) + (via (at 126.5 106.75) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 144) (tstamp 74c0b995-cf08-4e60-b3a7-82f1c0dd7856)) + (via (at 126.5 104.25) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 144) (tstamp a922f7ef-7752-4f33-b5a2-25e0946f7d09)) + (segment (start 127 104.25) (end 126.5 104.25) (width 0.127) (layer "B.Cu") (net 144) (tstamp 3cb1b254-cb97-4179-a80c-eb01f4b40635)) + (segment (start 126.5 106.75) (end 127 106.75) (width 0.127) (layer "B.Cu") (net 144) (tstamp 5b5c6be7-1164-41ff-b080-5d955a1e3f41)) + (segment (start 127.25 104.5) (end 127 104.25) (width 0.127) (layer "B.Cu") (net 144) (tstamp 61dc6460-43b7-4ed7-aea2-a23a40b07d9b)) + (segment (start 127 106.75) (end 127.25 106.5) (width 0.127) (layer "B.Cu") (net 144) (tstamp 6ba83bea-414a-4a97-ae12-d8f4ded11e62)) + (segment (start 127.25 106.5) (end 127.25 104.5) (width 0.127) (layer "B.Cu") (net 144) (tstamp bebf5032-f0d5-4f58-a3d4-7c2cb0bf9463)) + (segment (start 129.75 108.1625) (end 129.75 111.75) (width 0.127) (layer "F.Cu") (net 145) (tstamp 7cb7917a-e900-495b-8358-43ccdae8218c)) + (segment (start 129.75 111.75) (end 128.2 113.3) (width 0.127) (layer "F.Cu") (net 145) (tstamp ce038dc4-8eb7-4254-8282-5b9af2555947)) + (segment (start 119.55 104.8) (end 121.3625 104.8) (width 0.127) (layer "F.Cu") (net 149) (tstamp 3df03af8-c593-4d2f-a2f7-39256ee79813)) + (segment (start 128.3375 104.75) (end 125.75 104.75) (width 0.127) (layer "F.Cu") (net 149) (tstamp 496735ec-4616-48a8-99b0-b7a978a48ee7)) + (segment (start 119.5 104.75) (end 119.55 104.8) (width 0.127) (layer "F.Cu") (net 149) (tstamp 642ac597-a0c4-4a3d-80e5-dfb6632ae868)) + (via (at 119.5 104.75) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 149) (tstamp 775d32f4-b592-46c5-ab3b-f2734783b1f8)) + (via (at 125.75 104.75) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 149) (tstamp 79e17aea-e7a5-4e73-b879-4968ba532833)) + (segment (start 125.75 104.75) (end 119.5 104.75) (width 0.127) (layer "B.Cu") (net 149) (tstamp 428df413-6ee0-4f50-a22d-8af96445efc0)) + (segment (start 119.5 105.75) (end 121.3625 105.75) (width 0.127) (layer "F.Cu") (net 150) (tstamp 12a7488a-8c9d-49a3-b681-671c0bb82ece)) + (segment (start 126.5 105.25) (end 128.3375 105.25) (width 0.127) (layer "F.Cu") (net 150) (tstamp eb7b4453-0c75-4a8c-8a22-1b1bbfad83b1)) + (via (at 126.5 105.25) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 150) (tstamp 6447dc9b-ba31-4b19-8db4-1456898256ac)) + (via (at 119.5 105.75) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 150) (tstamp 91fa1a06-6400-4e5e-93cc-97702ab3ad2d)) + (segment (start 120 105.25) (end 119.5 105.75) (width 0.127) (layer "B.Cu") (net 150) (tstamp 5e27ca96-8710-41bb-9a58-ae8792de58d0)) + (segment (start 126.5 105.25) (end 120 105.25) (width 0.127) (layer "B.Cu") (net 150) (tstamp 95a27b62-6905-4959-be89-6ee113566bff)) + (segment (start 130.5 112.375) (end 130.75 112.125) (width 0.127) (layer "F.Cu") (net 154) (tstamp 0125c9c0-b575-4461-a1dc-a8834f6c640f)) + (segment (start 130.75 112.125) (end 130.75 108.1625) (width 0.127) (layer "F.Cu") (net 154) (tstamp cb8af670-931b-449a-9c99-bb1c5147ddf6)) + (segment (start 130.5 114.25) (end 130.5 112.375) (width 0.127) (layer "F.Cu") (net 154) (tstamp f2f8b4f3-3af4-4503-95ff-5dd68ae93971)) + (via (at 130.5 114.25) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 154) (tstamp 9e3984f5-9018-4922-8897-7fef7a6ca250)) + (segment (start 129.5 115.0375) (end 130.2125 115.0375) (width 0.127) (layer "B.Cu") (net 154) (tstamp 577350ca-8462-4653-855b-0e5ffcd79b1d)) + (segment (start 130.2125 115.0375) (end 130.5 114.75) (width 0.127) (layer "B.Cu") (net 154) (tstamp 7b9fcb1a-c23e-484f-9350-80cdfaf9ce5c)) + (segment (start 130.775 115.025) (end 130.5 114.75) (width 0.127) (layer "B.Cu") (net 154) (tstamp 9b8142f2-9424-4305-a747-dacb57bd3ebc)) + (segment (start 131.5 115.025) (end 130.775 115.025) (width 0.127) (layer "B.Cu") (net 154) (tstamp e59e19c4-4d8d-40e5-bfc1-51d80ffd6ab6)) + (segment (start 130.5 114.75) (end 130.5 114.25) (width 0.127) (layer "B.Cu") (net 154) (tstamp edd18626-6ecb-4dfb-96ee-afe16d21ba38)) + (segment (start 133.25 113) (end 133.25 108.1625) (width 0.127) (layer "F.Cu") (net 155) (tstamp c90adf02-1cce-4d7d-98f4-02c70324e98f)) + (segment (start 134.5 114.25) (end 133.25 113) (width 0.127) (layer "F.Cu") (net 155) (tstamp f317eaac-effe-400b-9891-3948b9e6588c)) + (via (at 134.5 114.25) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 155) (tstamp 08794f14-fc94-493f-8352-736ab4f2dd09)) + (segment (start 134.225 115.025) (end 134.5 114.75) (width 0.127) (layer "B.Cu") (net 155) (tstamp 0e5d7a54-e672-469c-9888-650d58cdc0ed)) + (segment (start 135.5 115.0375) (end 134.7875 115.0375) (width 0.127) (layer "B.Cu") (net 155) (tstamp 35ef7d3e-17f5-48d3-8fe4-9789278f3e50)) + (segment (start 134.7875 115.0375) (end 134.5 114.75) (width 0.127) (layer "B.Cu") (net 155) (tstamp 6fbab9c4-dc09-4f93-890d-607f90e83eeb)) + (segment (start 133.5 115.025) (end 134.225 115.025) (width 0.127) (layer "B.Cu") (net 155) (tstamp 90f58420-9930-4335-8790-538ba7525b80)) + (segment (start 134.5 114.75) (end 134.5 114.25) (width 0.127) (layer "B.Cu") (net 155) (tstamp 9b3c0988-8a1b-4922-80c0-9a0aee046cc0)) + (segment (start 128.3375 101.75) (end 130.75 101.75) (width 0.127) (layer "F.Cu") (net 156) (tstamp 0ddda16c-01a7-4543-8a38-949af0f38ef9)) + (segment (start 130.75 101.75) (end 131.25 102.25) (width 0.127) (layer "F.Cu") (net 156) (tstamp 5e6073e7-4fd6-461d-a890-eed16d9fcba0)) + (via (at 131.25 102.25) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 156) (tstamp efac694b-03f1-4a43-bfc0-35deb971cc67)) + (segment (start 131.25 102.25) (end 131.25 101.025) (width 0.127) (layer "B.Cu") (net 156) (tstamp 1db11040-7248-49a1-8114-0719a1f686ac)) + (segment (start 153.70243 85.075) (end 155.1375 85.075) (width 0.127) (layer "F.Cu") (net 157) (tstamp a97048b0-7da8-4de5-89e4-a10d691311d2)) + (segment (start 153.513715 85.263715) (end 153.70243 85.075) (width 0.127) (layer "F.Cu") (net 157) (tstamp d549f236-8466-4a85-a6c6-571ba1d08802)) + (via (at 153.513715 85.263715) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 157) (tstamp 296ae142-390f-4c5b-96e5-d9dc2caf5a0f)) + (segment (start 151.85243 86.925) (end 150.25 86.925) (width 0.127) (layer "B.Cu") (net 157) (tstamp 2e4c4491-c714-4d9c-8e40-76b2a9608091)) + (segment (start 153.513715 85.263715) (end 151.85243 86.925) (width 0.127) (layer "B.Cu") (net 157) (tstamp 2eb7acee-a9ec-4d09-81c0-c904dab176ff)) + (segment (start 169.5 83.25) (end 170.025 83.775) (width 0.127) (layer "F.Cu") (net 158) (tstamp 37a44675-7a94-4242-be68-434ffb2af10b)) + (segment (start 167.525 81.35) (end 168.75 81.35) (width 0.127) (layer "F.Cu") (net 158) (tstamp 38a4d116-91ca-4609-b50e-ba8a4c171522)) + (segment (start 169.5 82.1) (end 169.5 82.85) (width 0.127) (layer "F.Cu") (net 158) (tstamp 3d483296-5447-4eb7-8cc5-4660ba803749)) + (segment (start 170.025 83.775) (end 174.1375 83.775) (width 0.127) (layer "F.Cu") (net 158) (tstamp 6356cacc-2afa-494f-b561-a60ef27a1656)) + (segment (start 169.5 82.85) (end 169.5 83.25) (width 0.127) (layer "F.Cu") (net 158) (tstamp 9f999f87-b719-48b9-ab43-c7bc59c8d988)) + (segment (start 168.75 81.35) (end 169.5 82.1) (width 0.127) (layer "F.Cu") (net 158) (tstamp bb2a30e1-2825-46b9-97b5-6a8667b0a830)) + (segment (start 169.5 85) (end 170.075 84.425) (width 0.127) (layer "F.Cu") (net 159) (tstamp 12518026-b8fa-4b98-ab56-89af69764ac7)) + (segment (start 169.5 85.35) (end 169.5 85) (width 0.127) (layer "F.Cu") (net 159) (tstamp 473cdbd8-bb8f-4708-8563-e26b61fcc9d7)) + (segment (start 170.075 84.425) (end 174.1375 84.425) (width 0.127) (layer "F.Cu") (net 159) (tstamp 65d2dd29-d16c-4932-8055-eddcde68a904)) + (segment (start 169.5 86.1) (end 169.5 85.35) (width 0.127) (layer "F.Cu") (net 159) (tstamp 8c80ee96-255f-4704-8999-6b679592ef9f)) + (segment (start 168.75 86.85) (end 169.5 86.1) (width 0.127) (layer "F.Cu") (net 159) (tstamp bfca73ef-2b59-4f2b-89e2-692a749e2db9)) + (segment (start 167.525 86.85) (end 168.75 86.85) (width 0.127) (layer "F.Cu") (net 159) (tstamp c37ba1fc-ffa1-452e-85cd-45394d7ff891)) + (segment (start 128.3375 100.3375) (end 128.25 100.25) (width 0.127) (layer "F.Cu") (net 160) (tstamp 682f8067-41a9-454e-9348-b015137bcbad)) + (segment (start 128.3375 101.25) (end 128.3375 100.3375) (width 0.127) (layer "F.Cu") (net 160) (tstamp a51ca767-ac89-4eee-87a6-9408311dd554)) + (via (at 128.25 100.25) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 160) (tstamp b4b1454f-66f6-4754-bbfc-717847df75f5)) + (segment (start 128.725 100.25) (end 129.5 101.025) (width 0.127) (layer "B.Cu") (net 160) (tstamp 5b2072f2-74c8-495d-860b-cbf4d719d218)) + (segment (start 128.25 100.25) (end 128.725 100.25) (width 0.127) (layer "B.Cu") (net 160) (tstamp 82e503a2-53f0-4259-ab91-98d696fc2d74)) + (segment (start 131.75 112.125) (end 131.5 112.375) (width 0.127) (layer "F.Cu") (net 161) (tstamp 7deb5e8d-a637-4aa1-a98b-2ddf1fe6da9f)) + (segment (start 131.5 112.375) (end 131.5 113.425) (width 0.127) (layer "F.Cu") (net 161) (tstamp d77611b3-bf7b-4ccf-b44f-496dbc744d9f)) + (segment (start 131.75 108.1625) (end 131.75 112.125) (width 0.127) (layer "F.Cu") (net 161) (tstamp fd7674b3-e602-45f1-8b2f-b6da13389538)) + (segment (start 123.325 97.75) (end 128.75 97.75) (width 0.127) (layer "F.Cu") (net 162) (tstamp 0049e327-306d-4908-8595-8431bc9622c5)) + (segment (start 130.25 97.25) (end 130.75 97.75) (width 0.127) (layer "F.Cu") (net 162) (tstamp 84845655-a19f-4a77-aae7-740120de4562)) + (segment (start 128.75 97.75) (end 129.25 97.25) (width 0.127) (layer "F.Cu") (net 162) (tstamp a2495b53-fc43-4637-89e8-f714ed7bc40c)) + (segment (start 130.75 97.75) (end 130.75 99.8375) (width 0.127) (layer "F.Cu") (net 162) (tstamp af071f3c-47dd-4d48-b86f-b7d98ad49765)) + (segment (start 129.25 97.25) (end 130.25 97.25) (width 0.127) (layer "F.Cu") (net 162) (tstamp afd653bf-2ccb-4694-9795-1ef1a1d0e063)) + + (zone locked (net 46) (net_name "GND") (layers F&B.Cu) (tstamp 09c0a64f-d468-40b7-9cb4-647f93b03b47) (hatch edge 0.508) + (connect_pads (clearance 0.127)) + (min_thickness 0.127) (filled_areas_thickness no) + (fill yes (thermal_gap 0.127) (thermal_bridge_width 0.127)) + (polygon + (pts + (xy 200.9 131.5) + (xy 194.1 131.5) + (xy 194.1 125) + (xy 182.25 125) + (xy 182.25 127) + (xy 117.75 127) + (xy 117.75 125) + (xy 105.9 125) + (xy 105.9 131.5) + (xy 99.1 131.5) + (xy 99.1 77.7) + (xy 200.9 77.7) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 200.681694 77.918306) + (xy 200.7 77.9625) + (xy 200.7 96.9375) + (xy 200.681694 96.981694) + (xy 200.6375 97) + (xy 196.905877 97) + (xy 196.861589 96.999961) + (xy 196.861588 96.999961) + (xy 196.854551 96.999955) + (xy 196.848206 97.003002) + (xy 196.848205 97.003002) + (xy 196.839966 97.006958) + (xy 196.826812 97.011552) + (xy 196.811038 97.01515) + (xy 196.805532 97.019537) + (xy 196.805533 97.019537) + (xy 196.798565 97.025089) + (xy 196.786672 97.032549) + (xy 196.772295 97.039453) + (xy 196.767899 97.04495) + (xy 196.767898 97.044951) + (xy 196.762187 97.052092) + (xy 196.752327 97.061935) + (xy 196.739677 97.072015) + (xy 196.73662 97.078352) + (xy 196.736618 97.078355) + (xy 196.732749 97.086378) + (xy 196.725266 97.098261) + (xy 196.715306 97.110715) + (xy 196.713729 97.117572) + (xy 196.713727 97.117577) + (xy 196.711678 97.126489) + (xy 196.707062 97.139634) + (xy 196.700035 97.154202) + (xy 196.700029 97.161239) + (xy 196.700015 97.177201) + (xy 196.700014 97.177217) + (xy 196.7 97.177277) + (xy 196.7 97.194123) + (xy 196.699955 97.245449) + (xy 196.699993 97.245528) + (xy 196.7 97.245593) + (xy 196.7 98.694123) + (xy 196.699971 98.727705) + (xy 196.699955 98.745449) + (xy 196.703002 98.751794) + (xy 196.703002 98.751795) + (xy 196.706958 98.760034) + (xy 196.711552 98.773188) + (xy 196.71515 98.788962) + (xy 196.721648 98.797117) + (xy 196.725089 98.801435) + (xy 196.732549 98.813328) + (xy 196.739453 98.827705) + (xy 196.74495 98.832101) + (xy 196.744951 98.832102) + (xy 196.752092 98.837813) + (xy 196.761935 98.847673) + (xy 196.772015 98.860323) + (xy 196.778352 98.86338) + (xy 196.778355 98.863382) + (xy 196.786378 98.867251) + (xy 196.798261 98.874734) + (xy 196.810715 98.884694) + (xy 196.817572 98.886271) + (xy 196.817577 98.886273) + (xy 196.826489 98.888322) + (xy 196.839634 98.892938) + (xy 196.854202 98.899965) + (xy 196.875886 98.899984) + (xy 196.877201 98.899985) + (xy 196.877217 98.899986) + (xy 196.877277 98.9) + (xy 196.894123 98.9) + (xy 196.945192 98.900045) + (xy 196.945449 98.900045) + (xy 196.945528 98.900007) + (xy 196.945593 98.9) + (xy 199.1375 98.9) + (xy 199.181694 98.918306) + (xy 199.2 98.9625) + (xy 199.2 104.694123) + (xy 199.199967 104.732235) + (xy 199.199955 104.745449) + (xy 199.203002 104.751794) + (xy 199.203002 104.751795) + (xy 199.206958 104.760034) + (xy 199.211552 104.773188) + (xy 199.21515 104.788962) + (xy 199.219537 104.794467) + (xy 199.225089 104.801435) + (xy 199.232549 104.813328) + (xy 199.239453 104.827705) + (xy 199.24495 104.832101) + (xy 199.244951 104.832102) + (xy 199.252092 104.837813) + (xy 199.261935 104.847673) + (xy 199.272015 104.860323) + (xy 199.278352 104.86338) + (xy 199.278355 104.863382) + (xy 199.286378 104.867251) + (xy 199.298261 104.874734) + (xy 199.310715 104.884694) + (xy 199.317572 104.886271) + (xy 199.317577 104.886273) + (xy 199.326489 104.888322) + (xy 199.339634 104.892938) + (xy 199.354202 104.899965) + (xy 199.375886 104.899984) + (xy 199.377201 104.899985) + (xy 199.377217 104.899986) + (xy 199.377277 104.9) + (xy 199.394123 104.9) + (xy 199.445192 104.900045) + (xy 199.445449 104.900045) + (xy 199.445528 104.900007) + (xy 199.445593 104.9) + (xy 200.6375 104.9) + (xy 200.681694 104.918306) + (xy 200.7 104.9625) + (xy 200.7 131.2375) + (xy 200.681694 131.281694) + (xy 200.6375 131.3) + (xy 194.3625 131.3) + (xy 194.318306 131.281694) + (xy 194.3 131.2375) + (xy 194.3 128.289564) + (xy 196.241051 128.289564) + (xy 196.241386 128.292329) + (xy 196.267618 128.509108) + (xy 196.267619 128.509114) + (xy 196.267954 128.51188) + (xy 196.3338 128.725917) + (xy 196.436509 128.924912) + (xy 196.572833 129.102573) + (xy 196.574889 129.104444) + (xy 196.574891 129.104446) + (xy 196.736405 129.251413) + (xy 196.736409 129.251416) + (xy 196.738464 129.253286) + (xy 196.928167 129.372286) + (xy 196.930747 129.373323) + (xy 197.133361 129.454774) + (xy 197.133365 129.454775) + (xy 197.135944 129.455812) + (xy 197.248287 129.479077) + (xy 197.35298 129.500759) + (xy 197.352987 129.50076) + (xy 197.355228 129.501224) + (xy 197.412048 129.5045) + (xy 197.556819 129.5045) + (xy 197.558182 129.504378) + (xy 197.55819 129.504378) + (xy 197.720283 129.489911) + (xy 197.723051 129.489664) + (xy 197.846793 129.455812) + (xy 197.936366 129.431308) + (xy 197.936371 129.431306) + (xy 197.939051 129.430573) + (xy 198.141174 129.334166) + (xy 198.32303 129.203489) + (xy 198.324959 129.201499) + (xy 198.324963 129.201495) + (xy 198.476937 129.04467) + (xy 198.476938 129.044668) + (xy 198.478871 129.042674) + (xy 198.60377 128.856803) + (xy 198.693782 128.651752) + (xy 198.746059 128.434002) + (xy 198.754228 128.292329) + (xy 198.758789 128.213217) + (xy 198.758789 128.213213) + (xy 198.758949 128.210436) + (xy 198.741142 128.063286) + (xy 198.732382 127.990892) + (xy 198.732381 127.990886) + (xy 198.732046 127.98812) + (xy 198.6662 127.774083) + (xy 198.563491 127.575088) + (xy 198.530828 127.53252) + (xy 198.473129 127.457326) + (xy 198.427167 127.397427) + (xy 198.399773 127.3725) + (xy 198.263595 127.248587) + (xy 198.263591 127.248584) + (xy 198.261536 127.246714) + (xy 198.071833 127.127714) + (xy 197.92684 127.069427) + (xy 197.866639 127.045226) + (xy 197.866635 127.045225) + (xy 197.864056 127.044188) + (xy 197.751713 127.020923) + (xy 197.64702 126.999241) + (xy 197.647013 126.99924) + (xy 197.644772 126.998776) + (xy 197.587952 126.9955) + (xy 197.443181 126.9955) + (xy 197.441818 126.995622) + (xy 197.44181 126.995622) + (xy 197.296132 127.008624) + (xy 197.276949 127.010336) + (xy 197.194034 127.033019) + (xy 197.063634 127.068692) + (xy 197.063629 127.068694) + (xy 197.060949 127.069427) + (xy 196.858826 127.165834) + (xy 196.67697 127.296511) + (xy 196.675041 127.298501) + (xy 196.675037 127.298505) + (xy 196.58099 127.395554) + (xy 196.521129 127.457326) + (xy 196.39623 127.643197) + (xy 196.306218 127.848248) + (xy 196.253941 128.065998) + (xy 196.253781 128.068778) + (xy 196.25378 128.068783) + (xy 196.245613 128.210436) + (xy 196.241051 128.289564) + (xy 194.3 128.289564) + (xy 194.3 125.005877) + (xy 194.300039 124.961589) + (xy 194.300039 124.961588) + (xy 194.300045 124.954551) + (xy 194.294289 124.942564) + (xy 194.293042 124.939966) + (xy 194.288448 124.926812) + (xy 194.286415 124.917901) + (xy 194.28485 124.911038) + (xy 194.274911 124.898565) + (xy 194.26745 124.886671) + (xy 194.26734 124.886441) + (xy 194.260547 124.872295) + (xy 194.255049 124.867898) + (xy 194.247908 124.862187) + (xy 194.238065 124.852327) + (xy 194.233733 124.846891) + (xy 194.227985 124.839677) + (xy 194.221648 124.83662) + (xy 194.221645 124.836618) + (xy 194.213622 124.832749) + (xy 194.201738 124.825265) + (xy 194.194782 124.819702) + (xy 194.189285 124.815306) + (xy 194.182428 124.813729) + (xy 194.182423 124.813727) + (xy 194.173511 124.811678) + (xy 194.160366 124.807062) + (xy 194.16015 124.806958) + (xy 194.145798 124.800035) + (xy 194.124114 124.800016) + (xy 194.122799 124.800015) + (xy 194.122783 124.800014) + (xy 194.122723 124.8) + (xy 194.105877 124.8) + (xy 194.054808 124.799955) + (xy 194.054551 124.799955) + (xy 194.054472 124.799993) + (xy 194.054407 124.8) + (xy 189.555877 124.8) + (xy 189.504808 124.799955) + (xy 189.504551 124.799955) + (xy 189.504472 124.799993) + (xy 189.504407 124.8) + (xy 187.605877 124.8) + (xy 187.554808 124.799955) + (xy 187.554551 124.799955) + (xy 187.554472 124.799993) + (xy 187.554407 124.8) + (xy 182.255877 124.8) + (xy 182.211589 124.799961) + (xy 182.211588 124.799961) + (xy 182.204551 124.799955) + (xy 182.198206 124.803002) + (xy 182.198205 124.803002) + (xy 182.189966 124.806958) + (xy 182.176812 124.811552) + (xy 182.161038 124.81515) + (xy 182.155532 124.819537) + (xy 182.155533 124.819537) + (xy 182.148565 124.825089) + (xy 182.136672 124.832549) + (xy 182.122295 124.839453) + (xy 182.117899 124.84495) + (xy 182.117898 124.844951) + (xy 182.112187 124.852092) + (xy 182.102327 124.861935) + (xy 182.089677 124.872015) + (xy 182.08662 124.878352) + (xy 182.086618 124.878355) + (xy 182.082749 124.886378) + (xy 182.075266 124.898261) + (xy 182.065306 124.910715) + (xy 182.063729 124.917572) + (xy 182.063727 124.917577) + (xy 182.061678 124.926489) + (xy 182.057062 124.939634) + (xy 182.050035 124.954202) + (xy 182.050029 124.961239) + (xy 182.050015 124.977201) + (xy 182.050014 124.977217) + (xy 182.05 124.977277) + (xy 182.05 124.994123) + (xy 182.049964 125.035553) + (xy 182.049955 125.045449) + (xy 182.049993 125.045528) + (xy 182.05 125.045593) + (xy 182.05 126.9375) + (xy 182.031694 126.981694) + (xy 181.9875 127) + (xy 175.2535 127) + (xy 175.209306 126.981694) + (xy 175.191 126.9375) + (xy 175.191 125.043234) + (xy 175.192253 125.035893) + (xy 175.191524 125.03581) + (xy 175.192321 125.028819) + (xy 175.194653 125.022178) + (xy 175.191383 124.992794) + (xy 175.191 124.985882) + (xy 175.191 124.978467) + (xy 175.18942 124.971538) + (xy 175.188245 124.964595) + (xy 175.185015 124.935572) + (xy 175.18128 124.929606) + (xy 175.179908 124.925667) + (xy 175.178097 124.921904) + (xy 175.176532 124.915041) + (xy 175.158351 124.892226) + (xy 175.154256 124.886441) + (xy 175.15228 124.883285) + (xy 175.152279 124.883283) + (xy 175.150404 124.880289) + (xy 175.145191 124.875076) + (xy 175.140506 124.869832) + (xy 175.126612 124.852396) + (xy 175.126611 124.852396) + (xy 175.122225 124.846891) + (xy 175.115886 124.843833) + (xy 175.110385 124.839442) + (xy 175.110811 124.838908) + (xy 175.104647 124.834532) + (xy 172.387468 122.117353) + (xy 172.383163 122.111279) + (xy 172.38259 122.111735) + (xy 172.378209 122.106227) + (xy 172.375162 122.099882) + (xy 172.352079 122.081422) + (xy 172.34692 122.076805) + (xy 172.341671 122.071556) + (xy 172.335668 122.067783) + (xy 172.329892 122.063678) + (xy 172.307107 122.045457) + (xy 172.300247 122.04388) + (xy 172.296487 122.042062) + (xy 172.292551 122.040684) + (xy 172.286592 122.036938) + (xy 172.279598 122.036147) + (xy 172.279597 122.036147) + (xy 172.257604 122.033661) + (xy 172.250627 122.032469) + (xy 172.243543 122.03084) + (xy 172.236169 122.03084) + (xy 172.229148 122.030444) + (xy 172.227467 122.030254) + (xy 172.200002 122.027149) + (xy 172.193357 122.02947) + (xy 172.186363 122.030254) + (xy 172.186287 122.029576) + (xy 172.178835 122.03084) + (xy 161.803631 122.03084) + (xy 161.759437 122.012534) + (xy 161.209306 121.462403) + (xy 161.191 121.418209) + (xy 161.191 118.073151) + (xy 161.209306 118.028957) + (xy 161.2535 118.010651) + (xy 161.297694 118.028957) + (xy 161.312525 118.0526) + (xy 161.314207 118.057431) + (xy 161.314986 118.064429) + (xy 161.318721 118.070396) + (xy 161.320095 118.074341) + (xy 161.321902 118.078097) + (xy 161.323468 118.084959) + (xy 161.327853 118.090461) + (xy 161.327853 118.090462) + (xy 161.341648 118.107773) + (xy 161.345744 118.113559) + (xy 161.349596 118.119711) + (xy 161.354809 118.124924) + (xy 161.359494 118.130168) + (xy 161.377775 118.153109) + (xy 161.384114 118.156167) + (xy 161.389615 118.160558) + (xy 161.389189 118.161092) + (xy 161.395353 118.165468) + (xy 163.084372 119.854487) + (xy 163.088677 119.860561) + (xy 163.08925 119.860105) + (xy 163.093631 119.865613) + (xy 163.096678 119.871958) + (xy 163.102175 119.876354) + (xy 163.119761 119.890418) + (xy 163.12492 119.895035) + (xy 163.130169 119.900284) + (xy 163.13573 119.903779) + (xy 163.136172 119.904057) + (xy 163.141948 119.908162) + (xy 163.164733 119.926383) + (xy 163.171593 119.92796) + (xy 163.175353 119.929778) + (xy 163.179289 119.931156) + (xy 163.185248 119.934902) + (xy 163.192242 119.935693) + (xy 163.192243 119.935693) + (xy 163.214236 119.938179) + (xy 163.221213 119.939371) + (xy 163.228297 119.941) + (xy 163.235671 119.941) + (xy 163.242692 119.941396) + (xy 163.271838 119.944691) + (xy 163.278483 119.94237) + (xy 163.285477 119.941586) + (xy 163.285553 119.942264) + (xy 163.293005 119.941) + (xy 178.056768 119.941) + (xy 178.064107 119.94225) + (xy 178.06419 119.941523) + (xy 178.071181 119.94232) + (xy 178.077822 119.944652) + (xy 178.084815 119.943874) + (xy 178.084816 119.943874) + (xy 178.105939 119.941523) + (xy 178.107198 119.941383) + (xy 178.11411 119.941) + (xy 178.121533 119.941) + (xy 178.128465 119.939419) + (xy 178.135418 119.938243) + (xy 178.164429 119.935014) + (xy 178.170393 119.931281) + (xy 178.174341 119.929906) + (xy 178.1781 119.928097) + (xy 178.184959 119.926532) + (xy 178.207774 119.908351) + (xy 178.213559 119.904256) + (xy 178.216715 119.90228) + (xy 178.216717 119.902279) + (xy 178.219711 119.900404) + (xy 178.224924 119.895191) + (xy 178.230168 119.890506) + (xy 178.247604 119.876612) + (xy 178.247604 119.876611) + (xy 178.253109 119.872225) + (xy 178.256167 119.865886) + (xy 178.260558 119.860385) + (xy 178.261092 119.860811) + (xy 178.265468 119.854647) + (xy 182.954487 115.165628) + (xy 182.960561 115.161323) + (xy 182.960105 115.16075) + (xy 182.965613 115.156369) + (xy 182.971958 115.153322) + (xy 182.990422 115.130234) + (xy 182.995035 115.12508) + (xy 183.000283 115.119832) + (xy 183.002153 115.116857) + (xy 183.004055 115.113831) + (xy 183.008159 115.108056) + (xy 183.021988 115.090764) + (xy 183.02199 115.09076) + (xy 183.026383 115.085267) + (xy 183.02796 115.07841) + (xy 183.029778 115.074648) + (xy 183.031157 115.07071) + (xy 183.034902 115.064752) + (xy 183.035692 115.057761) + (xy 183.035694 115.057756) + (xy 183.03818 115.035763) + (xy 183.039373 115.028778) + (xy 183.040208 115.025146) + (xy 183.041 115.021703) + (xy 183.041 115.014323) + (xy 183.041396 115.007303) + (xy 183.043899 114.985158) + (xy 183.04469 114.978162) + (xy 183.04237 114.971518) + (xy 183.041585 114.964522) + (xy 183.042264 114.964446) + (xy 183.041 114.956997) + (xy 183.041 114.693824) + (xy 183.059306 114.64963) + (xy 183.091896 114.634201) + (xy 183.091558 114.6325) + (xy 183.097083 114.631401) + (xy 183.122713 114.626303) + (xy 183.122715 114.626302) + (xy 183.128748 114.625102) + (xy 183.170922 114.596922) + (xy 183.198033 114.556348) + (xy 183.237807 114.529772) + (xy 183.284723 114.539104) + (xy 183.301967 114.556348) + (xy 183.329078 114.596922) + (xy 183.371252 114.625102) + (xy 183.377285 114.626302) + (xy 183.377287 114.626303) + (xy 183.402917 114.631401) + (xy 183.408442 114.6325) + (xy 183.891558 114.6325) + (xy 183.897083 114.631401) + (xy 183.922713 114.626303) + (xy 183.922715 114.626302) + (xy 183.928748 114.625102) + (xy 183.970922 114.596922) + (xy 183.998033 114.556348) + (xy 184.037807 114.529772) + (xy 184.084723 114.539104) + (xy 184.101967 114.556348) + (xy 184.129078 114.596922) + (xy 184.171252 114.625102) + (xy 184.177285 114.626302) + (xy 184.177287 114.626303) + (xy 184.202917 114.631401) + (xy 184.208442 114.6325) + (xy 184.691558 114.6325) + (xy 184.697083 114.631401) + (xy 184.722713 114.626303) + (xy 184.722715 114.626302) + (xy 184.728748 114.625102) + (xy 184.770922 114.596922) + (xy 184.775205 114.590512) + (xy 184.798335 114.555897) + (xy 184.838109 114.529322) + (xy 184.885025 114.538655) + (xy 184.902268 114.555899) + (xy 184.926017 114.591443) + (xy 184.934555 114.599981) + (xy 184.96633 114.621212) + (xy 184.977482 114.625831) + (xy 185.005481 114.631401) + (xy 185.011562 114.632) + (xy 185.174069 114.632) + (xy 185.182859 114.628359) + (xy 185.1865 114.619569) + (xy 185.1865 112.880431) + (xy 185.182859 112.871641) + (xy 185.174069 112.868) + (xy 185.011562 112.868) + (xy 185.005481 112.868599) + (xy 184.977482 112.874169) + (xy 184.96633 112.878788) + (xy 184.934555 112.900019) + (xy 184.926017 112.908557) + (xy 184.902268 112.944101) + (xy 184.862495 112.970678) + (xy 184.815579 112.961346) + (xy 184.798335 112.944103) + (xy 184.774342 112.908196) + (xy 184.774341 112.908195) + (xy 184.770922 112.903078) + (xy 184.728748 112.874898) + (xy 184.722715 112.873698) + (xy 184.722713 112.873697) + (xy 184.694569 112.868099) + (xy 184.691558 112.8675) + (xy 184.208442 112.8675) + (xy 184.205431 112.868099) + (xy 184.177287 112.873697) + (xy 184.177285 112.873698) + (xy 184.171252 112.874898) + (xy 184.129078 112.903078) + (xy 184.125659 112.908195) + (xy 184.101967 112.943652) + (xy 184.062193 112.970228) + (xy 184.015277 112.960896) + (xy 183.998033 112.943652) + (xy 183.974341 112.908195) + (xy 183.970922 112.903078) + (xy 183.928748 112.874898) + (xy 183.922715 112.873698) + (xy 183.922713 112.873697) + (xy 183.891558 112.8675) + (xy 183.891957 112.865494) + (xy 183.855159 112.845791) + (xy 183.841 112.806176) + (xy 183.841 112.543232) + (xy 183.84225 112.535893) + (xy 183.841523 112.53581) + (xy 183.84232 112.528819) + (xy 183.844652 112.522178) + (xy 183.842864 112.506104) + (xy 183.841383 112.4928) + (xy 183.841 112.485888) + (xy 183.841 112.478467) + (xy 183.839423 112.471552) + (xy 183.838242 112.464569) + (xy 183.835793 112.442562) + (xy 183.835015 112.435571) + (xy 183.831282 112.429608) + (xy 183.829912 112.425675) + (xy 183.828098 112.421905) + (xy 183.826532 112.415041) + (xy 183.808354 112.392229) + (xy 183.80426 112.386446) + (xy 183.802284 112.38329) + (xy 183.802279 112.383284) + (xy 183.800404 112.380289) + (xy 183.795191 112.375076) + (xy 183.790506 112.369832) + (xy 183.776612 112.352396) + (xy 183.776611 112.352396) + (xy 183.772225 112.346891) + (xy 183.765886 112.343833) + (xy 183.760385 112.339442) + (xy 183.760811 112.338908) + (xy 183.754647 112.334532) + (xy 181.995969 110.575854) + (xy 181.977663 110.53166) + (xy 181.995969 110.487466) + (xy 182.040163 110.46916) + (xy 183.723157 110.46916) + (xy 183.767351 110.487466) + (xy 185.840694 112.560808) + (xy 185.859 112.605002) + (xy 185.859 112.806176) + (xy 185.840694 112.85037) + (xy 185.808104 112.865799) + (xy 185.808442 112.8675) + (xy 185.777287 112.873697) + (xy 185.777285 112.873698) + (xy 185.771252 112.874898) + (xy 185.729078 112.903078) + (xy 185.725659 112.908195) + (xy 185.725658 112.908196) + (xy 185.701665 112.944103) + (xy 185.661891 112.970678) + (xy 185.614975 112.961345) + (xy 185.597732 112.944101) + (xy 185.573983 112.908557) + (xy 185.565445 112.900019) + (xy 185.53367 112.878788) + (xy 185.522518 112.874169) + (xy 185.494519 112.868599) + (xy 185.488438 112.868) + (xy 185.325931 112.868) + (xy 185.317141 112.871641) + (xy 185.3135 112.880431) + (xy 185.3135 114.619569) + (xy 185.317141 114.628359) + (xy 185.325931 114.632) + (xy 185.488438 114.632) + (xy 185.494519 114.631401) + (xy 185.522518 114.625831) + (xy 185.53367 114.621212) + (xy 185.565445 114.599981) + (xy 185.573983 114.591443) + (xy 185.597732 114.555899) + (xy 185.637505 114.529322) + (xy 185.684421 114.538654) + (xy 185.701665 114.555897) + (xy 185.724795 114.590512) + (xy 185.729078 114.596922) + (xy 185.771252 114.625102) + (xy 185.777285 114.626302) + (xy 185.777287 114.626303) + (xy 185.802917 114.631401) + (xy 185.808442 114.6325) + (xy 186.291558 114.6325) + (xy 186.297083 114.631401) + (xy 186.322713 114.626303) + (xy 186.322715 114.626302) + (xy 186.328748 114.625102) + (xy 186.370922 114.596922) + (xy 186.398033 114.556348) + (xy 186.437807 114.529772) + (xy 186.484723 114.539104) + (xy 186.501967 114.556348) + (xy 186.529078 114.596922) + (xy 186.571252 114.625102) + (xy 186.577285 114.626302) + (xy 186.577287 114.626303) + (xy 186.608442 114.6325) + (xy 186.608043 114.634506) + (xy 186.644841 114.654209) + (xy 186.659 114.693824) + (xy 186.659 115.080525) + (xy 186.640694 115.124719) + (xy 186.629855 115.13338) + (xy 186.61281 115.144135) + (xy 186.607842 115.146959) + (xy 186.601757 115.150059) + (xy 186.601756 115.15006) + (xy 186.597375 115.152292) + (xy 186.593897 115.15577) + (xy 186.592445 115.156825) + (xy 186.589058 115.15912) + (xy 186.57008 115.171095) + (xy 186.567137 115.174427) + (xy 186.567135 115.174429) + (xy 186.507156 115.242342) + (xy 186.506032 115.243538) + (xy 186.505775 115.243892) + (xy 186.505554 115.244113) + (xy 186.505405 115.244204) + (xy 186.504504 115.245163) + (xy 186.502292 115.247375) + (xy 186.501509 115.246592) + (xy 186.500362 115.247296) + (xy 186.501721 115.248496) + (xy 186.489018 115.262879) + (xy 186.487127 115.266907) + (xy 186.438868 115.369695) + (xy 186.438867 115.369698) + (xy 186.436976 115.373726) + (xy 186.418136 115.494724) + (xy 186.418713 115.499137) + (xy 186.418713 115.499138) + (xy 186.432164 115.601999) + (xy 186.434014 115.616145) + (xy 186.441185 115.632443) + (xy 186.441244 115.632813) + (xy 186.442007 115.634311) + (xy 186.444031 115.63891) + (xy 186.444031 115.638911) + (xy 186.453641 115.66075) + (xy 186.483333 115.72823) + (xy 186.497272 115.744813) + (xy 186.498029 115.745713) + (xy 186.500157 115.748434) + (xy 186.502292 115.752625) + (xy 186.510081 115.760414) + (xy 186.51373 115.764392) + (xy 186.551637 115.809488) + (xy 186.562127 115.821968) + (xy 186.585399 115.837459) + (xy 186.591836 115.842733) + (xy 186.593899 115.844232) + (xy 186.597375 115.847708) + (xy 186.601753 115.849939) + (xy 186.601756 115.849941) + (xy 186.60873 115.853494) + (xy 186.614977 115.857148) + (xy 186.664064 115.889823) + (xy 186.668313 115.891151) + (xy 186.668314 115.891151) + (xy 186.700296 115.901143) + (xy 186.710027 115.905109) + (xy 186.712799 115.906521) + (xy 186.712803 115.906522) + (xy 186.717187 115.908756) + (xy 186.727852 115.910445) + (xy 186.736713 115.91252) + (xy 186.776696 115.925012) + (xy 186.776699 115.925012) + (xy 186.780948 115.92634) + (xy 186.830069 115.927241) + (xy 186.838683 115.927999) + (xy 186.84514 115.929022) + (xy 186.845144 115.929022) + (xy 186.85 115.929791) + (xy 186.856947 115.92869) + (xy 186.867853 115.927933) + (xy 186.903383 115.928584) + (xy 186.963532 115.912185) + (xy 186.97019 115.910755) + (xy 186.972147 115.910445) + (xy 186.982813 115.908756) + (xy 186.987196 115.906522) + (xy 186.991875 115.905002) + (xy 186.991878 115.90501) + (xy 186.996727 115.903136) + (xy 187.021527 115.896375) + (xy 187.02878 115.891922) + (xy 187.089709 115.854511) + (xy 187.094037 115.852085) + (xy 187.09824 115.849944) + (xy 187.098248 115.849938) + (xy 187.102625 115.847708) + (xy 187.105427 115.844906) + (xy 187.106264 115.844346) + (xy 187.107295 115.843713) + (xy 187.125881 115.832301) + (xy 187.208058 115.741513) + (xy 187.261451 115.631311) + (xy 187.2625 115.62508) + (xy 187.276955 115.539153) + (xy 187.281767 115.510552) + (xy 187.281896 115.5) + (xy 187.264536 115.378781) + (xy 187.213852 115.267307) + (xy 187.199199 115.250302) + (xy 187.197708 115.247375) + (xy 187.1919 115.241567) + (xy 187.188746 115.23817) + (xy 187.159465 115.204188) + (xy 187.133918 115.174539) + (xy 187.113741 115.161461) + (xy 187.110155 115.158559) + (xy 187.110081 115.158661) + (xy 187.106106 115.155773) + (xy 187.102625 115.152292) + (xy 187.091343 115.146543) + (xy 187.085735 115.143308) + (xy 187.069505 115.132788) + (xy 187.042377 115.093389) + (xy 187.041 115.080342) + (xy 187.041 114.693824) + (xy 187.059306 114.64963) + (xy 187.091896 114.634201) + (xy 187.091558 114.6325) + (xy 187.097083 114.631401) + (xy 187.122713 114.626303) + (xy 187.122715 114.626302) + (xy 187.128748 114.625102) + (xy 187.170922 114.596922) + (xy 187.198033 114.556348) + (xy 187.237807 114.529772) + (xy 187.284723 114.539104) + (xy 187.301967 114.556348) + (xy 187.329078 114.596922) + (xy 187.371252 114.625102) + (xy 187.377285 114.626302) + (xy 187.377287 114.626303) + (xy 187.402917 114.631401) + (xy 187.408442 114.6325) + (xy 187.891558 114.6325) + (xy 187.897083 114.631401) + (xy 187.922713 114.626303) + (xy 187.922715 114.626302) + (xy 187.928748 114.625102) + (xy 187.970922 114.596922) + (xy 187.998033 114.556348) + (xy 188.037807 114.529772) + (xy 188.084723 114.539104) + (xy 188.101967 114.556348) + (xy 188.129078 114.596922) + (xy 188.171252 114.625102) + (xy 188.177285 114.626302) + (xy 188.177287 114.626303) + (xy 188.202917 114.631401) + (xy 188.208442 114.6325) + (xy 188.691558 114.6325) + (xy 188.697083 114.631401) + (xy 188.722713 114.626303) + (xy 188.722715 114.626302) + (xy 188.728748 114.625102) + (xy 188.770922 114.596922) + (xy 188.775205 114.590512) + (xy 188.798335 114.555897) + (xy 188.838109 114.529322) + (xy 188.885025 114.538655) + (xy 188.902268 114.555899) + (xy 188.926017 114.591443) + (xy 188.934555 114.599981) + (xy 188.96633 114.621212) + (xy 188.977482 114.625831) + (xy 189.005481 114.631401) + (xy 189.011562 114.632) + (xy 189.174069 114.632) + (xy 189.182859 114.628359) + (xy 189.1865 114.619569) + (xy 189.1865 112.880431) + (xy 189.182859 112.871641) + (xy 189.174069 112.868) + (xy 189.011562 112.868) + (xy 189.005481 112.868599) + (xy 188.977482 112.874169) + (xy 188.96633 112.878788) + (xy 188.934555 112.900019) + (xy 188.926017 112.908557) + (xy 188.902268 112.944101) + (xy 188.862495 112.970678) + (xy 188.815579 112.961346) + (xy 188.798335 112.944103) + (xy 188.774342 112.908196) + (xy 188.774341 112.908195) + (xy 188.770922 112.903078) + (xy 188.728748 112.874898) + (xy 188.722715 112.873698) + (xy 188.722713 112.873697) + (xy 188.691558 112.8675) + (xy 188.691957 112.865494) + (xy 188.655159 112.845791) + (xy 188.641 112.806176) + (xy 188.641 112.543232) + (xy 188.64225 112.535893) + (xy 188.641523 112.53581) + (xy 188.64232 112.528819) + (xy 188.644652 112.522178) + (xy 188.642574 112.5035) + (xy 188.641383 112.492803) + (xy 188.641 112.48589) + (xy 188.641 112.478467) + (xy 188.639419 112.471535) + (xy 188.638241 112.464569) + (xy 188.635014 112.435571) + (xy 188.631281 112.429607) + (xy 188.629906 112.425659) + (xy 188.628097 112.4219) + (xy 188.626532 112.415041) + (xy 188.622137 112.409525) + (xy 188.612591 112.397546) + (xy 188.608351 112.392226) + (xy 188.604256 112.386441) + (xy 188.60228 112.383285) + (xy 188.602279 112.383283) + (xy 188.600404 112.380289) + (xy 188.595191 112.375076) + (xy 188.590506 112.369832) + (xy 188.576612 112.352396) + (xy 188.576611 112.352396) + (xy 188.572225 112.346891) + (xy 188.565886 112.343833) + (xy 188.560385 112.339442) + (xy 188.560811 112.338908) + (xy 188.554647 112.334532) + (xy 186.035409 109.815294) + (xy 186.017103 109.7711) + (xy 186.035409 109.726906) + (xy 186.079603 109.7086) + (xy 186.962597 109.7086) + (xy 187.006791 109.726906) + (xy 189.840694 112.560809) + (xy 189.859 112.605003) + (xy 189.859 112.806176) + (xy 189.840694 112.85037) + (xy 189.808104 112.865799) + (xy 189.808442 112.8675) + (xy 189.777287 112.873697) + (xy 189.777285 112.873698) + (xy 189.771252 112.874898) + (xy 189.729078 112.903078) + (xy 189.725659 112.908195) + (xy 189.725658 112.908196) + (xy 189.701665 112.944103) + (xy 189.661891 112.970678) + (xy 189.614975 112.961345) + (xy 189.597732 112.944101) + (xy 189.573983 112.908557) + (xy 189.565445 112.900019) + (xy 189.53367 112.878788) + (xy 189.522518 112.874169) + (xy 189.494519 112.868599) + (xy 189.488438 112.868) + (xy 189.325931 112.868) + (xy 189.317141 112.871641) + (xy 189.3135 112.880431) + (xy 189.3135 114.619569) + (xy 189.317141 114.628359) + (xy 189.325931 114.632) + (xy 189.488438 114.632) + (xy 189.494519 114.631401) + (xy 189.522518 114.625831) + (xy 189.53367 114.621212) + (xy 189.565445 114.599981) + (xy 189.573983 114.591443) + (xy 189.597732 114.555899) + (xy 189.637505 114.529322) + (xy 189.684421 114.538654) + (xy 189.701665 114.555897) + (xy 189.724795 114.590512) + (xy 189.729078 114.596922) + (xy 189.771252 114.625102) + (xy 189.777285 114.626302) + (xy 189.777287 114.626303) + (xy 189.802917 114.631401) + (xy 189.808442 114.6325) + (xy 190.291558 114.6325) + (xy 190.297083 114.631401) + (xy 190.322713 114.626303) + (xy 190.322715 114.626302) + (xy 190.328748 114.625102) + (xy 190.370922 114.596922) + (xy 190.398033 114.556348) + (xy 190.437807 114.529772) + (xy 190.484723 114.539104) + (xy 190.501967 114.556348) + (xy 190.529078 114.596922) + (xy 190.571252 114.625102) + (xy 190.577285 114.626302) + (xy 190.577287 114.626303) + (xy 190.602917 114.631401) + (xy 190.608442 114.6325) + (xy 191.091558 114.6325) + (xy 191.097083 114.631401) + (xy 191.122713 114.626303) + (xy 191.122715 114.626302) + (xy 191.128748 114.625102) + (xy 191.170922 114.596922) + (xy 191.198033 114.556348) + (xy 191.237807 114.529772) + (xy 191.284723 114.539104) + (xy 191.301967 114.556348) + (xy 191.329078 114.596922) + (xy 191.371252 114.625102) + (xy 191.377285 114.626302) + (xy 191.377287 114.626303) + (xy 191.408442 114.6325) + (xy 191.408043 114.634506) + (xy 191.444841 114.654209) + (xy 191.459 114.693824) + (xy 191.459 115.080525) + (xy 191.440694 115.124719) + (xy 191.429855 115.13338) + (xy 191.41281 115.144135) + (xy 191.407842 115.146959) + (xy 191.401757 115.150059) + (xy 191.401756 115.15006) + (xy 191.397375 115.152292) + (xy 191.393897 115.15577) + (xy 191.392445 115.156825) + (xy 191.389058 115.15912) + (xy 191.37008 115.171095) + (xy 191.367137 115.174427) + (xy 191.367135 115.174429) + (xy 191.307156 115.242342) + (xy 191.306032 115.243538) + (xy 191.305775 115.243892) + (xy 191.305554 115.244113) + (xy 191.305405 115.244204) + (xy 191.304504 115.245163) + (xy 191.302292 115.247375) + (xy 191.301509 115.246592) + (xy 191.300362 115.247296) + (xy 191.301721 115.248496) + (xy 191.289018 115.262879) + (xy 191.287127 115.266907) + (xy 191.238868 115.369695) + (xy 191.238867 115.369698) + (xy 191.236976 115.373726) + (xy 191.218136 115.494724) + (xy 191.218713 115.499137) + (xy 191.218713 115.499138) + (xy 191.232164 115.601999) + (xy 191.234014 115.616145) + (xy 191.241185 115.632443) + (xy 191.241244 115.632813) + (xy 191.242007 115.634311) + (xy 191.244031 115.63891) + (xy 191.244031 115.638911) + (xy 191.253641 115.66075) + (xy 191.283333 115.72823) + (xy 191.297272 115.744813) + (xy 191.298029 115.745713) + (xy 191.300157 115.748434) + (xy 191.302292 115.752625) + (xy 191.310081 115.760414) + (xy 191.31373 115.764392) + (xy 191.351637 115.809488) + (xy 191.362127 115.821968) + (xy 191.385399 115.837459) + (xy 191.391836 115.842733) + (xy 191.393899 115.844232) + (xy 191.397375 115.847708) + (xy 191.401753 115.849939) + (xy 191.401756 115.849941) + (xy 191.40873 115.853494) + (xy 191.414977 115.857148) + (xy 191.464064 115.889823) + (xy 191.468313 115.891151) + (xy 191.468314 115.891151) + (xy 191.500296 115.901143) + (xy 191.510027 115.905109) + (xy 191.512799 115.906521) + (xy 191.512803 115.906522) + (xy 191.517187 115.908756) + (xy 191.527852 115.910445) + (xy 191.536713 115.91252) + (xy 191.576696 115.925012) + (xy 191.576699 115.925012) + (xy 191.580948 115.92634) + (xy 191.630069 115.927241) + (xy 191.638683 115.927999) + (xy 191.64514 115.929022) + (xy 191.645144 115.929022) + (xy 191.65 115.929791) + (xy 191.656947 115.92869) + (xy 191.667853 115.927933) + (xy 191.703383 115.928584) + (xy 191.763532 115.912185) + (xy 191.77019 115.910755) + (xy 191.772147 115.910445) + (xy 191.782813 115.908756) + (xy 191.787196 115.906522) + (xy 191.791875 115.905002) + (xy 191.791878 115.90501) + (xy 191.796727 115.903136) + (xy 191.821527 115.896375) + (xy 191.82878 115.891922) + (xy 191.889709 115.854511) + (xy 191.894037 115.852085) + (xy 191.89824 115.849944) + (xy 191.898248 115.849938) + (xy 191.902625 115.847708) + (xy 191.905427 115.844906) + (xy 191.906264 115.844346) + (xy 191.907295 115.843713) + (xy 191.925881 115.832301) + (xy 192.008058 115.741513) + (xy 192.061451 115.631311) + (xy 192.0625 115.62508) + (xy 192.076955 115.539153) + (xy 192.081767 115.510552) + (xy 192.081896 115.5) + (xy 192.064536 115.378781) + (xy 192.013852 115.267307) + (xy 191.999199 115.250302) + (xy 191.997708 115.247375) + (xy 191.9919 115.241567) + (xy 191.988746 115.23817) + (xy 191.959465 115.204188) + (xy 191.933918 115.174539) + (xy 191.913741 115.161461) + (xy 191.910155 115.158559) + (xy 191.910081 115.158661) + (xy 191.906106 115.155773) + (xy 191.902625 115.152292) + (xy 191.891343 115.146543) + (xy 191.885735 115.143308) + (xy 191.869505 115.132788) + (xy 191.842377 115.093389) + (xy 191.841 115.080342) + (xy 191.841 114.693824) + (xy 191.859306 114.64963) + (xy 191.891896 114.634201) + (xy 191.891558 114.6325) + (xy 191.897083 114.631401) + (xy 191.922713 114.626303) + (xy 191.922715 114.626302) + (xy 191.928748 114.625102) + (xy 191.970922 114.596922) + (xy 191.998033 114.556348) + (xy 192.037807 114.529772) + (xy 192.084723 114.539104) + (xy 192.101967 114.556348) + (xy 192.129078 114.596922) + (xy 192.171252 114.625102) + (xy 192.177285 114.626302) + (xy 192.177287 114.626303) + (xy 192.202917 114.631401) + (xy 192.208442 114.6325) + (xy 192.691558 114.6325) + (xy 192.697083 114.631401) + (xy 192.722713 114.626303) + (xy 192.722715 114.626302) + (xy 192.728748 114.625102) + (xy 192.770922 114.596922) + (xy 192.798033 114.556348) + (xy 192.837807 114.529772) + (xy 192.884723 114.539104) + (xy 192.901967 114.556348) + (xy 192.929078 114.596922) + (xy 192.971252 114.625102) + (xy 192.977285 114.626302) + (xy 192.977287 114.626303) + (xy 193.002917 114.631401) + (xy 193.008442 114.6325) + (xy 193.491558 114.6325) + (xy 193.497083 114.631401) + (xy 193.522713 114.626303) + (xy 193.522715 114.626302) + (xy 193.528748 114.625102) + (xy 193.570922 114.596922) + (xy 193.575205 114.590512) + (xy 193.598335 114.555897) + (xy 193.638109 114.529322) + (xy 193.685025 114.538655) + (xy 193.702268 114.555899) + (xy 193.726017 114.591443) + (xy 193.734555 114.599981) + (xy 193.76633 114.621212) + (xy 193.777482 114.625831) + (xy 193.805481 114.631401) + (xy 193.811562 114.632) + (xy 193.974069 114.632) + (xy 193.982859 114.628359) + (xy 193.9865 114.619569) + (xy 193.9865 112.880431) + (xy 193.982859 112.871641) + (xy 193.974069 112.868) + (xy 193.811562 112.868) + (xy 193.805481 112.868599) + (xy 193.777482 112.874169) + (xy 193.76633 112.878788) + (xy 193.734555 112.900019) + (xy 193.726017 112.908557) + (xy 193.702268 112.944101) + (xy 193.662495 112.970678) + (xy 193.615579 112.961346) + (xy 193.598335 112.944103) + (xy 193.574342 112.908196) + (xy 193.574341 112.908195) + (xy 193.570922 112.903078) + (xy 193.528748 112.874898) + (xy 193.522715 112.873698) + (xy 193.522713 112.873697) + (xy 193.491558 112.8675) + (xy 193.491957 112.865494) + (xy 193.455159 112.845791) + (xy 193.441 112.806176) + (xy 193.441 112.543232) + (xy 193.44225 112.535893) + (xy 193.441523 112.53581) + (xy 193.44232 112.528819) + (xy 193.444652 112.522178) + (xy 193.442864 112.506104) + (xy 193.441383 112.4928) + (xy 193.441 112.485888) + (xy 193.441 112.478467) + (xy 193.439423 112.471552) + (xy 193.438242 112.464569) + (xy 193.435793 112.442562) + (xy 193.435015 112.435571) + (xy 193.431282 112.429608) + (xy 193.429912 112.425675) + (xy 193.428098 112.421905) + (xy 193.426532 112.415041) + (xy 193.408354 112.392229) + (xy 193.40426 112.386446) + (xy 193.402284 112.38329) + (xy 193.402279 112.383284) + (xy 193.400404 112.380289) + (xy 193.395191 112.375076) + (xy 193.390506 112.369832) + (xy 193.376612 112.352396) + (xy 193.376611 112.352396) + (xy 193.372225 112.346891) + (xy 193.365886 112.343833) + (xy 193.360385 112.339442) + (xy 193.360811 112.338908) + (xy 193.354647 112.334532) + (xy 189.821329 108.801214) + (xy 189.803023 108.75702) + (xy 189.821329 108.712826) + (xy 189.865523 108.69452) + (xy 190.748517 108.69452) + (xy 190.792711 108.712826) + (xy 194.640694 112.560808) + (xy 194.659 112.605002) + (xy 194.659 112.806176) + (xy 194.640694 112.85037) + (xy 194.608104 112.865799) + (xy 194.608442 112.8675) + (xy 194.577287 112.873697) + (xy 194.577285 112.873698) + (xy 194.571252 112.874898) + (xy 194.529078 112.903078) + (xy 194.525659 112.908195) + (xy 194.525658 112.908196) + (xy 194.501665 112.944103) + (xy 194.461891 112.970678) + (xy 194.414975 112.961345) + (xy 194.397732 112.944101) + (xy 194.373983 112.908557) + (xy 194.365445 112.900019) + (xy 194.33367 112.878788) + (xy 194.322518 112.874169) + (xy 194.294519 112.868599) + (xy 194.288438 112.868) + (xy 194.125931 112.868) + (xy 194.117141 112.871641) + (xy 194.1135 112.880431) + (xy 194.1135 114.619569) + (xy 194.117141 114.628359) + (xy 194.125931 114.632) + (xy 194.288438 114.632) + (xy 194.294519 114.631401) + (xy 194.322518 114.625831) + (xy 194.33367 114.621212) + (xy 194.365445 114.599981) + (xy 194.373983 114.591443) + (xy 194.397732 114.555899) + (xy 194.437505 114.529322) + (xy 194.484421 114.538654) + (xy 194.501665 114.555897) + (xy 194.524795 114.590512) + (xy 194.529078 114.596922) + (xy 194.571252 114.625102) + (xy 194.577285 114.626302) + (xy 194.577287 114.626303) + (xy 194.602917 114.631401) + (xy 194.608442 114.6325) + (xy 195.091558 114.6325) + (xy 195.097083 114.631401) + (xy 195.122713 114.626303) + (xy 195.122715 114.626302) + (xy 195.128748 114.625102) + (xy 195.170922 114.596922) + (xy 195.175205 114.590512) + (xy 195.198335 114.555897) + (xy 195.238109 114.529322) + (xy 195.285025 114.538655) + (xy 195.302268 114.555899) + (xy 195.326017 114.591443) + (xy 195.334555 114.599981) + (xy 195.36633 114.621212) + (xy 195.377482 114.625831) + (xy 195.405481 114.631401) + (xy 195.411562 114.632) + (xy 195.574069 114.632) + (xy 195.582859 114.628359) + (xy 195.5865 114.619569) + (xy 195.7135 114.619569) + (xy 195.717141 114.628359) + (xy 195.725931 114.632) + (xy 195.888438 114.632) + (xy 195.894519 114.631401) + (xy 195.922518 114.625831) + (xy 195.93367 114.621212) + (xy 195.965445 114.599981) + (xy 195.973981 114.591445) + (xy 195.995212 114.55967) + (xy 195.999831 114.548518) + (xy 196.005401 114.520519) + (xy 196.006 114.514438) + (xy 196.006 113.825931) + (xy 196.002359 113.817141) + (xy 195.993569 113.8135) + (xy 195.725931 113.8135) + (xy 195.717141 113.817141) + (xy 195.7135 113.825931) + (xy 195.7135 114.619569) + (xy 195.5865 114.619569) + (xy 195.5865 113.674069) + (xy 195.7135 113.674069) + (xy 195.717141 113.682859) + (xy 195.725931 113.6865) + (xy 195.993569 113.6865) + (xy 196.002359 113.682859) + (xy 196.006 113.674069) + (xy 196.006 112.985562) + (xy 196.005401 112.979481) + (xy 195.999831 112.951482) + (xy 195.995212 112.94033) + (xy 195.973981 112.908555) + (xy 195.965445 112.900019) + (xy 195.93367 112.878788) + (xy 195.922518 112.874169) + (xy 195.894519 112.868599) + (xy 195.888438 112.868) + (xy 195.725931 112.868) + (xy 195.717141 112.871641) + (xy 195.7135 112.880431) + (xy 195.7135 113.674069) + (xy 195.5865 113.674069) + (xy 195.5865 112.880431) + (xy 195.582859 112.871641) + (xy 195.574069 112.868) + (xy 195.411562 112.868) + (xy 195.405481 112.868599) + (xy 195.377482 112.874169) + (xy 195.36633 112.878788) + (xy 195.334555 112.900019) + (xy 195.326017 112.908557) + (xy 195.302268 112.944101) + (xy 195.262495 112.970678) + (xy 195.215579 112.961346) + (xy 195.198335 112.944103) + (xy 195.174342 112.908196) + (xy 195.174341 112.908195) + (xy 195.170922 112.903078) + (xy 195.128748 112.874898) + (xy 195.122715 112.873698) + (xy 195.122713 112.873697) + (xy 195.091558 112.8675) + (xy 195.091957 112.865494) + (xy 195.055159 112.845791) + (xy 195.041 112.806176) + (xy 195.041 112.543232) + (xy 195.04225 112.535893) + (xy 195.041523 112.53581) + (xy 195.04232 112.528819) + (xy 195.044652 112.522178) + (xy 195.042574 112.5035) + (xy 195.041383 112.492803) + (xy 195.041 112.48589) + (xy 195.041 112.478467) + (xy 195.039419 112.471535) + (xy 195.038241 112.464569) + (xy 195.035014 112.435571) + (xy 195.031281 112.429607) + (xy 195.029906 112.425659) + (xy 195.028097 112.4219) + (xy 195.026532 112.415041) + (xy 195.022137 112.409525) + (xy 195.012591 112.397546) + (xy 195.008351 112.392226) + (xy 195.004256 112.386441) + (xy 195.00228 112.383285) + (xy 195.002279 112.383283) + (xy 195.000404 112.380289) + (xy 194.995191 112.375076) + (xy 194.990506 112.369832) + (xy 194.976612 112.352396) + (xy 194.976611 112.352396) + (xy 194.972225 112.346891) + (xy 194.965886 112.343833) + (xy 194.960385 112.339442) + (xy 194.960811 112.338908) + (xy 194.954647 112.334532) + (xy 191.019148 108.399033) + (xy 191.014843 108.392959) + (xy 191.01427 108.393415) + (xy 191.009889 108.387907) + (xy 191.006842 108.381562) + (xy 190.983759 108.363102) + (xy 190.9786 108.358485) + (xy 190.973351 108.353236) + (xy 190.967348 108.349463) + (xy 190.961572 108.345358) + (xy 190.938787 108.327137) + (xy 190.931927 108.32556) + (xy 190.928167 108.323742) + (xy 190.924231 108.322364) + (xy 190.918272 108.318618) + (xy 190.911278 108.317827) + (xy 190.911277 108.317827) + (xy 190.889284 108.315341) + (xy 190.882307 108.314149) + (xy 190.875223 108.31252) + (xy 190.867849 108.31252) + (xy 190.860828 108.312124) + (xy 190.859147 108.311934) + (xy 190.831682 108.308829) + (xy 190.825037 108.31115) + (xy 190.818043 108.311934) + (xy 190.817967 108.311256) + (xy 190.810515 108.31252) + (xy 175.358483 108.31252) + (xy 175.314289 108.294214) + (xy 175.295983 108.25002) + (xy 175.314289 108.205826) + (xy 178.954485 104.565629) + (xy 178.96056 104.561322) + (xy 178.960105 104.56075) + (xy 178.965613 104.556369) + (xy 178.971958 104.553322) + (xy 178.990422 104.530234) + (xy 178.995039 104.525075) + (xy 179.000283 104.519831) + (xy 179.002145 104.516868) + (xy 179.002151 104.516861) + (xy 179.004058 104.513826) + (xy 179.008163 104.50805) + (xy 179.021987 104.490764) + (xy 179.026383 104.485267) + (xy 179.02796 104.478409) + (xy 179.02978 104.474644) + (xy 179.031158 104.470708) + (xy 179.034902 104.464752) + (xy 179.035692 104.457761) + (xy 179.035694 104.457756) + (xy 179.03818 104.435763) + (xy 179.039373 104.428778) + (xy 179.039915 104.426421) + (xy 179.041 104.421703) + (xy 179.041 104.414323) + (xy 179.041396 104.407303) + (xy 179.043899 104.385158) + (xy 179.04469 104.378162) + (xy 179.042369 104.371516) + (xy 179.041585 104.364522) + (xy 179.042264 104.364446) + (xy 179.041 104.356996) + (xy 179.041 103.193824) + (xy 179.059306 103.14963) + (xy 179.091896 103.134201) + (xy 179.091558 103.1325) + (xy 179.094569 103.131901) + (xy 179.122713 103.126303) + (xy 179.122715 103.126302) + (xy 179.128748 103.125102) + (xy 179.170922 103.096922) + (xy 179.198033 103.056348) + (xy 179.237807 103.029772) + (xy 179.284723 103.039104) + (xy 179.301967 103.056348) + (xy 179.329078 103.096922) + (xy 179.371252 103.125102) + (xy 179.377285 103.126302) + (xy 179.377287 103.126303) + (xy 179.402917 103.131401) + (xy 179.408442 103.1325) + (xy 179.891558 103.1325) + (xy 179.897083 103.131401) + (xy 179.922713 103.126303) + (xy 179.922715 103.126302) + (xy 179.928748 103.125102) + (xy 179.970922 103.096922) + (xy 179.998033 103.056348) + (xy 180.037807 103.029772) + (xy 180.084723 103.039104) + (xy 180.101967 103.056348) + (xy 180.129078 103.096922) + (xy 180.171252 103.125102) + (xy 180.177285 103.126302) + (xy 180.177287 103.126303) + (xy 180.202917 103.131401) + (xy 180.208442 103.1325) + (xy 180.691558 103.1325) + (xy 180.697083 103.131401) + (xy 180.722713 103.126303) + (xy 180.722715 103.126302) + (xy 180.728748 103.125102) + (xy 180.770922 103.096922) + (xy 180.798033 103.056348) + (xy 180.837807 103.029772) + (xy 180.884723 103.039104) + (xy 180.901967 103.056348) + (xy 180.929078 103.096922) + (xy 180.971252 103.125102) + (xy 180.977285 103.126302) + (xy 180.977287 103.126303) + (xy 181.002917 103.131401) + (xy 181.008442 103.1325) + (xy 181.491558 103.1325) + (xy 181.497083 103.131401) + (xy 181.522713 103.126303) + (xy 181.522715 103.126302) + (xy 181.528748 103.125102) + (xy 181.570922 103.096922) + (xy 181.598033 103.056348) + (xy 181.637807 103.029772) + (xy 181.684723 103.039104) + (xy 181.701967 103.056348) + (xy 181.729078 103.096922) + (xy 181.771252 103.125102) + (xy 181.777285 103.126302) + (xy 181.777287 103.126303) + (xy 181.802917 103.131401) + (xy 181.808442 103.1325) + (xy 182.291558 103.1325) + (xy 182.297083 103.131401) + (xy 182.322713 103.126303) + (xy 182.322715 103.126302) + (xy 182.328748 103.125102) + (xy 182.370922 103.096922) + (xy 182.398033 103.056348) + (xy 182.437807 103.029772) + (xy 182.484723 103.039104) + (xy 182.501967 103.056348) + (xy 182.529078 103.096922) + (xy 182.571252 103.125102) + (xy 182.577285 103.126302) + (xy 182.577287 103.126303) + (xy 182.602917 103.131401) + (xy 182.608442 103.1325) + (xy 183.091558 103.1325) + (xy 183.097083 103.131401) + (xy 183.122713 103.126303) + (xy 183.122715 103.126302) + (xy 183.128748 103.125102) + (xy 183.170922 103.096922) + (xy 183.198033 103.056348) + (xy 183.237807 103.029772) + (xy 183.284723 103.039104) + (xy 183.301967 103.056348) + (xy 183.329078 103.096922) + (xy 183.371252 103.125102) + (xy 183.377285 103.126302) + (xy 183.377287 103.126303) + (xy 183.402917 103.131401) + (xy 183.408442 103.1325) + (xy 183.891558 103.1325) + (xy 183.897083 103.131401) + (xy 183.922713 103.126303) + (xy 183.922715 103.126302) + (xy 183.928748 103.125102) + (xy 183.970922 103.096922) + (xy 183.998033 103.056348) + (xy 184.037807 103.029772) + (xy 184.084723 103.039104) + (xy 184.101967 103.056348) + (xy 184.129078 103.096922) + (xy 184.171252 103.125102) + (xy 184.177285 103.126302) + (xy 184.177287 103.126303) + (xy 184.202917 103.131401) + (xy 184.208442 103.1325) + (xy 184.691558 103.1325) + (xy 184.697083 103.131401) + (xy 184.722713 103.126303) + (xy 184.722715 103.126302) + (xy 184.728748 103.125102) + (xy 184.770922 103.096922) + (xy 184.798033 103.056348) + (xy 184.837807 103.029772) + (xy 184.884723 103.039104) + (xy 184.901967 103.056348) + (xy 184.929078 103.096922) + (xy 184.971252 103.125102) + (xy 184.977285 103.126302) + (xy 184.977287 103.126303) + (xy 185.008442 103.1325) + (xy 185.008043 103.134506) + (xy 185.044841 103.154209) + (xy 185.059 103.193824) + (xy 185.059 103.580525) + (xy 185.040694 103.624719) + (xy 185.029855 103.63338) + (xy 185.01281 103.644135) + (xy 185.007842 103.646959) + (xy 185.001757 103.650059) + (xy 185.001756 103.65006) + (xy 184.997375 103.652292) + (xy 184.993897 103.65577) + (xy 184.992445 103.656825) + (xy 184.989058 103.65912) + (xy 184.97008 103.671095) + (xy 184.967137 103.674427) + (xy 184.967135 103.674429) + (xy 184.907156 103.742342) + (xy 184.906032 103.743538) + (xy 184.905775 103.743892) + (xy 184.905554 103.744113) + (xy 184.905405 103.744204) + (xy 184.904504 103.745163) + (xy 184.902292 103.747375) + (xy 184.901509 103.746592) + (xy 184.900362 103.747296) + (xy 184.901721 103.748496) + (xy 184.889018 103.762879) + (xy 184.887127 103.766907) + (xy 184.838868 103.869695) + (xy 184.838867 103.869698) + (xy 184.836976 103.873726) + (xy 184.818136 103.994724) + (xy 184.818713 103.999137) + (xy 184.818713 103.999138) + (xy 184.832575 104.105139) + (xy 184.834014 104.116145) + (xy 184.841185 104.132443) + (xy 184.841244 104.132813) + (xy 184.842007 104.134311) + (xy 184.844031 104.13891) + (xy 184.844031 104.138911) + (xy 184.861681 104.179022) + (xy 184.883333 104.22823) + (xy 184.897272 104.244813) + (xy 184.898029 104.245713) + (xy 184.900157 104.248434) + (xy 184.902292 104.252625) + (xy 184.910081 104.260414) + (xy 184.913729 104.264391) + (xy 184.962127 104.321968) + (xy 184.985399 104.337459) + (xy 184.991836 104.342733) + (xy 184.993899 104.344232) + (xy 184.997375 104.347708) + (xy 185.001753 104.349939) + (xy 185.001756 104.349941) + (xy 185.00873 104.353494) + (xy 185.014977 104.357148) + (xy 185.064064 104.389823) + (xy 185.068313 104.391151) + (xy 185.068314 104.391151) + (xy 185.100296 104.401143) + (xy 185.110027 104.405109) + (xy 185.112799 104.406521) + (xy 185.112803 104.406522) + (xy 185.117187 104.408756) + (xy 185.127852 104.410445) + (xy 185.136713 104.41252) + (xy 185.176696 104.425012) + (xy 185.176699 104.425012) + (xy 185.180948 104.42634) + (xy 185.230069 104.427241) + (xy 185.238683 104.427999) + (xy 185.24514 104.429022) + (xy 185.245144 104.429022) + (xy 185.25 104.429791) + (xy 185.256947 104.42869) + (xy 185.267853 104.427933) + (xy 185.303383 104.428584) + (xy 185.363532 104.412185) + (xy 185.37019 104.410755) + (xy 185.372147 104.410445) + (xy 185.382813 104.408756) + (xy 185.387196 104.406522) + (xy 185.391875 104.405002) + (xy 185.391878 104.40501) + (xy 185.396727 104.403136) + (xy 185.421527 104.396375) + (xy 185.426521 104.393309) + (xy 185.489709 104.354511) + (xy 185.494037 104.352085) + (xy 185.49824 104.349944) + (xy 185.498248 104.349938) + (xy 185.502625 104.347708) + (xy 185.505427 104.344906) + (xy 185.506264 104.344346) + (xy 185.508891 104.342733) + (xy 185.525881 104.332301) + (xy 185.608058 104.241513) + (xy 185.661451 104.131311) + (xy 185.663317 104.120224) + (xy 185.675388 104.048467) + (xy 185.681767 104.010552) + (xy 185.681896 104) + (xy 185.664536 103.878781) + (xy 185.613852 103.767307) + (xy 185.599199 103.750302) + (xy 185.597708 103.747375) + (xy 185.5919 103.741567) + (xy 185.588746 103.73817) + (xy 185.536826 103.677914) + (xy 185.533918 103.674539) + (xy 185.513741 103.661461) + (xy 185.510155 103.658559) + (xy 185.510081 103.658661) + (xy 185.506106 103.655773) + (xy 185.502625 103.652292) + (xy 185.491343 103.646543) + (xy 185.485735 103.643308) + (xy 185.469505 103.632788) + (xy 185.442377 103.593389) + (xy 185.441 103.580342) + (xy 185.441 103.193824) + (xy 185.459306 103.14963) + (xy 185.491896 103.134201) + (xy 185.491558 103.1325) + (xy 185.494569 103.131901) + (xy 185.522713 103.126303) + (xy 185.522715 103.126302) + (xy 185.528748 103.125102) + (xy 185.570922 103.096922) + (xy 185.598033 103.056348) + (xy 185.637807 103.029772) + (xy 185.684723 103.039104) + (xy 185.701967 103.056348) + (xy 185.729078 103.096922) + (xy 185.771252 103.125102) + (xy 185.777285 103.126302) + (xy 185.777287 103.126303) + (xy 185.802917 103.131401) + (xy 185.808442 103.1325) + (xy 186.291558 103.1325) + (xy 186.297083 103.131401) + (xy 186.322713 103.126303) + (xy 186.322715 103.126302) + (xy 186.328748 103.125102) + (xy 186.370922 103.096922) + (xy 186.374963 103.090874) + (xy 186.398335 103.055897) + (xy 186.438109 103.029322) + (xy 186.485025 103.038655) + (xy 186.502268 103.055899) + (xy 186.526017 103.091443) + (xy 186.534555 103.099981) + (xy 186.56633 103.121212) + (xy 186.577482 103.125831) + (xy 186.605481 103.131401) + (xy 186.611562 103.132) + (xy 186.774069 103.132) + (xy 186.782859 103.128359) + (xy 186.7865 103.119569) + (xy 186.7865 101.380431) + (xy 186.782859 101.371641) + (xy 186.774069 101.368) + (xy 186.611562 101.368) + (xy 186.605481 101.368599) + (xy 186.577482 101.374169) + (xy 186.56633 101.378788) + (xy 186.534555 101.400019) + (xy 186.526017 101.408557) + (xy 186.502268 101.444101) + (xy 186.462495 101.470678) + (xy 186.415579 101.461346) + (xy 186.398335 101.444103) + (xy 186.374342 101.408196) + (xy 186.374341 101.408195) + (xy 186.370922 101.403078) + (xy 186.328748 101.374898) + (xy 186.322715 101.373698) + (xy 186.322713 101.373697) + (xy 186.291558 101.3675) + (xy 186.291957 101.365494) + (xy 186.255159 101.345791) + (xy 186.241 101.306176) + (xy 186.241 101.043234) + (xy 186.242253 101.035893) + (xy 186.241524 101.03581) + (xy 186.242321 101.028819) + (xy 186.244653 101.022178) + (xy 186.241383 100.992793) + (xy 186.241 100.985881) + (xy 186.241 100.978467) + (xy 186.239421 100.971544) + (xy 186.238245 100.964591) + (xy 186.235015 100.935571) + (xy 186.231281 100.929607) + (xy 186.22991 100.92567) + (xy 186.228097 100.921904) + (xy 186.226532 100.915041) + (xy 186.208354 100.892229) + (xy 186.20426 100.886446) + (xy 186.202284 100.88329) + (xy 186.202279 100.883284) + (xy 186.200404 100.880289) + (xy 186.195191 100.875076) + (xy 186.190506 100.869832) + (xy 186.176612 100.852396) + (xy 186.176611 100.852396) + (xy 186.172225 100.846891) + (xy 186.165886 100.843833) + (xy 186.160385 100.839442) + (xy 186.160811 100.838908) + (xy 186.154647 100.834532) + (xy 183.888929 98.568814) + (xy 183.870623 98.52462) + (xy 183.888929 98.480426) + (xy 183.933123 98.46212) + (xy 184.816117 98.46212) + (xy 184.860311 98.480426) + (xy 187.440694 101.060808) + (xy 187.459 101.105002) + (xy 187.459 101.306176) + (xy 187.440694 101.35037) + (xy 187.408104 101.365799) + (xy 187.408442 101.3675) + (xy 187.377287 101.373697) + (xy 187.377285 101.373698) + (xy 187.371252 101.374898) + (xy 187.329078 101.403078) + (xy 187.325659 101.408195) + (xy 187.325658 101.408196) + (xy 187.301665 101.444103) + (xy 187.261891 101.470678) + (xy 187.214975 101.461345) + (xy 187.197732 101.444101) + (xy 187.173983 101.408557) + (xy 187.165445 101.400019) + (xy 187.13367 101.378788) + (xy 187.122518 101.374169) + (xy 187.094519 101.368599) + (xy 187.088438 101.368) + (xy 186.925931 101.368) + (xy 186.917141 101.371641) + (xy 186.9135 101.380431) + (xy 186.9135 103.119569) + (xy 186.917141 103.128359) + (xy 186.925931 103.132) + (xy 187.088438 103.132) + (xy 187.094519 103.131401) + (xy 187.122518 103.125831) + (xy 187.13367 103.121212) + (xy 187.165445 103.099981) + (xy 187.173983 103.091443) + (xy 187.197732 103.055899) + (xy 187.237505 103.029322) + (xy 187.284421 103.038654) + (xy 187.301665 103.055897) + (xy 187.325037 103.090874) + (xy 187.329078 103.096922) + (xy 187.371252 103.125102) + (xy 187.377285 103.126302) + (xy 187.377287 103.126303) + (xy 187.402917 103.131401) + (xy 187.408442 103.1325) + (xy 187.891558 103.1325) + (xy 187.897083 103.131401) + (xy 187.922713 103.126303) + (xy 187.922715 103.126302) + (xy 187.928748 103.125102) + (xy 187.970922 103.096922) + (xy 187.998033 103.056348) + (xy 188.037807 103.029772) + (xy 188.084723 103.039104) + (xy 188.101967 103.056348) + (xy 188.129078 103.096922) + (xy 188.171252 103.125102) + (xy 188.177285 103.126302) + (xy 188.177287 103.126303) + (xy 188.202917 103.131401) + (xy 188.208442 103.1325) + (xy 188.691558 103.1325) + (xy 188.697083 103.131401) + (xy 188.722713 103.126303) + (xy 188.722715 103.126302) + (xy 188.728748 103.125102) + (xy 188.770922 103.096922) + (xy 188.798033 103.056348) + (xy 188.837807 103.029772) + (xy 188.884723 103.039104) + (xy 188.901967 103.056348) + (xy 188.929078 103.096922) + (xy 188.971252 103.125102) + (xy 188.977285 103.126302) + (xy 188.977287 103.126303) + (xy 189.008442 103.1325) + (xy 189.008043 103.134506) + (xy 189.044841 103.154209) + (xy 189.059 103.193824) + (xy 189.059 103.580525) + (xy 189.040694 103.624719) + (xy 189.029855 103.63338) + (xy 189.01281 103.644135) + (xy 189.007842 103.646959) + (xy 189.001757 103.650059) + (xy 189.001756 103.65006) + (xy 188.997375 103.652292) + (xy 188.993897 103.65577) + (xy 188.992445 103.656825) + (xy 188.989058 103.65912) + (xy 188.97008 103.671095) + (xy 188.967137 103.674427) + (xy 188.967135 103.674429) + (xy 188.907156 103.742342) + (xy 188.906032 103.743538) + (xy 188.905775 103.743892) + (xy 188.905554 103.744113) + (xy 188.905405 103.744204) + (xy 188.904504 103.745163) + (xy 188.902292 103.747375) + (xy 188.901509 103.746592) + (xy 188.900362 103.747296) + (xy 188.901721 103.748496) + (xy 188.889018 103.762879) + (xy 188.887127 103.766907) + (xy 188.838868 103.869695) + (xy 188.838867 103.869698) + (xy 188.836976 103.873726) + (xy 188.818136 103.994724) + (xy 188.818713 103.999137) + (xy 188.818713 103.999138) + (xy 188.832575 104.105139) + (xy 188.834014 104.116145) + (xy 188.841185 104.132443) + (xy 188.841244 104.132813) + (xy 188.842007 104.134311) + (xy 188.844031 104.13891) + (xy 188.844031 104.138911) + (xy 188.861681 104.179022) + (xy 188.883333 104.22823) + (xy 188.897272 104.244813) + (xy 188.898029 104.245713) + (xy 188.900157 104.248434) + (xy 188.902292 104.252625) + (xy 188.910081 104.260414) + (xy 188.913729 104.264391) + (xy 188.962127 104.321968) + (xy 188.985399 104.337459) + (xy 188.991836 104.342733) + (xy 188.993899 104.344232) + (xy 188.997375 104.347708) + (xy 189.001753 104.349939) + (xy 189.001756 104.349941) + (xy 189.00873 104.353494) + (xy 189.014977 104.357148) + (xy 189.064064 104.389823) + (xy 189.068313 104.391151) + (xy 189.068314 104.391151) + (xy 189.100296 104.401143) + (xy 189.110027 104.405109) + (xy 189.112799 104.406521) + (xy 189.112803 104.406522) + (xy 189.117187 104.408756) + (xy 189.127852 104.410445) + (xy 189.136713 104.41252) + (xy 189.176696 104.425012) + (xy 189.176699 104.425012) + (xy 189.180948 104.42634) + (xy 189.230069 104.427241) + (xy 189.238683 104.427999) + (xy 189.24514 104.429022) + (xy 189.245144 104.429022) + (xy 189.25 104.429791) + (xy 189.256947 104.42869) + (xy 189.267853 104.427933) + (xy 189.303383 104.428584) + (xy 189.363532 104.412185) + (xy 189.37019 104.410755) + (xy 189.372147 104.410445) + (xy 189.382813 104.408756) + (xy 189.387196 104.406522) + (xy 189.391875 104.405002) + (xy 189.391878 104.40501) + (xy 189.396727 104.403136) + (xy 189.421527 104.396375) + (xy 189.426521 104.393309) + (xy 189.489709 104.354511) + (xy 189.494037 104.352085) + (xy 189.49824 104.349944) + (xy 189.498248 104.349938) + (xy 189.502625 104.347708) + (xy 189.505427 104.344906) + (xy 189.506264 104.344346) + (xy 189.508891 104.342733) + (xy 189.525881 104.332301) + (xy 189.608058 104.241513) + (xy 189.661451 104.131311) + (xy 189.663317 104.120224) + (xy 189.675388 104.048467) + (xy 189.681767 104.010552) + (xy 189.681896 104) + (xy 189.664536 103.878781) + (xy 189.613852 103.767307) + (xy 189.599199 103.750302) + (xy 189.597708 103.747375) + (xy 189.5919 103.741567) + (xy 189.588746 103.73817) + (xy 189.536826 103.677914) + (xy 189.533918 103.674539) + (xy 189.513741 103.661461) + (xy 189.510155 103.658559) + (xy 189.510081 103.658661) + (xy 189.506106 103.655773) + (xy 189.502625 103.652292) + (xy 189.491343 103.646543) + (xy 189.485735 103.643308) + (xy 189.469505 103.632788) + (xy 189.442377 103.593389) + (xy 189.441 103.580342) + (xy 189.441 103.193824) + (xy 189.459306 103.14963) + (xy 189.491896 103.134201) + (xy 189.491558 103.1325) + (xy 189.494569 103.131901) + (xy 189.522713 103.126303) + (xy 189.522715 103.126302) + (xy 189.528748 103.125102) + (xy 189.570922 103.096922) + (xy 189.598033 103.056348) + (xy 189.637807 103.029772) + (xy 189.684723 103.039104) + (xy 189.701967 103.056348) + (xy 189.729078 103.096922) + (xy 189.771252 103.125102) + (xy 189.777285 103.126302) + (xy 189.777287 103.126303) + (xy 189.802917 103.131401) + (xy 189.808442 103.1325) + (xy 190.291558 103.1325) + (xy 190.297083 103.131401) + (xy 190.322713 103.126303) + (xy 190.322715 103.126302) + (xy 190.328748 103.125102) + (xy 190.370922 103.096922) + (xy 190.398033 103.056348) + (xy 190.437807 103.029772) + (xy 190.484723 103.039104) + (xy 190.501967 103.056348) + (xy 190.529078 103.096922) + (xy 190.571252 103.125102) + (xy 190.577285 103.126302) + (xy 190.577287 103.126303) + (xy 190.602917 103.131401) + (xy 190.608442 103.1325) + (xy 191.091558 103.1325) + (xy 191.097083 103.131401) + (xy 191.122713 103.126303) + (xy 191.122715 103.126302) + (xy 191.128748 103.125102) + (xy 191.170922 103.096922) + (xy 191.174963 103.090874) + (xy 191.198335 103.055897) + (xy 191.238109 103.029322) + (xy 191.285025 103.038655) + (xy 191.302268 103.055899) + (xy 191.326017 103.091443) + (xy 191.334555 103.099981) + (xy 191.36633 103.121212) + (xy 191.377482 103.125831) + (xy 191.405481 103.131401) + (xy 191.411562 103.132) + (xy 191.574069 103.132) + (xy 191.582859 103.128359) + (xy 191.5865 103.119569) + (xy 191.5865 101.380431) + (xy 191.582859 101.371641) + (xy 191.574069 101.368) + (xy 191.411562 101.368) + (xy 191.405481 101.368599) + (xy 191.377482 101.374169) + (xy 191.36633 101.378788) + (xy 191.334555 101.400019) + (xy 191.326017 101.408557) + (xy 191.302268 101.444101) + (xy 191.262495 101.470678) + (xy 191.215579 101.461346) + (xy 191.198335 101.444103) + (xy 191.174342 101.408196) + (xy 191.174341 101.408195) + (xy 191.170922 101.403078) + (xy 191.128748 101.374898) + (xy 191.122715 101.373698) + (xy 191.122713 101.373697) + (xy 191.091558 101.3675) + (xy 191.091957 101.365494) + (xy 191.055159 101.345791) + (xy 191.041 101.306176) + (xy 191.041 101.043231) + (xy 191.04225 101.035892) + (xy 191.041523 101.035809) + (xy 191.04232 101.028818) + (xy 191.044652 101.022177) + (xy 191.041383 100.9928) + (xy 191.041 100.98589) + (xy 191.041 100.978467) + (xy 191.039422 100.971547) + (xy 191.038241 100.964567) + (xy 191.035792 100.942563) + (xy 191.035792 100.942562) + (xy 191.035014 100.935571) + (xy 191.031281 100.929608) + (xy 191.029907 100.925662) + (xy 191.028097 100.921902) + (xy 191.026532 100.915041) + (xy 191.021819 100.909126) + (xy 191.016908 100.902964) + (xy 191.008344 100.892217) + (xy 191.004254 100.886441) + (xy 191.000403 100.880289) + (xy 190.995195 100.875081) + (xy 190.99051 100.869837) + (xy 190.976612 100.852396) + (xy 190.976611 100.852396) + (xy 190.972225 100.846891) + (xy 190.965886 100.843833) + (xy 190.960385 100.839442) + (xy 190.960811 100.838909) + (xy 190.954645 100.834531) + (xy 187.674849 97.554734) + (xy 187.656543 97.51054) + (xy 187.674849 97.466346) + (xy 187.719043 97.44804) + (xy 188.602037 97.44804) + (xy 188.646231 97.466346) + (xy 192.240694 101.060809) + (xy 192.259 101.105003) + (xy 192.259 101.306176) + (xy 192.240694 101.35037) + (xy 192.208104 101.365799) + (xy 192.208442 101.3675) + (xy 192.177287 101.373697) + (xy 192.177285 101.373698) + (xy 192.171252 101.374898) + (xy 192.129078 101.403078) + (xy 192.125659 101.408195) + (xy 192.125658 101.408196) + (xy 192.101665 101.444103) + (xy 192.061891 101.470678) + (xy 192.014975 101.461345) + (xy 191.997732 101.444101) + (xy 191.973983 101.408557) + (xy 191.965445 101.400019) + (xy 191.93367 101.378788) + (xy 191.922518 101.374169) + (xy 191.894519 101.368599) + (xy 191.888438 101.368) + (xy 191.725931 101.368) + (xy 191.717141 101.371641) + (xy 191.7135 101.380431) + (xy 191.7135 103.119569) + (xy 191.717141 103.128359) + (xy 191.725931 103.132) + (xy 191.888438 103.132) + (xy 191.894519 103.131401) + (xy 191.922518 103.125831) + (xy 191.93367 103.121212) + (xy 191.965445 103.099981) + (xy 191.973983 103.091443) + (xy 191.997732 103.055899) + (xy 192.037505 103.029322) + (xy 192.084421 103.038654) + (xy 192.101665 103.055897) + (xy 192.125037 103.090874) + (xy 192.129078 103.096922) + (xy 192.171252 103.125102) + (xy 192.177285 103.126302) + (xy 192.177287 103.126303) + (xy 192.202917 103.131401) + (xy 192.208442 103.1325) + (xy 192.691558 103.1325) + (xy 192.697083 103.131401) + (xy 192.722713 103.126303) + (xy 192.722715 103.126302) + (xy 192.728748 103.125102) + (xy 192.770922 103.096922) + (xy 192.798033 103.056348) + (xy 192.837807 103.029772) + (xy 192.884723 103.039104) + (xy 192.901967 103.056348) + (xy 192.929078 103.096922) + (xy 192.971252 103.125102) + (xy 192.977285 103.126302) + (xy 192.977287 103.126303) + (xy 193.002917 103.131401) + (xy 193.008442 103.1325) + (xy 193.491558 103.1325) + (xy 193.497083 103.131401) + (xy 193.522713 103.126303) + (xy 193.522715 103.126302) + (xy 193.528748 103.125102) + (xy 193.570922 103.096922) + (xy 193.598033 103.056348) + (xy 193.637807 103.029772) + (xy 193.684723 103.039104) + (xy 193.701967 103.056348) + (xy 193.729078 103.096922) + (xy 193.771252 103.125102) + (xy 193.777285 103.126302) + (xy 193.777287 103.126303) + (xy 193.808442 103.1325) + (xy 193.808043 103.134506) + (xy 193.844841 103.154209) + (xy 193.859 103.193824) + (xy 193.859 103.580525) + (xy 193.840694 103.624719) + (xy 193.829855 103.63338) + (xy 193.81281 103.644135) + (xy 193.807842 103.646959) + (xy 193.801757 103.650059) + (xy 193.801756 103.65006) + (xy 193.797375 103.652292) + (xy 193.793897 103.65577) + (xy 193.792445 103.656825) + (xy 193.789058 103.65912) + (xy 193.77008 103.671095) + (xy 193.767137 103.674427) + (xy 193.767135 103.674429) + (xy 193.707156 103.742342) + (xy 193.706032 103.743538) + (xy 193.705775 103.743892) + (xy 193.705554 103.744113) + (xy 193.705405 103.744204) + (xy 193.704504 103.745163) + (xy 193.702292 103.747375) + (xy 193.701509 103.746592) + (xy 193.700362 103.747296) + (xy 193.701721 103.748496) + (xy 193.689018 103.762879) + (xy 193.687127 103.766907) + (xy 193.638868 103.869695) + (xy 193.638867 103.869698) + (xy 193.636976 103.873726) + (xy 193.618136 103.994724) + (xy 193.618713 103.999137) + (xy 193.618713 103.999138) + (xy 193.632575 104.105139) + (xy 193.634014 104.116145) + (xy 193.641185 104.132443) + (xy 193.641244 104.132813) + (xy 193.642007 104.134311) + (xy 193.644031 104.13891) + (xy 193.644031 104.138911) + (xy 193.661681 104.179022) + (xy 193.683333 104.22823) + (xy 193.697272 104.244813) + (xy 193.698029 104.245713) + (xy 193.700157 104.248434) + (xy 193.702292 104.252625) + (xy 193.710081 104.260414) + (xy 193.713729 104.264391) + (xy 193.762127 104.321968) + (xy 193.785399 104.337459) + (xy 193.791836 104.342733) + (xy 193.793899 104.344232) + (xy 193.797375 104.347708) + (xy 193.801753 104.349939) + (xy 193.801756 104.349941) + (xy 193.80873 104.353494) + (xy 193.814977 104.357148) + (xy 193.864064 104.389823) + (xy 193.868313 104.391151) + (xy 193.868314 104.391151) + (xy 193.900296 104.401143) + (xy 193.910027 104.405109) + (xy 193.912799 104.406521) + (xy 193.912803 104.406522) + (xy 193.917187 104.408756) + (xy 193.927852 104.410445) + (xy 193.936713 104.41252) + (xy 193.976696 104.425012) + (xy 193.976699 104.425012) + (xy 193.980948 104.42634) + (xy 194.030069 104.427241) + (xy 194.038683 104.427999) + (xy 194.04514 104.429022) + (xy 194.045144 104.429022) + (xy 194.05 104.429791) + (xy 194.056947 104.42869) + (xy 194.067853 104.427933) + (xy 194.103383 104.428584) + (xy 194.163532 104.412185) + (xy 194.17019 104.410755) + (xy 194.172147 104.410445) + (xy 194.182813 104.408756) + (xy 194.187196 104.406522) + (xy 194.191875 104.405002) + (xy 194.191878 104.40501) + (xy 194.196727 104.403136) + (xy 194.221527 104.396375) + (xy 194.226521 104.393309) + (xy 194.289709 104.354511) + (xy 194.294037 104.352085) + (xy 194.29824 104.349944) + (xy 194.298248 104.349938) + (xy 194.302625 104.347708) + (xy 194.305427 104.344906) + (xy 194.306264 104.344346) + (xy 194.308891 104.342733) + (xy 194.325881 104.332301) + (xy 194.408058 104.241513) + (xy 194.461451 104.131311) + (xy 194.463317 104.120224) + (xy 194.475388 104.048467) + (xy 194.481767 104.010552) + (xy 194.481896 104) + (xy 194.464536 103.878781) + (xy 194.413852 103.767307) + (xy 194.399199 103.750302) + (xy 194.397708 103.747375) + (xy 194.3919 103.741567) + (xy 194.388746 103.73817) + (xy 194.336826 103.677914) + (xy 194.333918 103.674539) + (xy 194.313741 103.661461) + (xy 194.310155 103.658559) + (xy 194.310081 103.658661) + (xy 194.306106 103.655773) + (xy 194.302625 103.652292) + (xy 194.291343 103.646543) + (xy 194.285735 103.643308) + (xy 194.269505 103.632788) + (xy 194.242377 103.593389) + (xy 194.241 103.580342) + (xy 194.241 103.193824) + (xy 194.259306 103.14963) + (xy 194.291896 103.134201) + (xy 194.291558 103.1325) + (xy 194.294569 103.131901) + (xy 194.322713 103.126303) + (xy 194.322715 103.126302) + (xy 194.328748 103.125102) + (xy 194.370922 103.096922) + (xy 194.398033 103.056348) + (xy 194.437807 103.029772) + (xy 194.484723 103.039104) + (xy 194.501967 103.056348) + (xy 194.529078 103.096922) + (xy 194.571252 103.125102) + (xy 194.577285 103.126302) + (xy 194.577287 103.126303) + (xy 194.602917 103.131401) + (xy 194.608442 103.1325) + (xy 195.091558 103.1325) + (xy 195.097083 103.131401) + (xy 195.122713 103.126303) + (xy 195.122715 103.126302) + (xy 195.128748 103.125102) + (xy 195.170922 103.096922) + (xy 195.198033 103.056348) + (xy 195.237807 103.029772) + (xy 195.284723 103.039104) + (xy 195.301967 103.056348) + (xy 195.329078 103.096922) + (xy 195.371252 103.125102) + (xy 195.377285 103.126302) + (xy 195.377287 103.126303) + (xy 195.408442 103.1325) + (xy 195.408043 103.134506) + (xy 195.444841 103.154209) + (xy 195.459 103.193824) + (xy 195.459 103.580525) + (xy 195.440694 103.624719) + (xy 195.429855 103.63338) + (xy 195.41281 103.644135) + (xy 195.407842 103.646959) + (xy 195.401757 103.650059) + (xy 195.401756 103.65006) + (xy 195.397375 103.652292) + (xy 195.393897 103.65577) + (xy 195.392445 103.656825) + (xy 195.389058 103.65912) + (xy 195.37008 103.671095) + (xy 195.367137 103.674427) + (xy 195.367135 103.674429) + (xy 195.307156 103.742342) + (xy 195.306032 103.743538) + (xy 195.305775 103.743892) + (xy 195.305554 103.744113) + (xy 195.305405 103.744204) + (xy 195.304504 103.745163) + (xy 195.302292 103.747375) + (xy 195.301509 103.746592) + (xy 195.300362 103.747296) + (xy 195.301721 103.748496) + (xy 195.289018 103.762879) + (xy 195.287127 103.766907) + (xy 195.238868 103.869695) + (xy 195.238867 103.869698) + (xy 195.236976 103.873726) + (xy 195.218136 103.994724) + (xy 195.218713 103.999137) + (xy 195.218713 103.999138) + (xy 195.232575 104.105139) + (xy 195.234014 104.116145) + (xy 195.241185 104.132443) + (xy 195.241244 104.132813) + (xy 195.242007 104.134311) + (xy 195.244031 104.13891) + (xy 195.244031 104.138911) + (xy 195.261681 104.179022) + (xy 195.283333 104.22823) + (xy 195.297272 104.244813) + (xy 195.298029 104.245713) + (xy 195.300157 104.248434) + (xy 195.302292 104.252625) + (xy 195.310081 104.260414) + (xy 195.313729 104.264391) + (xy 195.362127 104.321968) + (xy 195.385399 104.337459) + (xy 195.391836 104.342733) + (xy 195.393899 104.344232) + (xy 195.397375 104.347708) + (xy 195.401753 104.349939) + (xy 195.401756 104.349941) + (xy 195.40873 104.353494) + (xy 195.414977 104.357148) + (xy 195.464064 104.389823) + (xy 195.468313 104.391151) + (xy 195.468314 104.391151) + (xy 195.500296 104.401143) + (xy 195.510027 104.405109) + (xy 195.512799 104.406521) + (xy 195.512803 104.406522) + (xy 195.517187 104.408756) + (xy 195.527852 104.410445) + (xy 195.536713 104.41252) + (xy 195.576696 104.425012) + (xy 195.576699 104.425012) + (xy 195.580948 104.42634) + (xy 195.630069 104.427241) + (xy 195.638683 104.427999) + (xy 195.64514 104.429022) + (xy 195.645144 104.429022) + (xy 195.65 104.429791) + (xy 195.656947 104.42869) + (xy 195.667853 104.427933) + (xy 195.703383 104.428584) + (xy 195.763532 104.412185) + (xy 195.77019 104.410755) + (xy 195.772147 104.410445) + (xy 195.782813 104.408756) + (xy 195.787196 104.406522) + (xy 195.791875 104.405002) + (xy 195.791878 104.40501) + (xy 195.796727 104.403136) + (xy 195.821527 104.396375) + (xy 195.826521 104.393309) + (xy 195.889709 104.354511) + (xy 195.894037 104.352085) + (xy 195.89824 104.349944) + (xy 195.898248 104.349938) + (xy 195.902625 104.347708) + (xy 195.905427 104.344906) + (xy 195.906264 104.344346) + (xy 195.908891 104.342733) + (xy 195.925881 104.332301) + (xy 196.008058 104.241513) + (xy 196.061451 104.131311) + (xy 196.063317 104.120224) + (xy 196.075388 104.048467) + (xy 196.081767 104.010552) + (xy 196.081896 104) + (xy 196.064536 103.878781) + (xy 196.013852 103.767307) + (xy 195.999199 103.750302) + (xy 195.997708 103.747375) + (xy 195.9919 103.741567) + (xy 195.988746 103.73817) + (xy 195.936826 103.677914) + (xy 195.933918 103.674539) + (xy 195.913741 103.661461) + (xy 195.910155 103.658559) + (xy 195.910081 103.658661) + (xy 195.906106 103.655773) + (xy 195.902625 103.652292) + (xy 195.891343 103.646543) + (xy 195.885735 103.643308) + (xy 195.869505 103.632788) + (xy 195.842377 103.593389) + (xy 195.841 103.580342) + (xy 195.841 103.193824) + (xy 195.859306 103.14963) + (xy 195.891896 103.134201) + (xy 195.891558 103.1325) + (xy 195.894569 103.131901) + (xy 195.922713 103.126303) + (xy 195.922715 103.126302) + (xy 195.928748 103.125102) + (xy 195.970922 103.096922) + (xy 195.999102 103.054748) + (xy 196.002304 103.038655) + (xy 196.005901 103.020569) + (xy 196.0065 103.017558) + (xy 196.0065 101.482442) + (xy 196.002389 101.461776) + (xy 196.000303 101.451287) + (xy 196.000302 101.451285) + (xy 195.999102 101.445252) + (xy 195.970922 101.403078) + (xy 195.928748 101.374898) + (xy 195.922715 101.373698) + (xy 195.922713 101.373697) + (xy 195.894569 101.368099) + (xy 195.891558 101.3675) + (xy 195.408442 101.3675) + (xy 195.405431 101.368099) + (xy 195.377287 101.373697) + (xy 195.377285 101.373698) + (xy 195.371252 101.374898) + (xy 195.329078 101.403078) + (xy 195.325659 101.408195) + (xy 195.301967 101.443652) + (xy 195.262193 101.470228) + (xy 195.215277 101.460896) + (xy 195.198033 101.443652) + (xy 195.174341 101.408195) + (xy 195.170922 101.403078) + (xy 195.128748 101.374898) + (xy 195.122715 101.373698) + (xy 195.122713 101.373697) + (xy 195.091558 101.3675) + (xy 195.091957 101.365494) + (xy 195.055159 101.345791) + (xy 195.041 101.306176) + (xy 195.041 101.043234) + (xy 195.042253 101.035893) + (xy 195.041524 101.03581) + (xy 195.042321 101.028819) + (xy 195.044653 101.022178) + (xy 195.041383 100.992794) + (xy 195.041 100.985882) + (xy 195.041 100.978467) + (xy 195.03942 100.971538) + (xy 195.038245 100.964595) + (xy 195.035015 100.935572) + (xy 195.03128 100.929606) + (xy 195.029908 100.925667) + (xy 195.028097 100.921904) + (xy 195.026532 100.915041) + (xy 195.008351 100.892226) + (xy 195.004256 100.886441) + (xy 195.00228 100.883285) + (xy 195.002279 100.883283) + (xy 195.000404 100.880289) + (xy 194.995191 100.875076) + (xy 194.990506 100.869832) + (xy 194.976612 100.852396) + (xy 194.976611 100.852396) + (xy 194.972225 100.846891) + (xy 194.965886 100.843833) + (xy 194.960385 100.839442) + (xy 194.960811 100.838908) + (xy 194.954647 100.834532) + (xy 190.765628 96.645513) + (xy 190.761323 96.639439) + (xy 190.76075 96.639895) + (xy 190.756369 96.634387) + (xy 190.753322 96.628042) + (xy 190.730239 96.609582) + (xy 190.72508 96.604965) + (xy 190.719831 96.599716) + (xy 190.713828 96.595943) + (xy 190.708052 96.591838) + (xy 190.685267 96.573617) + (xy 190.678407 96.57204) + (xy 190.674647 96.570222) + (xy 190.670711 96.568844) + (xy 190.664752 96.565098) + (xy 190.657758 96.564307) + (xy 190.657757 96.564307) + (xy 190.635764 96.561821) + (xy 190.628787 96.560629) + (xy 190.621703 96.559) + (xy 190.614329 96.559) + (xy 190.607308 96.558604) + (xy 190.605627 96.558414) + (xy 190.578162 96.555309) + (xy 190.571517 96.55763) + (xy 190.564523 96.558414) + (xy 190.564447 96.557736) + (xy 190.556995 96.559) + (xy 169.779119 96.559) + (xy 169.734925 96.540694) + (xy 169.716619 96.4965) + (xy 169.727153 96.461776) + (xy 169.728804 96.459306) + (xy 169.765751 96.404012) + (xy 169.770041 96.382443) + (xy 169.776901 96.347957) + (xy 169.776901 96.347954) + (xy 169.7775 96.344944) + (xy 169.7775 96.155056) + (xy 169.775973 96.147376) + (xy 169.766952 96.102025) + (xy 169.766952 96.102024) + (xy 169.766437 96.099438) + (xy 198.323 96.099438) + (xy 198.323599 96.105519) + (xy 198.329169 96.133518) + (xy 198.333788 96.14467) + (xy 198.355019 96.176445) + (xy 198.363555 96.184981) + (xy 198.39533 96.206212) + (xy 198.406482 96.210831) + (xy 198.434481 96.216401) + (xy 198.440562 96.217) + (xy 199.274069 96.217) + (xy 199.282859 96.213359) + (xy 199.2865 96.204569) + (xy 199.4135 96.204569) + (xy 199.417141 96.213359) + (xy 199.425931 96.217) + (xy 200.259438 96.217) + (xy 200.265519 96.216401) + (xy 200.293518 96.210831) + (xy 200.30467 96.206212) + (xy 200.336445 96.184981) + (xy 200.344981 96.176445) + (xy 200.366212 96.14467) + (xy 200.370831 96.133518) + (xy 200.376401 96.105519) + (xy 200.377 96.099438) + (xy 200.377 95.580931) + (xy 200.373359 95.572141) + (xy 200.364569 95.5685) + (xy 199.425931 95.5685) + (xy 199.417141 95.572141) + (xy 199.4135 95.580931) + (xy 199.4135 96.204569) + (xy 199.2865 96.204569) + (xy 199.2865 95.580931) + (xy 199.282859 95.572141) + (xy 199.274069 95.5685) + (xy 198.335431 95.5685) + (xy 198.326641 95.572141) + (xy 198.323 95.580931) + (xy 198.323 96.099438) + (xy 169.766437 96.099438) + (xy 169.765751 96.095988) + (xy 169.720994 96.029006) + (xy 169.654012 95.984249) + (xy 169.647976 95.983048) + (xy 169.647975 95.983048) + (xy 169.597957 95.973099) + (xy 169.597954 95.973099) + (xy 169.594944 95.9725) + (xy 169.2535 95.9725) + (xy 169.209306 95.954194) + (xy 169.191 95.91) + (xy 169.191 94.439438) + (xy 188.073 94.439438) + (xy 188.073599 94.445519) + (xy 188.079169 94.473518) + (xy 188.083788 94.48467) + (xy 188.105019 94.516445) + (xy 188.113555 94.524981) + (xy 188.14533 94.546212) + (xy 188.156482 94.550831) + (xy 188.184481 94.556401) + (xy 188.190562 94.557) + (xy 188.799069 94.557) + (xy 188.807859 94.553359) + (xy 188.8115 94.544569) + (xy 188.9385 94.544569) + (xy 188.942141 94.553359) + (xy 188.950931 94.557) + (xy 189.559438 94.557) + (xy 189.565519 94.556401) + (xy 189.593518 94.550831) + (xy 189.60467 94.546212) + (xy 189.636445 94.524981) + (xy 189.644981 94.516445) + (xy 189.666212 94.48467) + (xy 189.670831 94.473518) + (xy 189.676401 94.445519) + (xy 189.677 94.439438) + (xy 189.677 93.555931) + (xy 189.673359 93.547141) + (xy 189.664569 93.5435) + (xy 188.950931 93.5435) + (xy 188.942141 93.547141) + (xy 188.9385 93.555931) + (xy 188.9385 94.544569) + (xy 188.8115 94.544569) + (xy 188.8115 93.555931) + (xy 188.807859 93.547141) + (xy 188.799069 93.5435) + (xy 188.085431 93.5435) + (xy 188.076641 93.547141) + (xy 188.073 93.555931) + (xy 188.073 94.439438) + (xy 169.191 94.439438) + (xy 169.191 93.669384) + (xy 169.209306 93.62519) + (xy 169.220797 93.616123) + (xy 169.237092 93.606118) + (xy 169.239713 93.604508) + (xy 169.244034 93.602085) + (xy 169.252625 93.597708) + (xy 169.255427 93.594906) + (xy 169.256264 93.594346) + (xy 169.258891 93.592733) + (xy 169.275881 93.582301) + (xy 169.358058 93.491513) + (xy 169.400425 93.404069) + (xy 188.073 93.404069) + (xy 188.076641 93.412859) + (xy 188.085431 93.4165) + (xy 188.799069 93.4165) + (xy 188.807859 93.412859) + (xy 188.8115 93.404069) + (xy 188.9385 93.404069) + (xy 188.942141 93.412859) + (xy 188.950931 93.4165) + (xy 189.664569 93.4165) + (xy 189.673359 93.412859) + (xy 189.677 93.404069) + (xy 189.677 92.520562) + (xy 189.676401 92.514481) + (xy 189.670831 92.486482) + (xy 189.666212 92.47533) + (xy 189.644981 92.443555) + (xy 189.636445 92.435019) + (xy 189.60467 92.413788) + (xy 189.593518 92.409169) + (xy 189.565519 92.403599) + (xy 189.559438 92.403) + (xy 188.950931 92.403) + (xy 188.942141 92.406641) + (xy 188.9385 92.415431) + (xy 188.9385 93.404069) + (xy 188.8115 93.404069) + (xy 188.8115 92.415431) + (xy 188.807859 92.406641) + (xy 188.799069 92.403) + (xy 188.190562 92.403) + (xy 188.184481 92.403599) + (xy 188.156482 92.409169) + (xy 188.14533 92.413788) + (xy 188.113555 92.435019) + (xy 188.105019 92.443555) + (xy 188.083788 92.47533) + (xy 188.079169 92.486482) + (xy 188.073599 92.514481) + (xy 188.073 92.520562) + (xy 188.073 93.404069) + (xy 169.400425 93.404069) + (xy 169.411451 93.381311) + (xy 169.413317 93.370224) + (xy 169.427937 93.283317) + (xy 169.431767 93.260552) + (xy 169.431896 93.25) + (xy 169.414536 93.128781) + (xy 169.363852 93.017307) + (xy 169.349199 93.000302) + (xy 169.347708 92.997375) + (xy 169.3419 92.991567) + (xy 169.338746 92.98817) + (xy 169.287795 92.929038) + (xy 169.283918 92.924539) + (xy 169.263741 92.911461) + (xy 169.260155 92.908559) + (xy 169.260081 92.908661) + (xy 169.256106 92.905773) + (xy 169.252625 92.902292) + (xy 169.241343 92.896543) + (xy 169.235736 92.893309) + (xy 169.184893 92.860354) + (xy 169.18489 92.860353) + (xy 169.18116 92.857935) + (xy 169.176901 92.856661) + (xy 169.176898 92.85666) + (xy 169.158345 92.851112) + (xy 169.148839 92.848269) + (xy 169.138377 92.844079) + (xy 169.132813 92.841244) + (xy 169.121067 92.839384) + (xy 169.112951 92.837536) + (xy 169.063838 92.822848) + (xy 169.019496 92.822577) + (xy 169.010103 92.821809) + (xy 169.004857 92.820978) + (xy 169.004856 92.820978) + (xy 169 92.820209) + (xy 168.991373 92.821576) + (xy 168.98122 92.822344) + (xy 168.962836 92.822231) + (xy 168.945838 92.822127) + (xy 168.945836 92.822127) + (xy 168.941385 92.8221) + (xy 168.887011 92.837641) + (xy 168.879623 92.839275) + (xy 168.867187 92.841244) + (xy 168.862804 92.843477) + (xy 168.86187 92.843781) + (xy 168.852155 92.847603) + (xy 168.827929 92.854526) + (xy 168.827927 92.854527) + (xy 168.823644 92.855751) + (xy 168.819875 92.858129) + (xy 168.815624 92.860811) + (xy 168.764119 92.893309) + (xy 168.762819 92.894129) + (xy 168.757842 92.896959) + (xy 168.751757 92.900059) + (xy 168.751756 92.90006) + (xy 168.747375 92.902292) + (xy 168.743897 92.90577) + (xy 168.742445 92.906825) + (xy 168.739058 92.90912) + (xy 168.72008 92.921095) + (xy 168.717137 92.924427) + (xy 168.717135 92.924429) + (xy 168.657156 92.992342) + (xy 168.656032 92.993538) + (xy 168.655775 92.993892) + (xy 168.655554 92.994113) + (xy 168.655405 92.994204) + (xy 168.654504 92.995163) + (xy 168.652292 92.997375) + (xy 168.651509 92.996592) + (xy 168.650362 92.997296) + (xy 168.651721 92.998496) + (xy 168.642174 93.009306) + (xy 168.639018 93.012879) + (xy 168.634595 93.0223) + (xy 168.588868 93.119695) + (xy 168.588867 93.119698) + (xy 168.586976 93.123726) + (xy 168.568136 93.244724) + (xy 168.568713 93.249137) + (xy 168.568713 93.249138) + (xy 168.579636 93.332669) + (xy 168.584014 93.366145) + (xy 168.591185 93.382443) + (xy 168.591244 93.382813) + (xy 168.592007 93.384311) + (xy 168.594031 93.38891) + (xy 168.594031 93.388911) + (xy 168.631296 93.4736) + (xy 168.633333 93.47823) + (xy 168.644842 93.491922) + (xy 168.648029 93.495713) + (xy 168.650157 93.498434) + (xy 168.652292 93.502625) + (xy 168.660081 93.510414) + (xy 168.663729 93.514391) + (xy 168.712127 93.571968) + (xy 168.735399 93.587459) + (xy 168.741836 93.592733) + (xy 168.743899 93.594232) + (xy 168.747375 93.597708) + (xy 168.751753 93.599939) + (xy 168.751756 93.599941) + (xy 168.75873 93.603494) + (xy 168.764983 93.607152) + (xy 168.768634 93.609582) + (xy 168.781134 93.617903) + (xy 168.807778 93.657631) + (xy 168.809 93.669929) + (xy 168.809 95.91) + (xy 168.790694 95.954194) + (xy 168.7465 95.9725) + (xy 168.230056 95.9725) + (xy 168.227046 95.973099) + (xy 168.227043 95.973099) + (xy 168.177025 95.983048) + (xy 168.177024 95.983048) + (xy 168.170988 95.984249) + (xy 168.104006 96.029006) + (xy 168.100587 96.034123) + (xy 168.096234 96.038476) + (xy 168.094406 96.036648) + (xy 168.062752 96.057799) + (xy 168.050558 96.059) + (xy 167.423049 96.059) + (xy 167.378855 96.040694) + (xy 167.366156 96.022373) + (xy 167.365695 96.02136) + (xy 167.365694 96.021359) + (xy 167.363852 96.017307) + (xy 167.349199 96.000302) + (xy 167.347708 95.997375) + (xy 167.3419 95.991567) + (xy 167.338746 95.98817) + (xy 167.286826 95.927914) + (xy 167.283918 95.924539) + (xy 167.263741 95.911461) + (xy 167.260155 95.908559) + (xy 167.260081 95.908661) + (xy 167.256106 95.905773) + (xy 167.252625 95.902292) + (xy 167.241343 95.896543) + (xy 167.235736 95.893309) + (xy 167.184893 95.860354) + (xy 167.18489 95.860353) + (xy 167.18116 95.857935) + (xy 167.176901 95.856661) + (xy 167.176898 95.85666) + (xy 167.155275 95.850194) + (xy 167.148839 95.848269) + (xy 167.138377 95.844079) + (xy 167.132813 95.841244) + (xy 167.121067 95.839384) + (xy 167.112951 95.837536) + (xy 167.089508 95.830525) + (xy 167.063838 95.822848) + (xy 167.019496 95.822577) + (xy 167.010103 95.821809) + (xy 167.004857 95.820978) + (xy 167.004856 95.820978) + (xy 167 95.820209) + (xy 166.991373 95.821576) + (xy 166.98122 95.822344) + (xy 166.962836 95.822231) + (xy 166.945838 95.822127) + (xy 166.945836 95.822127) + (xy 166.941385 95.8221) + (xy 166.887011 95.837641) + (xy 166.879623 95.839275) + (xy 166.867187 95.841244) + (xy 166.862804 95.843477) + (xy 166.86187 95.843781) + (xy 166.852155 95.847603) + (xy 166.827929 95.854526) + (xy 166.827927 95.854527) + (xy 166.823644 95.855751) + (xy 166.819874 95.85813) + (xy 166.818721 95.858857) + (xy 166.817382 95.859244) + (xy 166.815813 95.859946) + (xy 166.815715 95.859726) + (xy 166.785369 95.8685) + (xy 162.7535 95.8685) + (xy 162.709306 95.850194) + (xy 162.691 95.806) + (xy 162.691 95.204119) + (xy 162.709306 95.159925) + (xy 162.7535 95.141619) + (xy 162.788223 95.152153) + (xy 162.845988 95.190751) + (xy 162.852024 95.191952) + (xy 162.852025 95.191952) + (xy 162.902043 95.201901) + (xy 162.902046 95.201901) + (xy 162.905056 95.2025) + (xy 163.094944 95.2025) + (xy 163.097954 95.201901) + (xy 163.097957 95.201901) + (xy 163.147975 95.191952) + (xy 163.147976 95.191952) + (xy 163.154012 95.190751) + (xy 163.215726 95.149514) + (xy 163.262642 95.140181) + (xy 163.285173 95.149513) + (xy 163.341067 95.186859) + (xy 163.352221 95.19148) + (xy 163.402096 95.201401) + (xy 163.408177 95.202) + (xy 163.424069 95.202) + (xy 163.432859 95.198359) + (xy 163.4365 95.189569) + (xy 163.4365 95.189568) + (xy 163.5635 95.189568) + (xy 163.567141 95.198358) + (xy 163.575931 95.201999) + (xy 163.591821 95.201999) + (xy 163.597906 95.2014) + (xy 163.647776 95.19148) + (xy 163.658934 95.186858) + (xy 163.714827 95.149513) + (xy 163.761744 95.140181) + (xy 163.784272 95.149513) + (xy 163.845988 95.190751) + (xy 163.852024 95.191952) + (xy 163.852025 95.191952) + (xy 163.902043 95.201901) + (xy 163.902046 95.201901) + (xy 163.905056 95.2025) + (xy 164.094944 95.2025) + (xy 164.097954 95.201901) + (xy 164.097957 95.201901) + (xy 164.147975 95.191952) + (xy 164.147976 95.191952) + (xy 164.154012 95.190751) + (xy 164.215278 95.149814) + (xy 164.262193 95.140482) + (xy 164.284721 95.149813) + (xy 164.345988 95.190751) + (xy 164.352024 95.191952) + (xy 164.352025 95.191952) + (xy 164.402043 95.201901) + (xy 164.402046 95.201901) + (xy 164.405056 95.2025) + (xy 164.594944 95.2025) + (xy 164.597954 95.201901) + (xy 164.597957 95.201901) + (xy 164.647975 95.191952) + (xy 164.647976 95.191952) + (xy 164.654012 95.190751) + (xy 164.715278 95.149814) + (xy 164.762193 95.140482) + (xy 164.784721 95.149813) + (xy 164.845988 95.190751) + (xy 164.852024 95.191952) + (xy 164.852025 95.191952) + (xy 164.902043 95.201901) + (xy 164.902046 95.201901) + (xy 164.905056 95.2025) + (xy 165.094944 95.2025) + (xy 165.097954 95.201901) + (xy 165.097957 95.201901) + (xy 165.147975 95.191952) + (xy 165.147976 95.191952) + (xy 165.154012 95.190751) + (xy 165.215278 95.149814) + (xy 165.262193 95.140482) + (xy 165.284721 95.149813) + (xy 165.345988 95.190751) + (xy 165.352024 95.191952) + (xy 165.352025 95.191952) + (xy 165.402043 95.201901) + (xy 165.402046 95.201901) + (xy 165.405056 95.2025) + (xy 165.594944 95.2025) + (xy 165.597954 95.201901) + (xy 165.597957 95.201901) + (xy 165.647975 95.191952) + (xy 165.647976 95.191952) + (xy 165.654012 95.190751) + (xy 165.715278 95.149814) + (xy 165.762193 95.140482) + (xy 165.784721 95.149813) + (xy 165.845988 95.190751) + (xy 165.852024 95.191952) + (xy 165.852025 95.191952) + (xy 165.902043 95.201901) + (xy 165.902046 95.201901) + (xy 165.905056 95.2025) + (xy 166.094944 95.2025) + (xy 166.097954 95.201901) + (xy 166.097957 95.201901) + (xy 166.147975 95.191952) + (xy 166.147976 95.191952) + (xy 166.154012 95.190751) + (xy 166.215278 95.149814) + (xy 166.262193 95.140482) + (xy 166.284721 95.149813) + (xy 166.345988 95.190751) + (xy 166.352024 95.191952) + (xy 166.352025 95.191952) + (xy 166.402043 95.201901) + (xy 166.402046 95.201901) + (xy 166.405056 95.2025) + (xy 166.594944 95.2025) + (xy 166.597954 95.201901) + (xy 166.597957 95.201901) + (xy 166.647975 95.191952) + (xy 166.647976 95.191952) + (xy 166.654012 95.190751) + (xy 166.715278 95.149814) + (xy 166.762193 95.140482) + (xy 166.784721 95.149813) + (xy 166.845988 95.190751) + (xy 166.852024 95.191952) + (xy 166.852025 95.191952) + (xy 166.902043 95.201901) + (xy 166.902046 95.201901) + (xy 166.905056 95.2025) + (xy 167.094944 95.2025) + (xy 167.097954 95.201901) + (xy 167.097957 95.201901) + (xy 167.147975 95.191952) + (xy 167.147976 95.191952) + (xy 167.154012 95.190751) + (xy 167.220994 95.145994) + (xy 167.265751 95.079012) + (xy 167.268468 95.065353) + (xy 167.276901 95.022957) + (xy 167.276901 95.022954) + (xy 167.2775 95.019944) + (xy 167.2775 93.655056) + (xy 167.276007 93.647546) + (xy 167.266952 93.602025) + (xy 167.266952 93.602024) + (xy 167.265751 93.595988) + (xy 167.220994 93.529006) + (xy 167.215876 93.525586) + (xy 167.211524 93.521234) + (xy 167.213352 93.519406) + (xy 167.192201 93.487752) + (xy 167.191 93.475558) + (xy 167.191 93.105003) + (xy 167.209306 93.060809) + (xy 168.800249 91.469866) + (xy 168.844443 91.45156) + (xy 193.194997 91.45156) + (xy 193.239191 91.469866) + (xy 196.168811 94.399485) + (xy 196.173118 94.40556) + (xy 196.17369 94.405105) + (xy 196.178071 94.410613) + (xy 196.181118 94.416958) + (xy 196.186615 94.421354) + (xy 196.204206 94.435422) + (xy 196.209365 94.440039) + (xy 196.214609 94.445283) + (xy 196.217572 94.447145) + (xy 196.217579 94.447151) + (xy 196.220614 94.449058) + (xy 196.22639 94.453163) + (xy 196.249173 94.471383) + (xy 196.256031 94.47296) + (xy 196.259796 94.47478) + (xy 196.263732 94.476158) + (xy 196.269688 94.479902) + (xy 196.276679 94.480692) + (xy 196.276684 94.480694) + (xy 196.298677 94.48318) + (xy 196.305663 94.484373) + (xy 196.312737 94.486) + (xy 196.320117 94.486) + (xy 196.327138 94.486396) + (xy 196.356278 94.48969) + (xy 196.362924 94.487369) + (xy 196.369918 94.486585) + (xy 196.369994 94.487264) + (xy 196.377444 94.486) + (xy 198.96 94.486) + (xy 199.004194 94.504306) + (xy 199.0225 94.5485) + (xy 199.0225 94.682558) + (xy 199.023099 94.685569) + (xy 199.029611 94.718306) + (xy 199.020279 94.765223) + (xy 198.980506 94.791799) + (xy 198.968312 94.793) + (xy 198.440562 94.793) + (xy 198.434481 94.793599) + (xy 198.406482 94.799169) + (xy 198.39533 94.803788) + (xy 198.363555 94.825019) + (xy 198.355019 94.833555) + (xy 198.333788 94.86533) + (xy 198.329169 94.876482) + (xy 198.323599 94.904481) + (xy 198.323 94.910562) + (xy 198.323 95.429069) + (xy 198.326641 95.437859) + (xy 198.335431 95.4415) + (xy 200.364569 95.4415) + (xy 200.373359 95.437859) + (xy 200.377 95.429069) + (xy 200.377 94.910562) + (xy 200.376401 94.904481) + (xy 200.370831 94.876482) + (xy 200.366211 94.865328) + (xy 200.342721 94.830171) + (xy 200.333389 94.783255) + (xy 200.342721 94.760726) + (xy 200.370102 94.719748) + (xy 200.3775 94.682558) + (xy 200.3775 93.907442) + (xy 200.374923 93.894488) + (xy 200.371303 93.876287) + (xy 200.371302 93.876285) + (xy 200.370102 93.870252) + (xy 200.343021 93.829723) + (xy 200.333689 93.782807) + (xy 200.343021 93.760277) + (xy 200.365289 93.726951) + (xy 200.370102 93.719748) + (xy 200.372025 93.710084) + (xy 200.376901 93.685569) + (xy 200.3775 93.682558) + (xy 200.3775 92.807442) + (xy 200.370102 92.770252) + (xy 200.342721 92.729274) + (xy 200.333389 92.682358) + (xy 200.342721 92.659829) + (xy 200.366211 92.624672) + (xy 200.370831 92.613518) + (xy 200.376401 92.585519) + (xy 200.377 92.579438) + (xy 200.377 92.220931) + (xy 200.373359 92.212141) + (xy 200.364569 92.2085) + (xy 199.035431 92.2085) + (xy 199.026641 92.212141) + (xy 199.023 92.220931) + (xy 199.023 92.579438) + (xy 199.023599 92.585519) + (xy 199.029169 92.613518) + (xy 199.033789 92.624672) + (xy 199.057279 92.659829) + (xy 199.066611 92.706745) + (xy 199.057279 92.729273) + (xy 199.029898 92.770252) + (xy 199.0225 92.807442) + (xy 199.0225 92.9915) + (xy 199.004194 93.035694) + (xy 198.96 93.054) + (xy 195.850002 93.054) + (xy 195.805808 93.035694) + (xy 194.112809 91.342694) + (xy 194.094503 91.2985) + (xy 194.112809 91.254306) + (xy 194.157003 91.236) + (xy 198.96 91.236) + (xy 199.004194 91.254306) + (xy 199.0225 91.2985) + (xy 199.0225 91.482558) + (xy 199.023099 91.485569) + (xy 199.028532 91.512879) + (xy 199.029898 91.519748) + (xy 199.043894 91.540694) + (xy 199.057279 91.560726) + (xy 199.066611 91.607642) + (xy 199.057279 91.630171) + (xy 199.033789 91.665328) + (xy 199.029169 91.676482) + (xy 199.023599 91.704481) + (xy 199.023 91.710562) + (xy 199.023 92.069069) + (xy 199.026641 92.077859) + (xy 199.035431 92.0815) + (xy 200.364569 92.0815) + (xy 200.373359 92.077859) + (xy 200.377 92.069069) + (xy 200.377 91.710562) + (xy 200.376401 91.704481) + (xy 200.370831 91.676482) + (xy 200.366211 91.665328) + (xy 200.342721 91.630171) + (xy 200.333389 91.583255) + (xy 200.342721 91.560726) + (xy 200.356106 91.540694) + (xy 200.370102 91.519748) + (xy 200.371469 91.512879) + (xy 200.376901 91.485569) + (xy 200.3775 91.482558) + (xy 200.3775 90.607442) + (xy 200.375906 90.599431) + (xy 200.371303 90.576287) + (xy 200.371302 90.576285) + (xy 200.370102 90.570252) + (xy 200.343021 90.529723) + (xy 200.333689 90.482807) + (xy 200.343021 90.460277) + (xy 200.370102 90.419748) + (xy 200.371607 90.412187) + (xy 200.374507 90.397604) + (xy 200.3775 90.382558) + (xy 200.3775 89.507442) + (xy 200.370102 89.470252) + (xy 200.343021 89.429723) + (xy 200.333689 89.382807) + (xy 200.343021 89.360277) + (xy 200.370102 89.319748) + (xy 200.371878 89.310823) + (xy 200.376901 89.285569) + (xy 200.3775 89.282558) + (xy 200.3775 88.407442) + (xy 200.376032 88.40006) + (xy 200.371303 88.376287) + (xy 200.371302 88.376285) + (xy 200.370102 88.370252) + (xy 200.343021 88.329723) + (xy 200.333689 88.282807) + (xy 200.343021 88.260277) + (xy 200.370102 88.219748) + (xy 200.37218 88.209306) + (xy 200.376901 88.185569) + (xy 200.3775 88.182558) + (xy 200.3775 87.307442) + (xy 200.375628 87.298033) + (xy 200.371303 87.276287) + (xy 200.371302 87.276285) + (xy 200.370102 87.270252) + (xy 200.343021 87.229723) + (xy 200.333689 87.182807) + (xy 200.343021 87.160277) + (xy 200.353673 87.144335) + (xy 200.370102 87.119748) + (xy 200.373272 87.103815) + (xy 200.376901 87.085569) + (xy 200.3775 87.082558) + (xy 200.3775 86.207442) + (xy 200.375895 86.199372) + (xy 200.371303 86.176287) + (xy 200.371302 86.176285) + (xy 200.370102 86.170252) + (xy 200.341922 86.128078) + (xy 200.299748 86.099898) + (xy 200.293715 86.098698) + (xy 200.293713 86.098697) + (xy 200.265569 86.093099) + (xy 200.262558 86.0925) + (xy 199.137442 86.0925) + (xy 199.134431 86.093099) + (xy 199.106287 86.098697) + (xy 199.106285 86.098698) + (xy 199.100252 86.099898) + (xy 199.058078 86.128078) + (xy 199.029898 86.170252) + (xy 199.028698 86.176285) + (xy 199.028697 86.176287) + (xy 199.024105 86.199372) + (xy 199.0225 86.207442) + (xy 199.0225 86.3915) + (xy 199.004194 86.435694) + (xy 198.96 86.454) + (xy 196.691191 86.454) + (xy 196.683852 86.45275) + (xy 196.683769 86.453477) + (xy 196.676778 86.45268) + (xy 196.670137 86.450348) + (xy 196.663145 86.451126) + (xy 196.663143 86.451126) + (xy 196.640763 86.453617) + (xy 196.63385 86.454) + (xy 196.626427 86.454) + (xy 196.623003 86.454781) + (xy 196.619513 86.455577) + (xy 196.612527 86.456759) + (xy 196.604843 86.457614) + (xy 196.583531 86.459986) + (xy 196.577568 86.463719) + (xy 196.573622 86.465093) + (xy 196.569862 86.466903) + (xy 196.563001 86.468468) + (xy 196.546408 86.481691) + (xy 196.540181 86.486653) + (xy 196.534401 86.490746) + (xy 196.528249 86.494597) + (xy 196.523041 86.499805) + (xy 196.517797 86.50449) + (xy 196.502977 86.5163) + (xy 196.494851 86.522775) + (xy 196.491793 86.529114) + (xy 196.487402 86.534615) + (xy 196.486869 86.534189) + (xy 196.482491 86.540355) + (xy 193.847694 89.175151) + (xy 193.8035 89.193457) + (xy 193.759306 89.175151) + (xy 193.741 89.130957) + (xy 193.741 88.919384) + (xy 193.759306 88.87519) + (xy 193.770797 88.866123) + (xy 193.789712 88.854509) + (xy 193.794034 88.852085) + (xy 193.802625 88.847708) + (xy 193.805427 88.844906) + (xy 193.806264 88.844346) + (xy 193.808891 88.842733) + (xy 193.825881 88.832301) + (xy 193.908058 88.741513) + (xy 193.961451 88.631311) + (xy 193.962724 88.623749) + (xy 193.981368 88.512924) + (xy 193.981368 88.512923) + (xy 193.981767 88.510552) + (xy 193.981896 88.5) + (xy 193.964536 88.378781) + (xy 193.913852 88.267307) + (xy 193.899199 88.250302) + (xy 193.897708 88.247375) + (xy 193.8919 88.241567) + (xy 193.888746 88.23817) + (xy 193.836826 88.177914) + (xy 193.833918 88.174539) + (xy 193.813741 88.161461) + (xy 193.810155 88.158559) + (xy 193.810081 88.158661) + (xy 193.806106 88.155773) + (xy 193.802625 88.152292) + (xy 193.791343 88.146543) + (xy 193.785735 88.143308) + (xy 193.769505 88.132788) + (xy 193.742377 88.093389) + (xy 193.741 88.080342) + (xy 193.741 84.969438) + (xy 198.773 84.969438) + (xy 198.773599 84.975519) + (xy 198.779169 85.003518) + (xy 198.783788 85.01467) + (xy 198.805019 85.046445) + (xy 198.813555 85.054981) + (xy 198.84533 85.076212) + (xy 198.856482 85.080831) + (xy 198.884481 85.086401) + (xy 198.890562 85.087) + (xy 199.499069 85.087) + (xy 199.507859 85.083359) + (xy 199.5115 85.074569) + (xy 199.6385 85.074569) + (xy 199.642141 85.083359) + (xy 199.650931 85.087) + (xy 200.259438 85.087) + (xy 200.265519 85.086401) + (xy 200.293518 85.080831) + (xy 200.30467 85.076212) + (xy 200.336445 85.054981) + (xy 200.344981 85.046445) + (xy 200.366212 85.01467) + (xy 200.370831 85.003518) + (xy 200.376401 84.975519) + (xy 200.377 84.969438) + (xy 200.377 84.260931) + (xy 200.373359 84.252141) + (xy 200.364569 84.2485) + (xy 199.650931 84.2485) + (xy 199.642141 84.252141) + (xy 199.6385 84.260931) + (xy 199.6385 85.074569) + (xy 199.5115 85.074569) + (xy 199.5115 84.260931) + (xy 199.507859 84.252141) + (xy 199.499069 84.2485) + (xy 198.785431 84.2485) + (xy 198.776641 84.252141) + (xy 198.773 84.260931) + (xy 198.773 84.969438) + (xy 193.741 84.969438) + (xy 193.741 84.8) + (xy 193.759306 84.755806) + (xy 193.8035 84.7375) + (xy 194.062558 84.7375) + (xy 194.068083 84.736401) + (xy 194.093713 84.731303) + (xy 194.093715 84.731302) + (xy 194.099748 84.730102) + (xy 194.141922 84.701922) + (xy 194.170102 84.659748) + (xy 194.171342 84.653518) + (xy 194.176901 84.625569) + (xy 194.1775 84.622558) + (xy 194.1775 84.109069) + (xy 198.773 84.109069) + (xy 198.776641 84.117859) + (xy 198.785431 84.1215) + (xy 199.499069 84.1215) + (xy 199.507859 84.117859) + (xy 199.5115 84.109069) + (xy 199.6385 84.109069) + (xy 199.642141 84.117859) + (xy 199.650931 84.1215) + (xy 200.364569 84.1215) + (xy 200.373359 84.117859) + (xy 200.377 84.109069) + (xy 200.377 83.400562) + (xy 200.376401 83.394481) + (xy 200.370831 83.366482) + (xy 200.366212 83.35533) + (xy 200.344981 83.323555) + (xy 200.336445 83.315019) + (xy 200.30467 83.293788) + (xy 200.293518 83.289169) + (xy 200.265519 83.283599) + (xy 200.259438 83.283) + (xy 199.650931 83.283) + (xy 199.642141 83.286641) + (xy 199.6385 83.295431) + (xy 199.6385 84.109069) + (xy 199.5115 84.109069) + (xy 199.5115 83.295431) + (xy 199.507859 83.286641) + (xy 199.499069 83.283) + (xy 198.890562 83.283) + (xy 198.884481 83.283599) + (xy 198.856482 83.289169) + (xy 198.84533 83.293788) + (xy 198.813555 83.315019) + (xy 198.805019 83.323555) + (xy 198.783788 83.35533) + (xy 198.779169 83.366482) + (xy 198.773599 83.394481) + (xy 198.773 83.400562) + (xy 198.773 84.109069) + (xy 194.1775 84.109069) + (xy 194.1775 83.397442) + (xy 194.174888 83.384311) + (xy 194.171303 83.366287) + (xy 194.171302 83.366285) + (xy 194.170102 83.360252) + (xy 194.141922 83.318078) + (xy 194.099748 83.289898) + (xy 194.093715 83.288698) + (xy 194.093713 83.288697) + (xy 194.065569 83.283099) + (xy 194.062558 83.2825) + (xy 193.037442 83.2825) + (xy 193.034431 83.283099) + (xy 193.006287 83.288697) + (xy 193.006285 83.288698) + (xy 193.000252 83.289898) + (xy 192.958078 83.318078) + (xy 192.929898 83.360252) + (xy 192.928698 83.366285) + (xy 192.928697 83.366287) + (xy 192.925112 83.384311) + (xy 192.9225 83.397442) + (xy 192.9225 84.622558) + (xy 192.923099 84.625569) + (xy 192.928659 84.653518) + (xy 192.929898 84.659748) + (xy 192.958078 84.701922) + (xy 193.000252 84.730102) + (xy 193.006285 84.731302) + (xy 193.006287 84.731303) + (xy 193.031917 84.736401) + (xy 193.037442 84.7375) + (xy 193.2965 84.7375) + (xy 193.340694 84.755806) + (xy 193.359 84.8) + (xy 193.359 88.080525) + (xy 193.340694 88.124719) + (xy 193.329855 88.13338) + (xy 193.31281 88.144135) + (xy 193.307842 88.146959) + (xy 193.301757 88.150059) + (xy 193.301756 88.15006) + (xy 193.297375 88.152292) + (xy 193.293897 88.15577) + (xy 193.292445 88.156825) + (xy 193.289058 88.15912) + (xy 193.27008 88.171095) + (xy 193.267137 88.174427) + (xy 193.267135 88.174429) + (xy 193.207156 88.242342) + (xy 193.206032 88.243538) + (xy 193.205775 88.243892) + (xy 193.205554 88.244113) + (xy 193.205405 88.244204) + (xy 193.204504 88.245163) + (xy 193.202292 88.247375) + (xy 193.201509 88.246592) + (xy 193.200362 88.247296) + (xy 193.201721 88.248496) + (xy 193.195002 88.256104) + (xy 193.189018 88.262879) + (xy 193.187127 88.266907) + (xy 193.138868 88.369695) + (xy 193.138867 88.369698) + (xy 193.136976 88.373726) + (xy 193.118136 88.494724) + (xy 193.118713 88.499137) + (xy 193.118713 88.499138) + (xy 193.132478 88.604401) + (xy 193.134014 88.616145) + (xy 193.141185 88.632443) + (xy 193.141244 88.632813) + (xy 193.142007 88.634311) + (xy 193.144031 88.63891) + (xy 193.144031 88.638911) + (xy 193.158772 88.672411) + (xy 193.183333 88.72823) + (xy 193.197198 88.744724) + (xy 193.198029 88.745713) + (xy 193.200157 88.748434) + (xy 193.202292 88.752625) + (xy 193.210081 88.760414) + (xy 193.21373 88.764392) + (xy 193.25807 88.817141) + (xy 193.262127 88.821968) + (xy 193.285399 88.837459) + (xy 193.291836 88.842733) + (xy 193.293899 88.844232) + (xy 193.297375 88.847708) + (xy 193.301753 88.849939) + (xy 193.301756 88.849941) + (xy 193.30873 88.853494) + (xy 193.314983 88.857152) + (xy 193.321855 88.861726) + (xy 193.331134 88.867903) + (xy 193.357778 88.907631) + (xy 193.359 88.919929) + (xy 193.359 89.094998) + (xy 193.340694 89.139192) + (xy 192.949751 89.530134) + (xy 192.905557 89.54844) + (xy 192.372563 89.54844) + (xy 192.328369 89.530134) + (xy 192.310063 89.48594) + (xy 192.328369 89.441746) + (xy 192.604487 89.165628) + (xy 192.610561 89.161323) + (xy 192.610105 89.16075) + (xy 192.615613 89.156369) + (xy 192.621958 89.153322) + (xy 192.640418 89.130239) + (xy 192.645035 89.12508) + (xy 192.650284 89.119831) + (xy 192.654057 89.113828) + (xy 192.658165 89.108047) + (xy 192.660995 89.104509) + (xy 192.676383 89.085267) + (xy 192.67796 89.078407) + (xy 192.679778 89.074647) + (xy 192.681156 89.070711) + (xy 192.684902 89.064752) + (xy 192.68818 89.035758) + (xy 192.68937 89.028792) + (xy 192.691 89.021703) + (xy 192.691 89.014329) + (xy 192.691396 89.007308) + (xy 192.692313 88.999193) + (xy 192.694691 88.978161) + (xy 192.69237 88.971516) + (xy 192.691586 88.964522) + (xy 192.692264 88.964446) + (xy 192.691 88.956994) + (xy 192.691 88.169384) + (xy 192.709306 88.12519) + (xy 192.720797 88.116123) + (xy 192.739712 88.104509) + (xy 192.744034 88.102085) + (xy 192.752625 88.097708) + (xy 192.755427 88.094906) + (xy 192.756264 88.094346) + (xy 192.775881 88.082301) + (xy 192.858058 87.991513) + (xy 192.911451 87.881311) + (xy 192.912766 87.8735) + (xy 192.928971 87.777172) + (xy 192.931767 87.760552) + (xy 192.931896 87.75) + (xy 192.914536 87.628781) + (xy 192.863852 87.517307) + (xy 192.849199 87.500302) + (xy 192.847708 87.497375) + (xy 192.8419 87.491567) + (xy 192.838746 87.48817) + (xy 192.799832 87.443008) + (xy 192.783918 87.424539) + (xy 192.763741 87.411461) + (xy 192.760155 87.408559) + (xy 192.760081 87.408661) + (xy 192.756106 87.405773) + (xy 192.752625 87.402292) + (xy 192.741343 87.396543) + (xy 192.735736 87.393309) + (xy 192.735587 87.393212) + (xy 192.71048 87.376939) + (xy 192.684893 87.360354) + (xy 192.68489 87.360353) + (xy 192.68116 87.357935) + (xy 192.676901 87.356661) + (xy 192.676898 87.35666) + (xy 192.658345 87.351112) + (xy 192.648839 87.348269) + (xy 192.638377 87.344079) + (xy 192.632813 87.341244) + (xy 192.621067 87.339384) + (xy 192.612951 87.337536) + (xy 192.563838 87.322848) + (xy 192.519496 87.322577) + (xy 192.510103 87.321809) + (xy 192.504857 87.320978) + (xy 192.504856 87.320978) + (xy 192.5 87.320209) + (xy 192.491373 87.321576) + (xy 192.48122 87.322344) + (xy 192.462836 87.322231) + (xy 192.445838 87.322127) + (xy 192.445836 87.322127) + (xy 192.441385 87.3221) + (xy 192.387011 87.337641) + (xy 192.379623 87.339275) + (xy 192.367187 87.341244) + (xy 192.362804 87.343477) + (xy 192.36187 87.343781) + (xy 192.352155 87.347603) + (xy 192.327929 87.354526) + (xy 192.327927 87.354527) + (xy 192.323644 87.355751) + (xy 192.264119 87.393309) + (xy 192.262819 87.394129) + (xy 192.257842 87.396959) + (xy 192.251757 87.400059) + (xy 192.251756 87.40006) + (xy 192.247375 87.402292) + (xy 192.243897 87.40577) + (xy 192.242445 87.406825) + (xy 192.239058 87.40912) + (xy 192.22008 87.421095) + (xy 192.217137 87.424427) + (xy 192.217135 87.424429) + (xy 192.157156 87.492342) + (xy 192.156032 87.493538) + (xy 192.155775 87.493892) + (xy 192.155554 87.494113) + (xy 192.155405 87.494204) + (xy 192.154504 87.495163) + (xy 192.152292 87.497375) + (xy 192.151509 87.496592) + (xy 192.150362 87.497296) + (xy 192.151721 87.498496) + (xy 192.147732 87.503013) + (xy 192.139018 87.512879) + (xy 192.134338 87.522847) + (xy 192.088868 87.619695) + (xy 192.088867 87.619698) + (xy 192.086976 87.623726) + (xy 192.068136 87.744724) + (xy 192.068713 87.749137) + (xy 192.068713 87.749138) + (xy 192.080918 87.842473) + (xy 192.084014 87.866145) + (xy 192.091185 87.882443) + (xy 192.091244 87.882813) + (xy 192.092007 87.884311) + (xy 192.094031 87.88891) + (xy 192.094031 87.888911) + (xy 192.129536 87.9696) + (xy 192.133333 87.97823) + (xy 192.147272 87.994813) + (xy 192.148029 87.995713) + (xy 192.150157 87.998434) + (xy 192.152292 88.002625) + (xy 192.160081 88.010414) + (xy 192.163729 88.014391) + (xy 192.212127 88.071968) + (xy 192.235399 88.087459) + (xy 192.241836 88.092733) + (xy 192.243899 88.094232) + (xy 192.247375 88.097708) + (xy 192.251753 88.099939) + (xy 192.251756 88.099941) + (xy 192.25873 88.103494) + (xy 192.264983 88.107152) + (xy 192.27846 88.116123) + (xy 192.281134 88.117903) + (xy 192.307778 88.157631) + (xy 192.309 88.169929) + (xy 192.309 88.894997) + (xy 192.290694 88.939191) + (xy 191.953271 89.276614) + (xy 191.909077 89.29492) + (xy 167.198783 89.29492) + (xy 167.191442 89.293667) + (xy 167.191359 89.294396) + (xy 167.184368 89.293599) + (xy 167.177727 89.291267) + (xy 167.170734 89.292045) + (xy 167.170733 89.292045) + (xy 167.148343 89.294537) + (xy 167.14143 89.29492) + (xy 167.134016 89.29492) + (xy 167.127093 89.296499) + (xy 167.12014 89.297675) + (xy 167.09112 89.300905) + (xy 167.085156 89.304639) + (xy 167.081219 89.30601) + (xy 167.077453 89.307823) + (xy 167.07059 89.309388) + (xy 167.065084 89.313775) + (xy 167.065085 89.313775) + (xy 167.047778 89.327566) + (xy 167.041995 89.33166) + (xy 167.038839 89.333636) + (xy 167.038833 89.333641) + (xy 167.035838 89.335516) + (xy 167.030625 89.340729) + (xy 167.025381 89.345414) + (xy 167.00244 89.363695) + (xy 166.999382 89.370034) + (xy 166.994991 89.375535) + (xy 166.994457 89.375109) + (xy 166.990081 89.381273) + (xy 166.08066 90.290694) + (xy 166.036466 90.309) + (xy 165.923049 90.309) + (xy 165.878855 90.290694) + (xy 165.866156 90.272373) + (xy 165.865695 90.27136) + (xy 165.865694 90.271359) + (xy 165.863852 90.267307) + (xy 165.849199 90.250302) + (xy 165.847708 90.247375) + (xy 165.8419 90.241567) + (xy 165.838746 90.23817) + (xy 165.786826 90.177914) + (xy 165.783918 90.174539) + (xy 165.763741 90.161461) + (xy 165.760155 90.158559) + (xy 165.760081 90.158661) + (xy 165.756106 90.155773) + (xy 165.752625 90.152292) + (xy 165.741343 90.146543) + (xy 165.735736 90.143309) + (xy 165.734615 90.142582) + (xy 165.713322 90.128781) + (xy 165.684893 90.110354) + (xy 165.68489 90.110353) + (xy 165.68116 90.107935) + (xy 165.676901 90.106661) + (xy 165.676898 90.10666) + (xy 165.658345 90.101112) + (xy 165.648839 90.098269) + (xy 165.638377 90.094079) + (xy 165.632813 90.091244) + (xy 165.621067 90.089384) + (xy 165.612951 90.087536) + (xy 165.584793 90.079115) + (xy 165.563838 90.072848) + (xy 165.519496 90.072577) + (xy 165.510103 90.071809) + (xy 165.504857 90.070978) + (xy 165.504856 90.070978) + (xy 165.5 90.070209) + (xy 165.491373 90.071576) + (xy 165.48122 90.072344) + (xy 165.462836 90.072231) + (xy 165.445838 90.072127) + (xy 165.445836 90.072127) + (xy 165.441385 90.0721) + (xy 165.387011 90.087641) + (xy 165.379623 90.089275) + (xy 165.367187 90.091244) + (xy 165.362804 90.093477) + (xy 165.36187 90.093781) + (xy 165.352155 90.097603) + (xy 165.327929 90.104526) + (xy 165.327927 90.104527) + (xy 165.323644 90.105751) + (xy 165.264119 90.143309) + (xy 165.262819 90.144129) + (xy 165.257842 90.146959) + (xy 165.251757 90.150059) + (xy 165.251756 90.15006) + (xy 165.247375 90.152292) + (xy 165.243897 90.15577) + (xy 165.242445 90.156825) + (xy 165.239058 90.15912) + (xy 165.22008 90.171095) + (xy 165.217137 90.174427) + (xy 165.217135 90.174429) + (xy 165.157156 90.242342) + (xy 165.156032 90.243538) + (xy 165.155775 90.243892) + (xy 165.155554 90.244113) + (xy 165.155405 90.244204) + (xy 165.154504 90.245163) + (xy 165.152292 90.247375) + (xy 165.151509 90.246592) + (xy 165.150362 90.247296) + (xy 165.151721 90.248496) + (xy 165.145002 90.256104) + (xy 165.139018 90.262879) + (xy 165.137127 90.266907) + (xy 165.088868 90.369695) + (xy 165.088867 90.369698) + (xy 165.086976 90.373726) + (xy 165.068136 90.494724) + (xy 165.068713 90.499137) + (xy 165.068713 90.499138) + (xy 165.082876 90.607442) + (xy 165.084014 90.616145) + (xy 165.091185 90.632443) + (xy 165.091244 90.632813) + (xy 165.092007 90.634311) + (xy 165.094031 90.63891) + (xy 165.094031 90.638911) + (xy 165.122681 90.704021) + (xy 165.133333 90.72823) + (xy 165.147567 90.745163) + (xy 165.148029 90.745713) + (xy 165.150157 90.748434) + (xy 165.152292 90.752625) + (xy 165.160081 90.760414) + (xy 165.163729 90.764391) + (xy 165.212127 90.821968) + (xy 165.235399 90.837459) + (xy 165.241836 90.842733) + (xy 165.243899 90.844232) + (xy 165.247375 90.847708) + (xy 165.251753 90.849939) + (xy 165.251756 90.849941) + (xy 165.25873 90.853494) + (xy 165.264977 90.857148) + (xy 165.314064 90.889823) + (xy 165.318313 90.891151) + (xy 165.318314 90.891151) + (xy 165.350296 90.901143) + (xy 165.360027 90.905109) + (xy 165.362799 90.906521) + (xy 165.362803 90.906522) + (xy 165.367187 90.908756) + (xy 165.377852 90.910445) + (xy 165.386713 90.91252) + (xy 165.426696 90.925012) + (xy 165.426699 90.925012) + (xy 165.430948 90.92634) + (xy 165.480069 90.927241) + (xy 165.488683 90.927999) + (xy 165.49514 90.929022) + (xy 165.495144 90.929022) + (xy 165.5 90.929791) + (xy 165.506947 90.92869) + (xy 165.517853 90.927933) + (xy 165.553383 90.928584) + (xy 165.613532 90.912185) + (xy 165.62019 90.910755) + (xy 165.622147 90.910445) + (xy 165.632813 90.908756) + (xy 165.637196 90.906522) + (xy 165.641875 90.905002) + (xy 165.641878 90.90501) + (xy 165.646727 90.903136) + (xy 165.654093 90.901128) + (xy 165.667207 90.897553) + (xy 165.71466 90.903592) + (xy 165.743944 90.941416) + (xy 165.737905 90.988869) + (xy 165.727838 91.002047) + (xy 163.895513 92.834372) + (xy 163.889439 92.838677) + (xy 163.889895 92.83925) + (xy 163.884387 92.843631) + (xy 163.878042 92.846678) + (xy 163.873646 92.852175) + (xy 163.859582 92.869761) + (xy 163.854965 92.87492) + (xy 163.849716 92.880169) + (xy 163.845943 92.886172) + (xy 163.841838 92.891948) + (xy 163.823617 92.914733) + (xy 163.82204 92.921593) + (xy 163.820222 92.925353) + (xy 163.818844 92.929289) + (xy 163.815098 92.935248) + (xy 163.814307 92.942242) + (xy 163.814307 92.942243) + (xy 163.811821 92.964236) + (xy 163.810629 92.971213) + (xy 163.809 92.978297) + (xy 163.809 92.985671) + (xy 163.808604 92.992692) + (xy 163.805309 93.021838) + (xy 163.80763 93.028483) + (xy 163.808414 93.035477) + (xy 163.807736 93.035553) + (xy 163.809 93.043005) + (xy 163.809 93.471482) + (xy 163.790694 93.515676) + (xy 163.7465 93.533982) + (xy 163.711777 93.523449) + (xy 163.658933 93.488141) + (xy 163.647779 93.48352) + (xy 163.597904 93.473599) + (xy 163.591823 93.473) + (xy 163.575931 93.473) + (xy 163.567141 93.476641) + (xy 163.5635 93.485431) + (xy 163.5635 95.189568) + (xy 163.4365 95.189568) + (xy 163.4365 93.485432) + (xy 163.432859 93.476642) + (xy 163.424069 93.473001) + (xy 163.408179 93.473001) + (xy 163.402094 93.4736) + (xy 163.352224 93.48352) + (xy 163.341066 93.488142) + (xy 163.288223 93.523449) + (xy 163.241306 93.532781) + (xy 163.201533 93.506205) + (xy 163.191 93.471482) + (xy 163.191 92.267801) + (xy 163.209306 92.223607) + (xy 164.104487 91.328426) + (xy 164.110561 91.324121) + (xy 164.110105 91.323548) + (xy 164.115613 91.319167) + (xy 164.121958 91.31612) + (xy 164.140418 91.293037) + (xy 164.145035 91.287878) + (xy 164.150284 91.282629) + (xy 164.154057 91.276626) + (xy 164.158165 91.270845) + (xy 164.164977 91.262328) + (xy 164.176383 91.248065) + (xy 164.17796 91.241205) + (xy 164.179778 91.237445) + (xy 164.181156 91.233509) + (xy 164.184902 91.22755) + (xy 164.18818 91.198556) + (xy 164.18937 91.19159) + (xy 164.191 91.184501) + (xy 164.191 91.177127) + (xy 164.191396 91.170106) + (xy 164.1939 91.147952) + (xy 164.194691 91.140959) + (xy 164.19237 91.134314) + (xy 164.191586 91.12732) + (xy 164.192264 91.127244) + (xy 164.191 91.119792) + (xy 164.191 88.469438) + (xy 188.073 88.469438) + (xy 188.073599 88.475519) + (xy 188.079169 88.503518) + (xy 188.083788 88.51467) + (xy 188.105019 88.546445) + (xy 188.113555 88.554981) + (xy 188.14533 88.576212) + (xy 188.156482 88.580831) + (xy 188.184481 88.586401) + (xy 188.190562 88.587) + (xy 188.799069 88.587) + (xy 188.807859 88.583359) + (xy 188.8115 88.574569) + (xy 188.9385 88.574569) + (xy 188.942141 88.583359) + (xy 188.950931 88.587) + (xy 189.559438 88.587) + (xy 189.565519 88.586401) + (xy 189.593518 88.580831) + (xy 189.60467 88.576212) + (xy 189.636445 88.554981) + (xy 189.644981 88.546445) + (xy 189.666212 88.51467) + (xy 189.670831 88.503518) + (xy 189.676401 88.475519) + (xy 189.677 88.469438) + (xy 189.677 87.585931) + (xy 189.673359 87.577141) + (xy 189.664569 87.5735) + (xy 188.950931 87.5735) + (xy 188.942141 87.577141) + (xy 188.9385 87.585931) + (xy 188.9385 88.574569) + (xy 188.8115 88.574569) + (xy 188.8115 87.585931) + (xy 188.807859 87.577141) + (xy 188.799069 87.5735) + (xy 188.085431 87.5735) + (xy 188.076641 87.577141) + (xy 188.073 87.585931) + (xy 188.073 88.469438) + (xy 164.191 88.469438) + (xy 164.191 87.131081) + (xy 165.398001 87.131081) + (xy 165.398333 87.135614) + (xy 165.407478 87.197743) + (xy 165.410326 87.20691) + (xy 165.457556 87.303105) + (xy 165.463484 87.311387) + (xy 165.539111 87.386883) + (xy 165.547406 87.392799) + (xy 165.643687 87.439863) + (xy 165.652851 87.442695) + (xy 165.714391 87.451672) + (xy 165.718904 87.452) + (xy 165.899069 87.452) + (xy 165.907859 87.448359) + (xy 165.9115 87.439569) + (xy 165.9115 87.439568) + (xy 166.0385 87.439568) + (xy 166.042141 87.448358) + (xy 166.050931 87.451999) + (xy 166.231083 87.451999) + (xy 166.235614 87.451667) + (xy 166.297743 87.442522) + (xy 166.30691 87.439674) + (xy 166.403105 87.392444) + (xy 166.411387 87.386516) + (xy 166.486883 87.310889) + (xy 166.492799 87.302594) + (xy 166.539863 87.206313) + (xy 166.542695 87.197149) + (xy 166.551672 87.135609) + (xy 166.552 87.131096) + (xy 166.552 86.925931) + (xy 166.548359 86.917141) + (xy 166.539569 86.9135) + (xy 166.050931 86.9135) + (xy 166.042141 86.917141) + (xy 166.0385 86.925931) + (xy 166.0385 87.439568) + (xy 165.9115 87.439568) + (xy 165.9115 86.925931) + (xy 165.907859 86.917141) + (xy 165.899069 86.9135) + (xy 165.410432 86.9135) + (xy 165.401642 86.917141) + (xy 165.398001 86.925931) + (xy 165.398001 87.131081) + (xy 164.191 87.131081) + (xy 164.191 86.774069) + (xy 165.398 86.774069) + (xy 165.401641 86.782859) + (xy 165.410431 86.7865) + (xy 165.899069 86.7865) + (xy 165.907859 86.782859) + (xy 165.9115 86.774069) + (xy 166.0385 86.774069) + (xy 166.042141 86.782859) + (xy 166.050931 86.7865) + (xy 166.539568 86.7865) + (xy 166.548358 86.782859) + (xy 166.551999 86.774069) + (xy 166.551999 86.568917) + (xy 166.551828 86.566589) + (xy 166.9475 86.566589) + (xy 166.947501 87.13341) + (xy 166.957699 87.202695) + (xy 166.959839 87.207053) + (xy 166.959839 87.207054) + (xy 166.964081 87.215693) + (xy 167.009419 87.308036) + (xy 167.013071 87.311682) + (xy 167.013072 87.311683) + (xy 167.088817 87.387296) + (xy 167.088819 87.387298) + (xy 167.092472 87.390944) + (xy 167.155733 87.421867) + (xy 167.193158 87.440161) + (xy 167.197902 87.44248) + (xy 167.202705 87.443181) + (xy 167.202706 87.443181) + (xy 167.224659 87.446383) + (xy 167.266589 87.4525) + (xy 167.524317 87.4525) + (xy 167.78341 87.452499) + (xy 167.852695 87.442301) + (xy 167.85826 87.439569) + (xy 167.869462 87.434069) + (xy 188.073 87.434069) + (xy 188.076641 87.442859) + (xy 188.085431 87.4465) + (xy 188.799069 87.4465) + (xy 188.807859 87.442859) + (xy 188.8115 87.434069) + (xy 188.9385 87.434069) + (xy 188.942141 87.442859) + (xy 188.950931 87.4465) + (xy 189.664569 87.4465) + (xy 189.673359 87.442859) + (xy 189.677 87.434069) + (xy 189.677 86.550562) + (xy 189.676401 86.544481) + (xy 189.670831 86.516482) + (xy 189.666212 86.50533) + (xy 189.644981 86.473555) + (xy 189.636445 86.465019) + (xy 189.60467 86.443788) + (xy 189.593518 86.439169) + (xy 189.565519 86.433599) + (xy 189.559438 86.433) + (xy 188.950931 86.433) + (xy 188.942141 86.436641) + (xy 188.9385 86.445431) + (xy 188.9385 87.434069) + (xy 188.8115 87.434069) + (xy 188.8115 86.445431) + (xy 188.807859 86.436641) + (xy 188.799069 86.433) + (xy 188.190562 86.433) + (xy 188.184481 86.433599) + (xy 188.156482 86.439169) + (xy 188.14533 86.443788) + (xy 188.113555 86.465019) + (xy 188.105019 86.473555) + (xy 188.083788 86.50533) + (xy 188.079169 86.516482) + (xy 188.073599 86.544481) + (xy 188.073 86.550562) + (xy 188.073 87.434069) + (xy 167.869462 87.434069) + (xy 167.885774 87.42606) + (xy 167.958036 87.390581) + (xy 167.964295 87.384311) + (xy 168.037296 87.311183) + (xy 168.037298 87.311181) + (xy 168.040944 87.307528) + (xy 168.08472 87.217974) + (xy 168.090349 87.206458) + (xy 168.090349 87.206457) + (xy 168.09248 87.202098) + (xy 168.093516 87.195) + (xy 168.102172 87.135657) + (xy 168.1025 87.133411) + (xy 168.1025 87.1035) + (xy 168.120806 87.059306) + (xy 168.165 87.041) + (xy 168.706768 87.041) + (xy 168.714107 87.04225) + (xy 168.71419 87.041523) + (xy 168.721181 87.04232) + (xy 168.727822 87.044652) + (xy 168.734815 87.043874) + (xy 168.734816 87.043874) + (xy 168.757197 87.041383) + (xy 168.76411 87.041) + (xy 168.771533 87.041) + (xy 168.778465 87.039419) + (xy 168.785418 87.038243) + (xy 168.814429 87.035014) + (xy 168.820393 87.031281) + (xy 168.824341 87.029906) + (xy 168.8281 87.028097) + (xy 168.834959 87.026532) + (xy 168.846536 87.017307) + (xy 168.857773 87.008352) + (xy 168.863559 87.004256) + (xy 168.866715 87.00228) + (xy 168.866717 87.002279) + (xy 168.869711 87.000404) + (xy 168.874923 86.995192) + (xy 168.880167 86.990507) + (xy 168.897604 86.976612) + (xy 168.897604 86.976611) + (xy 168.903109 86.972225) + (xy 168.906167 86.965886) + (xy 168.910558 86.960385) + (xy 168.911092 86.960811) + (xy 168.915468 86.954647) + (xy 169.604485 86.265629) + (xy 169.61056 86.261322) + (xy 169.610105 86.26075) + (xy 169.615613 86.256369) + (xy 169.621958 86.253322) + (xy 169.640422 86.230234) + (xy 169.645039 86.225075) + (xy 169.650283 86.219831) + (xy 169.652145 86.216868) + (xy 169.652151 86.216861) + (xy 169.654058 86.213826) + (xy 169.658163 86.20805) + (xy 169.671987 86.190764) + (xy 169.676383 86.185267) + (xy 169.67796 86.178409) + (xy 169.67978 86.174644) + (xy 169.681158 86.170708) + (xy 169.684902 86.164752) + (xy 169.685692 86.157761) + (xy 169.685694 86.157756) + (xy 169.68818 86.135763) + (xy 169.689373 86.128778) + (xy 169.690208 86.125146) + (xy 169.691 86.121703) + (xy 169.691 86.114323) + (xy 169.691396 86.107303) + (xy 169.692959 86.093476) + (xy 169.69469 86.078162) + (xy 169.692369 86.071516) + (xy 169.691585 86.064522) + (xy 169.692264 86.064446) + (xy 169.691 86.056996) + (xy 169.691 86.04) + (xy 169.709306 85.995806) + (xy 169.7535 85.9775) + (xy 170.412558 85.9775) + (xy 170.427534 85.974521) + (xy 170.443713 85.971303) + (xy 170.443715 85.971302) + (xy 170.449748 85.970102) + (xy 170.491922 85.941922) + (xy 170.520102 85.899748) + (xy 170.522203 85.889189) + (xy 170.526901 85.865569) + (xy 170.5275 85.862558) + (xy 170.5275 85.844285) + (xy 173.273 85.844285) + (xy 173.273599 85.850369) + (xy 173.28497 85.907532) + (xy 173.289592 85.91869) + (xy 173.332923 85.983541) + (xy 173.341459 85.992077) + (xy 173.40631 86.035408) + (xy 173.417468 86.04003) + (xy 173.474631 86.051401) + (xy 173.480715 86.052) + (xy 174.061569 86.052) + (xy 174.070359 86.048359) + (xy 174.074 86.039569) + (xy 174.201 86.039569) + (xy 174.204641 86.048359) + (xy 174.213431 86.052) + (xy 174.794285 86.052) + (xy 174.800369 86.051401) + (xy 174.857532 86.04003) + (xy 174.86869 86.035408) + (xy 174.933541 85.992077) + (xy 174.942077 85.983541) + (xy 174.985408 85.91869) + (xy 174.99003 85.907532) + (xy 175.001401 85.850369) + (xy 175.002 85.844285) + (xy 175.002 85.800931) + (xy 174.998359 85.792141) + (xy 174.989569 85.7885) + (xy 174.213431 85.7885) + (xy 174.204641 85.792141) + (xy 174.201 85.800931) + (xy 174.201 86.039569) + (xy 174.074 86.039569) + (xy 174.074 85.800931) + (xy 174.070359 85.792141) + (xy 174.061569 85.7885) + (xy 173.285431 85.7885) + (xy 173.276641 85.792141) + (xy 173.273 85.800931) + (xy 173.273 85.844285) + (xy 170.5275 85.844285) + (xy 170.5275 84.837442) + (xy 170.524048 84.820088) + (xy 170.521303 84.806287) + (xy 170.521302 84.806285) + (xy 170.520102 84.800252) + (xy 170.491922 84.758078) + (xy 170.450599 84.730466) + (xy 170.424024 84.690693) + (xy 170.433356 84.643776) + (xy 170.47313 84.617201) + (xy 170.485323 84.616) + (xy 171.880154 84.616) + (xy 171.924348 84.634306) + (xy 171.942654 84.6785) + (xy 171.927 84.719873) + (xy 171.907156 84.742342) + (xy 171.906032 84.743538) + (xy 171.905775 84.743892) + (xy 171.905554 84.744113) + (xy 171.905405 84.744204) + (xy 171.904504 84.745163) + (xy 171.902292 84.747375) + (xy 171.901509 84.746592) + (xy 171.900362 84.747296) + (xy 171.901721 84.748496) + (xy 171.889018 84.762879) + (xy 171.885407 84.770571) + (xy 171.838868 84.869695) + (xy 171.838867 84.869698) + (xy 171.836976 84.873726) + (xy 171.818136 84.994724) + (xy 171.818713 84.999137) + (xy 171.818713 84.999138) + (xy 171.830124 85.086401) + (xy 171.834014 85.116145) + (xy 171.841185 85.132443) + (xy 171.841244 85.132813) + (xy 171.842007 85.134311) + (xy 171.844031 85.13891) + (xy 171.844031 85.138911) + (xy 171.87992 85.220473) + (xy 171.883333 85.22823) + (xy 171.896318 85.243677) + (xy 171.898029 85.245713) + (xy 171.900157 85.248434) + (xy 171.902292 85.252625) + (xy 171.910081 85.260414) + (xy 171.913729 85.264391) + (xy 171.962127 85.321968) + (xy 171.985399 85.337459) + (xy 171.991836 85.342733) + (xy 171.993899 85.344232) + (xy 171.997375 85.347708) + (xy 172.001753 85.349939) + (xy 172.001756 85.349941) + (xy 172.00873 85.353494) + (xy 172.014977 85.357148) + (xy 172.064064 85.389823) + (xy 172.068313 85.391151) + (xy 172.068314 85.391151) + (xy 172.100296 85.401143) + (xy 172.110027 85.405109) + (xy 172.112799 85.406521) + (xy 172.112803 85.406522) + (xy 172.117187 85.408756) + (xy 172.127852 85.410445) + (xy 172.136713 85.41252) + (xy 172.176696 85.425012) + (xy 172.176699 85.425012) + (xy 172.180948 85.42634) + (xy 172.230069 85.427241) + (xy 172.238683 85.427999) + (xy 172.24514 85.429022) + (xy 172.245144 85.429022) + (xy 172.25 85.429791) + (xy 172.256947 85.42869) + (xy 172.267853 85.427933) + (xy 172.303383 85.428584) + (xy 172.363532 85.412185) + (xy 172.37019 85.410755) + (xy 172.372147 85.410445) + (xy 172.382813 85.408756) + (xy 172.387196 85.406522) + (xy 172.391875 85.405002) + (xy 172.391878 85.40501) + (xy 172.396727 85.403136) + (xy 172.421527 85.396375) + (xy 172.426521 85.393309) + (xy 172.489709 85.354511) + (xy 172.494037 85.352085) + (xy 172.49824 85.349944) + (xy 172.498248 85.349938) + (xy 172.502625 85.347708) + (xy 172.505427 85.344906) + (xy 172.506264 85.344346) + (xy 172.506704 85.344076) + (xy 172.525881 85.332301) + (xy 172.567286 85.286558) + (xy 172.613623 85.266) + (xy 173.253786 85.266) + (xy 173.29798 85.284306) + (xy 173.305753 85.293777) + (xy 173.335982 85.339018) + (xy 173.349006 85.34772) + (xy 173.349923 85.348333) + (xy 173.376499 85.388107) + (xy 173.367167 85.435023) + (xy 173.349924 85.452266) + (xy 173.341461 85.457921) + (xy 173.332923 85.466459) + (xy 173.289592 85.53131) + (xy 173.28497 85.542468) + (xy 173.273599 85.599631) + (xy 173.273 85.605715) + (xy 173.273 85.649069) + (xy 173.276641 85.657859) + (xy 173.285431 85.6615) + (xy 174.989569 85.6615) + (xy 174.998359 85.657859) + (xy 175.002 85.649069) + (xy 175.002 85.605715) + (xy 175.001401 85.599631) + (xy 174.99003 85.542468) + (xy 174.985408 85.53131) + (xy 174.942077 85.466459) + (xy 174.933539 85.457921) + (xy 174.925076 85.452266) + (xy 174.898501 85.412492) + (xy 174.907834 85.365576) + (xy 174.925077 85.348333) + (xy 174.925995 85.34772) + (xy 174.939018 85.339018) + (xy 174.950322 85.3221) + (xy 174.98588 85.268885) + (xy 174.985881 85.268883) + (xy 174.9893 85.263766) + (xy 174.996369 85.22823) + (xy 175.001901 85.200419) + (xy 175.001901 85.200416) + (xy 175.0025 85.197406) + (xy 175.0025 84.952594) + (xy 174.998963 84.93481) + (xy 174.993902 84.909372) + (xy 174.9893 84.886234) + (xy 174.983883 84.878126) + (xy 174.942437 84.816099) + (xy 174.939018 84.810982) + (xy 174.925526 84.801967) + (xy 174.89895 84.762193) + (xy 174.908282 84.715277) + (xy 174.925526 84.698033) + (xy 174.925974 84.697734) + (xy 174.939018 84.689018) + (xy 174.956706 84.662546) + (xy 174.98588 84.618885) + (xy 174.985881 84.618883) + (xy 174.9893 84.613766) + (xy 174.997533 84.572375) + (xy 175.001901 84.550419) + (xy 175.001901 84.550416) + (xy 175.0025 84.547406) + (xy 175.0025 84.302594) + (xy 175.001664 84.298388) + (xy 174.993231 84.255995) + (xy 174.9893 84.236234) + (xy 174.985208 84.230109) + (xy 174.942437 84.166099) + (xy 174.939018 84.160982) + (xy 174.925526 84.151967) + (xy 174.89895 84.112193) + (xy 174.908282 84.065277) + (xy 174.925526 84.048033) + (xy 174.933901 84.042437) + (xy 174.939018 84.039018) + (xy 174.958713 84.009543) + (xy 174.98588 83.968885) + (xy 174.985881 83.968883) + (xy 174.9893 83.963766) + (xy 174.996583 83.927151) + (xy 175.001901 83.900419) + (xy 175.001901 83.900416) + (xy 175.0025 83.897406) + (xy 175.0025 83.652594) + (xy 175.001715 83.648645) + (xy 174.992931 83.604487) + (xy 174.9893 83.586234) + (xy 174.982182 83.57558) + (xy 174.942437 83.516099) + (xy 174.939018 83.510982) + (xy 174.899719 83.484723) + (xy 174.868885 83.46412) + (xy 174.868883 83.464119) + (xy 174.863766 83.4607) + (xy 174.833192 83.454618) + (xy 174.800419 83.448099) + (xy 174.800416 83.448099) + (xy 174.797406 83.4475) + (xy 173.477594 83.4475) + (xy 173.474584 83.448099) + (xy 173.474581 83.448099) + (xy 173.441808 83.454618) + (xy 173.411234 83.4607) + (xy 173.406117 83.464119) + (xy 173.406115 83.46412) + (xy 173.375281 83.484723) + (xy 173.335982 83.510982) + (xy 173.332563 83.516099) + (xy 173.305753 83.556223) + (xy 173.265979 83.582799) + (xy 173.253786 83.584) + (xy 170.485323 83.584) + (xy 170.441129 83.565694) + (xy 170.422823 83.5215) + (xy 170.441129 83.477306) + (xy 170.450597 83.469535) + (xy 170.491922 83.441922) + (xy 170.520102 83.399748) + (xy 170.521515 83.392648) + (xy 170.526901 83.365569) + (xy 170.5275 83.362558) + (xy 170.5275 82.337442) + (xy 170.525807 82.328932) + (xy 170.521303 82.306287) + (xy 170.521302 82.306285) + (xy 170.520102 82.300252) + (xy 170.491922 82.258078) + (xy 170.449748 82.229898) + (xy 170.443715 82.228698) + (xy 170.443713 82.228697) + (xy 170.415569 82.223099) + (xy 170.412558 82.2225) + (xy 169.7535 82.2225) + (xy 169.709306 82.204194) + (xy 169.691 82.16) + (xy 169.691 82.143232) + (xy 169.69225 82.135893) + (xy 169.691523 82.13581) + (xy 169.69232 82.128819) + (xy 169.694652 82.122178) + (xy 169.691383 82.092802) + (xy 169.691 82.08589) + (xy 169.691 82.078467) + (xy 169.689419 82.071535) + (xy 169.688241 82.064569) + (xy 169.685014 82.035571) + (xy 169.681281 82.029607) + (xy 169.679906 82.025659) + (xy 169.678097 82.0219) + (xy 169.676532 82.015041) + (xy 169.658351 81.992226) + (xy 169.654256 81.986441) + (xy 169.65228 81.983285) + (xy 169.652279 81.983283) + (xy 169.650404 81.980289) + (xy 169.645191 81.975076) + (xy 169.640506 81.969832) + (xy 169.626612 81.952396) + (xy 169.626611 81.952396) + (xy 169.622225 81.946891) + (xy 169.615886 81.943833) + (xy 169.610385 81.939442) + (xy 169.610811 81.938908) + (xy 169.604647 81.934532) + (xy 168.915628 81.245513) + (xy 168.911323 81.239439) + (xy 168.91075 81.239895) + (xy 168.906369 81.234387) + (xy 168.903322 81.228042) + (xy 168.880239 81.209582) + (xy 168.87508 81.204965) + (xy 168.869831 81.199716) + (xy 168.863828 81.195943) + (xy 168.858052 81.191838) + (xy 168.835267 81.173617) + (xy 168.828407 81.17204) + (xy 168.824647 81.170222) + (xy 168.820711 81.168844) + (xy 168.814752 81.165098) + (xy 168.807758 81.164307) + (xy 168.807757 81.164307) + (xy 168.785764 81.161821) + (xy 168.778787 81.160629) + (xy 168.771703 81.159) + (xy 168.764329 81.159) + (xy 168.757308 81.158604) + (xy 168.755627 81.158414) + (xy 168.728162 81.155309) + (xy 168.721517 81.15763) + (xy 168.714523 81.158414) + (xy 168.714447 81.157736) + (xy 168.706995 81.159) + (xy 168.164999 81.159) + (xy 168.120805 81.140694) + (xy 168.102499 81.0965) + (xy 168.102499 81.06659) + (xy 168.092301 80.997305) + (xy 168.085966 80.984401) + (xy 168.059153 80.929791) + (xy 168.040581 80.891964) + (xy 168.036928 80.888317) + (xy 167.961183 80.812704) + (xy 167.961181 80.812702) + (xy 167.957528 80.809056) + (xy 167.87212 80.767307) + (xy 167.856458 80.759651) + (xy 167.856457 80.759651) + (xy 167.852098 80.75752) + (xy 167.847295 80.756819) + (xy 167.847294 80.756819) + (xy 167.825341 80.753617) + (xy 167.783411 80.7475) + (xy 167.525683 80.7475) + (xy 167.26659 80.747501) + (xy 167.197305 80.757699) + (xy 167.192947 80.759839) + (xy 167.192946 80.759839) + (xy 167.177736 80.767307) + (xy 167.091964 80.809419) + (xy 167.088318 80.813071) + (xy 167.088317 80.813072) + (xy 167.012704 80.888817) + (xy 167.012702 80.888819) + (xy 167.009056 80.892472) + (xy 166.982242 80.947327) + (xy 166.959943 80.992946) + (xy 166.95752 80.997902) + (xy 166.9475 81.066589) + (xy 166.947501 81.63341) + (xy 166.957699 81.702695) + (xy 166.959839 81.707053) + (xy 166.959839 81.707054) + (xy 166.961783 81.711014) + (xy 167.009419 81.808036) + (xy 167.013071 81.811682) + (xy 167.013072 81.811683) + (xy 167.088817 81.887296) + (xy 167.088819 81.887298) + (xy 167.092472 81.890944) + (xy 167.161659 81.924764) + (xy 167.193158 81.940161) + (xy 167.197902 81.94248) + (xy 167.202705 81.943181) + (xy 167.202706 81.943181) + (xy 167.224659 81.946383) + (xy 167.266589 81.9525) + (xy 167.524317 81.9525) + (xy 167.78341 81.952499) + (xy 167.852695 81.942301) + (xy 167.85826 81.939569) + (xy 167.88079 81.928507) + (xy 167.958036 81.890581) + (xy 167.97887 81.869711) + (xy 168.037296 81.811183) + (xy 168.037298 81.811181) + (xy 168.040944 81.807528) + (xy 168.089236 81.708735) + (xy 168.090349 81.706458) + (xy 168.090349 81.706457) + (xy 168.09248 81.702098) + (xy 168.1025 81.633411) + (xy 168.1025 81.6035) + (xy 168.120806 81.559306) + (xy 168.165 81.541) + (xy 168.644997 81.541) + (xy 168.689191 81.559306) + (xy 168.97295 81.843064) + (xy 169.245692 82.115806) + (xy 169.263998 82.16) + (xy 169.245692 82.204194) + (xy 169.201498 82.2225) + (xy 168.587442 82.2225) + (xy 168.584431 82.223099) + (xy 168.556287 82.228697) + (xy 168.556285 82.228698) + (xy 168.550252 82.229898) + (xy 168.508078 82.258078) + (xy 168.479898 82.300252) + (xy 168.478698 82.306285) + (xy 168.478697 82.306287) + (xy 168.474193 82.328932) + (xy 168.4725 82.337442) + (xy 168.4725 83.362558) + (xy 168.473099 83.365569) + (xy 168.478486 83.392648) + (xy 168.479898 83.399748) + (xy 168.508078 83.441922) + (xy 168.550252 83.470102) + (xy 168.556285 83.471302) + (xy 168.556287 83.471303) + (xy 168.582384 83.476494) + (xy 168.587442 83.4775) + (xy 169.431497 83.4775) + (xy 169.475691 83.495806) + (xy 169.859371 83.879485) + (xy 169.863678 83.88556) + (xy 169.86425 83.885105) + (xy 169.868631 83.890613) + (xy 169.871678 83.896958) + (xy 169.877175 83.901354) + (xy 169.894766 83.915422) + (xy 169.899921 83.920035) + (xy 169.905169 83.925283) + (xy 169.908132 83.927145) + (xy 169.908139 83.927151) + (xy 169.911174 83.929058) + (xy 169.91695 83.933163) + (xy 169.939733 83.951383) + (xy 169.946591 83.95296) + (xy 169.950356 83.95478) + (xy 169.954292 83.956158) + (xy 169.960248 83.959902) + (xy 169.967239 83.960692) + (xy 169.967244 83.960694) + (xy 169.989237 83.96318) + (xy 169.996223 83.964373) + (xy 170.003297 83.966) + (xy 170.010677 83.966) + (xy 170.017698 83.966396) + (xy 170.046838 83.96969) + (xy 170.053484 83.967369) + (xy 170.060478 83.966585) + (xy 170.060554 83.967264) + (xy 170.068004 83.966) + (xy 173.253786 83.966) + (xy 173.29798 83.984306) + (xy 173.305753 83.993777) + (xy 173.335982 84.039018) + (xy 173.341099 84.042437) + (xy 173.349474 84.048033) + (xy 173.37605 84.087807) + (xy 173.366718 84.134723) + (xy 173.349474 84.151967) + (xy 173.335982 84.160982) + (xy 173.32572 84.17634) + (xy 173.305753 84.206223) + (xy 173.265979 84.232799) + (xy 173.253786 84.234) + (xy 170.118232 84.234) + (xy 170.110893 84.23275) + (xy 170.11081 84.233477) + (xy 170.103819 84.23268) + (xy 170.097178 84.230348) + (xy 170.090185 84.231126) + (xy 170.090184 84.231126) + (xy 170.0678 84.233617) + (xy 170.060888 84.234) + (xy 170.053467 84.234) + (xy 170.050044 84.234781) + (xy 170.050043 84.234781) + (xy 170.046552 84.235577) + (xy 170.039569 84.236758) + (xy 170.017562 84.239207) + (xy 170.010571 84.239985) + (xy 170.004608 84.243718) + (xy 170.000675 84.245088) + (xy 169.996905 84.246902) + (xy 169.990041 84.248468) + (xy 169.974877 84.260552) + (xy 169.967229 84.266646) + (xy 169.961446 84.27074) + (xy 169.95829 84.272716) + (xy 169.958284 84.272721) + (xy 169.955289 84.274596) + (xy 169.950076 84.279809) + (xy 169.944832 84.284494) + (xy 169.937052 84.290694) + (xy 169.921891 84.302775) + (xy 169.918833 84.309114) + (xy 169.914442 84.314615) + (xy 169.913908 84.314189) + (xy 169.909532 84.320353) + (xy 169.525691 84.704194) + (xy 169.481497 84.7225) + (xy 168.587442 84.7225) + (xy 168.584431 84.723099) + (xy 168.556287 84.728697) + (xy 168.556285 84.728698) + (xy 168.550252 84.729898) + (xy 168.508078 84.758078) + (xy 168.479898 84.800252) + (xy 168.478698 84.806285) + (xy 168.478697 84.806287) + (xy 168.475952 84.820088) + (xy 168.4725 84.837442) + (xy 168.4725 85.862558) + (xy 168.473099 85.865569) + (xy 168.477798 85.889189) + (xy 168.479898 85.899748) + (xy 168.508078 85.941922) + (xy 168.550252 85.970102) + (xy 168.556285 85.971302) + (xy 168.556287 85.971303) + (xy 168.572466 85.974521) + (xy 168.587442 85.9775) + (xy 169.201497 85.9775) + (xy 169.245691 85.995806) + (xy 169.263997 86.04) + (xy 169.245691 86.084194) + (xy 168.689191 86.640694) + (xy 168.644997 86.659) + (xy 168.164999 86.659) + (xy 168.120805 86.640694) + (xy 168.102499 86.5965) + (xy 168.102499 86.56659) + (xy 168.092301 86.497305) + (xy 168.040581 86.391964) + (xy 168.023313 86.374726) + (xy 167.961183 86.312704) + (xy 167.961181 86.312702) + (xy 167.957528 86.309056) + (xy 167.880405 86.271357) + (xy 167.856458 86.259651) + (xy 167.856457 86.259651) + (xy 167.852098 86.25752) + (xy 167.847295 86.256819) + (xy 167.847294 86.256819) + (xy 167.811804 86.251642) + (xy 167.783411 86.2475) + (xy 167.525683 86.2475) + (xy 167.26659 86.247501) + (xy 167.197305 86.257699) + (xy 167.192947 86.259839) + (xy 167.192946 86.259839) + (xy 167.177736 86.267307) + (xy 167.091964 86.309419) + (xy 167.088318 86.313071) + (xy 167.088317 86.313072) + (xy 167.012704 86.388817) + (xy 167.012702 86.388819) + (xy 167.009056 86.392472) + (xy 166.980385 86.451126) + (xy 166.959943 86.492946) + (xy 166.95752 86.497902) + (xy 166.956819 86.502705) + (xy 166.956819 86.502706) + (xy 166.954466 86.518835) + (xy 166.9475 86.566589) + (xy 166.551828 86.566589) + (xy 166.551667 86.564386) + (xy 166.542522 86.502257) + (xy 166.539674 86.49309) + (xy 166.492444 86.396895) + (xy 166.486516 86.388613) + (xy 166.410889 86.313117) + (xy 166.402594 86.307201) + (xy 166.306313 86.260137) + (xy 166.297149 86.257305) + (xy 166.235609 86.248328) + (xy 166.231096 86.248) + (xy 166.050931 86.248) + (xy 166.042141 86.251641) + (xy 166.0385 86.260431) + (xy 166.0385 86.774069) + (xy 165.9115 86.774069) + (xy 165.9115 86.260432) + (xy 165.907859 86.251642) + (xy 165.899069 86.248001) + (xy 165.718917 86.248001) + (xy 165.714386 86.248333) + (xy 165.652257 86.257478) + (xy 165.64309 86.260326) + (xy 165.546895 86.307556) + (xy 165.538613 86.313484) + (xy 165.463117 86.389111) + (xy 165.457201 86.397406) + (xy 165.410137 86.493687) + (xy 165.407305 86.502851) + (xy 165.398328 86.564391) + (xy 165.398 86.568904) + (xy 165.398 86.774069) + (xy 164.191 86.774069) + (xy 164.191 86.293232) + (xy 164.19225 86.285893) + (xy 164.191523 86.28581) + (xy 164.19232 86.278819) + (xy 164.194652 86.272178) + (xy 164.193629 86.262978) + (xy 164.191383 86.2428) + (xy 164.191 86.235888) + (xy 164.191 86.228467) + (xy 164.189423 86.221552) + (xy 164.188242 86.214569) + (xy 164.185793 86.192562) + (xy 164.185015 86.185571) + (xy 164.181282 86.179608) + (xy 164.179912 86.175675) + (xy 164.178098 86.171905) + (xy 164.176532 86.165041) + (xy 164.158354 86.142229) + (xy 164.15426 86.136446) + (xy 164.152284 86.13329) + (xy 164.152279 86.133284) + (xy 164.150404 86.130289) + (xy 164.145191 86.125076) + (xy 164.140506 86.119832) + (xy 164.126612 86.102396) + (xy 164.126611 86.102396) + (xy 164.122225 86.096891) + (xy 164.115886 86.093833) + (xy 164.110385 86.089442) + (xy 164.110811 86.088908) + (xy 164.104647 86.084532) + (xy 162.990628 84.970513) + (xy 162.986323 84.964439) + (xy 162.98575 84.964895) + (xy 162.981369 84.959387) + (xy 162.978322 84.953042) + (xy 162.955239 84.934582) + (xy 162.95008 84.929965) + (xy 162.944831 84.924716) + (xy 162.938828 84.920943) + (xy 162.933052 84.916838) + (xy 162.910267 84.898617) + (xy 162.903407 84.89704) + (xy 162.899647 84.895222) + (xy 162.895711 84.893844) + (xy 162.889752 84.890098) + (xy 162.882758 84.889307) + (xy 162.882757 84.889307) + (xy 162.860764 84.886821) + (xy 162.853787 84.885629) + (xy 162.846703 84.884) + (xy 162.839329 84.884) + (xy 162.832308 84.883604) + (xy 162.803162 84.880309) + (xy 162.796517 84.88263) + (xy 162.789523 84.883414) + (xy 162.789447 84.882736) + (xy 162.781995 84.884) + (xy 161.746214 84.884) + (xy 161.70202 84.865694) + (xy 161.694247 84.856223) + (xy 161.68865 84.847847) + (xy 161.664018 84.810982) + (xy 161.650526 84.801967) + (xy 161.62395 84.762193) + (xy 161.633282 84.715277) + (xy 161.650526 84.698033) + (xy 161.650974 84.697734) + (xy 161.664018 84.689018) + (xy 161.694248 84.643776) + (xy 161.734021 84.617201) + (xy 161.746214 84.616) + (xy 162.736842 84.616) + (xy 162.771472 84.626471) + (xy 162.814064 84.654823) + (xy 162.818313 84.656151) + (xy 162.818314 84.656151) + (xy 162.850296 84.666143) + (xy 162.860027 84.670109) + (xy 162.862799 84.671521) + (xy 162.862803 84.671522) + (xy 162.867187 84.673756) + (xy 162.877852 84.675445) + (xy 162.886713 84.67752) + (xy 162.926696 84.690012) + (xy 162.926699 84.690012) + (xy 162.930948 84.69134) + (xy 162.980069 84.692241) + (xy 162.988683 84.692999) + (xy 162.99514 84.694022) + (xy 162.995144 84.694022) + (xy 163 84.694791) + (xy 163.006947 84.69369) + (xy 163.017853 84.692933) + (xy 163.053383 84.693584) + (xy 163.113532 84.677185) + (xy 163.12019 84.675755) + (xy 163.122147 84.675445) + (xy 163.132813 84.673756) + (xy 163.137196 84.671522) + (xy 163.141875 84.670002) + (xy 163.141878 84.67001) + (xy 163.146727 84.668136) + (xy 163.171527 84.661375) + (xy 163.175793 84.658756) + (xy 163.239709 84.619511) + (xy 163.244037 84.617085) + (xy 163.24824 84.614944) + (xy 163.248248 84.614938) + (xy 163.252625 84.612708) + (xy 163.255427 84.609906) + (xy 163.256264 84.609346) + (xy 163.258901 84.607727) + (xy 163.275881 84.597301) + (xy 163.358058 84.506513) + (xy 163.411451 84.396311) + (xy 163.412831 84.388112) + (xy 163.42614 84.309) + (xy 163.431767 84.275552) + (xy 163.431896 84.265) + (xy 163.418999 84.174944) + (xy 163.417973 84.16778) + (xy 163.429829 84.121437) + (xy 163.470982 84.097051) + (xy 163.508225 84.103237) + (xy 163.508739 84.103499) + (xy 163.514977 84.107148) + (xy 163.564064 84.139823) + (xy 163.568313 84.141151) + (xy 163.568314 84.141151) + (xy 163.600296 84.151143) + (xy 163.610027 84.155109) + (xy 163.612799 84.156521) + (xy 163.612803 84.156522) + (xy 163.617187 84.158756) + (xy 163.627852 84.160445) + (xy 163.636713 84.16252) + (xy 163.676696 84.175012) + (xy 163.676699 84.175012) + (xy 163.680948 84.17634) + (xy 163.730069 84.177241) + (xy 163.738683 84.177999) + (xy 163.74514 84.179022) + (xy 163.745144 84.179022) + (xy 163.75 84.179791) + (xy 163.756947 84.17869) + (xy 163.767853 84.177933) + (xy 163.803383 84.178584) + (xy 163.863532 84.162185) + (xy 163.87019 84.160755) + (xy 163.872147 84.160445) + (xy 163.882813 84.158756) + (xy 163.887196 84.156522) + (xy 163.891875 84.155002) + (xy 163.891878 84.15501) + (xy 163.896727 84.153136) + (xy 163.921527 84.146375) + (xy 163.926819 84.143126) + (xy 163.989709 84.104511) + (xy 163.994037 84.102085) + (xy 163.99824 84.099944) + (xy 163.998248 84.099938) + (xy 164.002625 84.097708) + (xy 164.005427 84.094906) + (xy 164.006264 84.094346) + (xy 164.008746 84.092822) + (xy 164.025881 84.082301) + (xy 164.108058 83.991513) + (xy 164.161451 83.881311) + (xy 164.164873 83.860975) + (xy 164.174654 83.802832) + (xy 164.181767 83.760552) + (xy 164.181896 83.75) + (xy 164.164536 83.628781) + (xy 164.113852 83.517307) + (xy 164.099199 83.500302) + (xy 164.097708 83.497375) + (xy 164.0919 83.491567) + (xy 164.088746 83.48817) + (xy 164.036826 83.427914) + (xy 164.033918 83.424539) + (xy 164.013741 83.411461) + (xy 164.010155 83.408559) + (xy 164.010081 83.408661) + (xy 164.006106 83.405773) + (xy 164.002625 83.402292) + (xy 163.991343 83.396543) + (xy 163.985736 83.393309) + (xy 163.984717 83.392648) + (xy 163.963322 83.378781) + (xy 163.934893 83.360354) + (xy 163.93489 83.360353) + (xy 163.93116 83.357935) + (xy 163.926901 83.356661) + (xy 163.926898 83.35666) + (xy 163.903677 83.349716) + (xy 163.898839 83.348269) + (xy 163.888377 83.344079) + (xy 163.882813 83.341244) + (xy 163.871067 83.339384) + (xy 163.862951 83.337536) + (xy 163.852151 83.334306) + (xy 163.813838 83.322848) + (xy 163.769496 83.322577) + (xy 163.760103 83.321809) + (xy 163.754857 83.320978) + (xy 163.754856 83.320978) + (xy 163.75 83.320209) + (xy 163.741373 83.321576) + (xy 163.73122 83.322344) + (xy 163.712836 83.322231) + (xy 163.695838 83.322127) + (xy 163.695836 83.322127) + (xy 163.691385 83.3221) + (xy 163.637011 83.337641) + (xy 163.629623 83.339275) + (xy 163.617187 83.341244) + (xy 163.612804 83.343477) + (xy 163.61187 83.343781) + (xy 163.602155 83.347603) + (xy 163.577929 83.354526) + (xy 163.577927 83.354527) + (xy 163.573644 83.355751) + (xy 163.569875 83.358129) + (xy 163.515166 83.392648) + (xy 163.468022 83.400749) + (xy 163.428957 83.373141) + (xy 163.420181 83.329421) + (xy 163.425899 83.295431) + (xy 163.431767 83.260552) + (xy 163.431896 83.25) + (xy 163.423242 83.189569) + (xy 163.420335 83.169272) + (xy 163.432191 83.122929) + (xy 163.473344 83.098543) + (xy 163.516835 83.108384) + (xy 163.564064 83.139823) + (xy 163.568313 83.141151) + (xy 163.568314 83.141151) + (xy 163.600296 83.151143) + (xy 163.610027 83.155109) + (xy 163.612799 83.156521) + (xy 163.612803 83.156522) + (xy 163.617187 83.158756) + (xy 163.627852 83.160445) + (xy 163.636713 83.16252) + (xy 163.676696 83.175012) + (xy 163.676699 83.175012) + (xy 163.680948 83.17634) + (xy 163.730069 83.177241) + (xy 163.738683 83.177999) + (xy 163.74514 83.179022) + (xy 163.745144 83.179022) + (xy 163.75 83.179791) + (xy 163.756947 83.17869) + (xy 163.767853 83.177933) + (xy 163.803383 83.178584) + (xy 163.863532 83.162185) + (xy 163.87019 83.160755) + (xy 163.872147 83.160445) + (xy 163.882813 83.158756) + (xy 163.887196 83.156522) + (xy 163.891875 83.155002) + (xy 163.891878 83.15501) + (xy 163.896727 83.153136) + (xy 163.921527 83.146375) + (xy 163.926521 83.143309) + (xy 163.989709 83.104511) + (xy 163.994037 83.102085) + (xy 163.99824 83.099944) + (xy 163.998248 83.099938) + (xy 164.002625 83.097708) + (xy 164.005427 83.094906) + (xy 164.006264 83.094346) + (xy 164.025881 83.082301) + (xy 164.108058 82.991513) + (xy 164.161451 82.881311) + (xy 164.163317 82.870224) + (xy 164.176727 82.790508) + (xy 164.181767 82.760552) + (xy 164.181896 82.75) + (xy 164.164536 82.628781) + (xy 164.113852 82.517307) + (xy 164.099199 82.500302) + (xy 164.097708 82.497375) + (xy 164.0919 82.491567) + (xy 164.088746 82.48817) + (xy 164.036826 82.427914) + (xy 164.033918 82.424539) + (xy 164.013741 82.411461) + (xy 164.010155 82.408559) + (xy 164.010081 82.408661) + (xy 164.006106 82.405773) + (xy 164.002625 82.402292) + (xy 163.991343 82.396543) + (xy 163.985736 82.393309) + (xy 163.934893 82.360354) + (xy 163.93489 82.360353) + (xy 163.93116 82.357935) + (xy 163.926901 82.356661) + (xy 163.926898 82.35666) + (xy 163.904115 82.349847) + (xy 163.898839 82.348269) + (xy 163.888377 82.344079) + (xy 163.882813 82.341244) + (xy 163.871067 82.339384) + (xy 163.862951 82.337536) + (xy 163.852425 82.334388) + (xy 163.813838 82.322848) + (xy 163.769496 82.322577) + (xy 163.760103 82.321809) + (xy 163.754857 82.320978) + (xy 163.754856 82.320978) + (xy 163.75 82.320209) + (xy 163.741373 82.321576) + (xy 163.73122 82.322344) + (xy 163.712836 82.322231) + (xy 163.695838 82.322127) + (xy 163.695836 82.322127) + (xy 163.691385 82.3221) + (xy 163.687107 82.323323) + (xy 163.687105 82.323323) + (xy 163.6725 82.327498) + (xy 163.648392 82.334388) + (xy 163.600869 82.328932) + (xy 163.595351 82.325236) + (xy 163.594831 82.324716) + (xy 163.591867 82.322853) + (xy 163.591862 82.322849) + (xy 163.588828 82.320943) + (xy 163.583052 82.316838) + (xy 163.560267 82.298617) + (xy 163.553407 82.29704) + (xy 163.549647 82.295222) + (xy 163.545711 82.293844) + (xy 163.539752 82.290098) + (xy 163.532758 82.289307) + (xy 163.532757 82.289307) + (xy 163.510764 82.286821) + (xy 163.503787 82.285629) + (xy 163.496703 82.284) + (xy 163.489329 82.284) + (xy 163.482308 82.283604) + (xy 163.480627 82.283414) + (xy 163.453162 82.280309) + (xy 163.446517 82.28263) + (xy 163.439523 82.283414) + (xy 163.439447 82.282736) + (xy 163.431995 82.284) + (xy 161.746214 82.284) + (xy 161.70202 82.265694) + (xy 161.694247 82.256223) + (xy 161.671046 82.2215) + (xy 161.664018 82.210982) + (xy 161.650526 82.201967) + (xy 161.62395 82.162193) + (xy 161.633282 82.115277) + (xy 161.650526 82.098033) + (xy 161.658901 82.092437) + (xy 161.664018 82.089018) + (xy 161.694247 82.043777) + (xy 161.71088 82.018885) + (xy 161.710881 82.018883) + (xy 161.7143 82.013766) + (xy 161.723039 81.969832) + (xy 161.726901 81.950419) + (xy 161.726901 81.950416) + (xy 161.7275 81.947406) + (xy 161.7275 81.702594) + (xy 161.726565 81.69789) + (xy 161.716724 81.648421) + (xy 161.7143 81.636234) + (xy 161.710859 81.631083) + (xy 165.398001 81.631083) + (xy 165.398333 81.635614) + (xy 165.407478 81.697743) + (xy 165.410326 81.70691) + (xy 165.457556 81.803105) + (xy 165.463484 81.811387) + (xy 165.539111 81.886883) + (xy 165.547406 81.892799) + (xy 165.643687 81.939863) + (xy 165.652851 81.942695) + (xy 165.714391 81.951672) + (xy 165.718904 81.952) + (xy 165.899069 81.952) + (xy 165.907859 81.948359) + (xy 165.9115 81.939569) + (xy 165.9115 81.939568) + (xy 166.0385 81.939568) + (xy 166.042141 81.948358) + (xy 166.050931 81.951999) + (xy 166.231083 81.951999) + (xy 166.235614 81.951667) + (xy 166.297743 81.942522) + (xy 166.30691 81.939674) + (xy 166.403105 81.892444) + (xy 166.411387 81.886516) + (xy 166.486883 81.810889) + (xy 166.492799 81.802594) + (xy 166.539863 81.706313) + (xy 166.542695 81.697149) + (xy 166.551672 81.635609) + (xy 166.552 81.631096) + (xy 166.552 81.425931) + (xy 166.548359 81.417141) + (xy 166.539569 81.4135) + (xy 166.050931 81.4135) + (xy 166.042141 81.417141) + (xy 166.0385 81.425931) + (xy 166.0385 81.939568) + (xy 165.9115 81.939568) + (xy 165.9115 81.425931) + (xy 165.907859 81.417141) + (xy 165.899069 81.4135) + (xy 165.410432 81.4135) + (xy 165.401642 81.417141) + (xy 165.398001 81.425931) + (xy 165.398001 81.631083) + (xy 161.710859 81.631083) + (xy 161.667437 81.566099) + (xy 161.664018 81.560982) + (xy 161.60437 81.521126) + (xy 161.593885 81.51412) + (xy 161.593883 81.514119) + (xy 161.588766 81.5107) + (xy 161.558192 81.504618) + (xy 161.525419 81.498099) + (xy 161.525416 81.498099) + (xy 161.522406 81.4975) + (xy 161.0035 81.4975) + (xy 160.959306 81.479194) + (xy 160.941 81.435) + (xy 160.941 81.419384) + (xy 160.959306 81.37519) + (xy 160.970797 81.366123) + (xy 160.989712 81.354509) + (xy 160.994034 81.352085) + (xy 161.002625 81.347708) + (xy 161.005427 81.344906) + (xy 161.006264 81.344346) + (xy 161.008891 81.342733) + (xy 161.025881 81.332301) + (xy 161.07859 81.274069) + (xy 165.398 81.274069) + (xy 165.401641 81.282859) + (xy 165.410431 81.2865) + (xy 165.899069 81.2865) + (xy 165.907859 81.282859) + (xy 165.9115 81.274069) + (xy 166.0385 81.274069) + (xy 166.042141 81.282859) + (xy 166.050931 81.2865) + (xy 166.539568 81.2865) + (xy 166.548358 81.282859) + (xy 166.551999 81.274069) + (xy 166.551999 81.068917) + (xy 166.551667 81.064386) + (xy 166.542522 81.002257) + (xy 166.539674 80.99309) + (xy 166.492444 80.896895) + (xy 166.486516 80.888613) + (xy 166.410889 80.813117) + (xy 166.402594 80.807201) + (xy 166.306313 80.760137) + (xy 166.297149 80.757305) + (xy 166.235609 80.748328) + (xy 166.231096 80.748) + (xy 166.050931 80.748) + (xy 166.042141 80.751641) + (xy 166.0385 80.760431) + (xy 166.0385 81.274069) + (xy 165.9115 81.274069) + (xy 165.9115 80.760432) + (xy 165.907859 80.751642) + (xy 165.899069 80.748001) + (xy 165.718917 80.748001) + (xy 165.714386 80.748333) + (xy 165.652257 80.757478) + (xy 165.64309 80.760326) + (xy 165.546895 80.807556) + (xy 165.538613 80.813484) + (xy 165.463117 80.889111) + (xy 165.457201 80.897406) + (xy 165.410137 80.993687) + (xy 165.407305 81.002851) + (xy 165.398328 81.064391) + (xy 165.398 81.068904) + (xy 165.398 81.274069) + (xy 161.07859 81.274069) + (xy 161.108058 81.241513) + (xy 161.161451 81.131311) + (xy 161.163317 81.120224) + (xy 161.181367 81.012928) + (xy 161.181767 81.010552) + (xy 161.181896 81) + (xy 161.164536 80.878781) + (xy 161.113852 80.767307) + (xy 161.099199 80.750302) + (xy 161.097708 80.747375) + (xy 161.0919 80.741567) + (xy 161.088746 80.73817) + (xy 161.036826 80.677914) + (xy 161.033918 80.674539) + (xy 161.013741 80.661461) + (xy 161.010155 80.658559) + (xy 161.010081 80.658661) + (xy 161.006106 80.655773) + (xy 161.002625 80.652292) + (xy 160.991343 80.646543) + (xy 160.985736 80.643309) + (xy 160.979226 80.639089) + (xy 160.960789 80.627139) + (xy 160.934893 80.610354) + (xy 160.93489 80.610353) + (xy 160.93116 80.607935) + (xy 160.926901 80.606661) + (xy 160.926898 80.60666) + (xy 160.908345 80.601112) + (xy 160.898839 80.598269) + (xy 160.888377 80.594079) + (xy 160.882813 80.591244) + (xy 160.871067 80.589384) + (xy 160.862951 80.587536) + (xy 160.834793 80.579115) + (xy 160.813838 80.572848) + (xy 160.769496 80.572577) + (xy 160.760103 80.571809) + (xy 160.754857 80.570978) + (xy 160.754856 80.570978) + (xy 160.75 80.570209) + (xy 160.741373 80.571576) + (xy 160.73122 80.572344) + (xy 160.712836 80.572231) + (xy 160.695838 80.572127) + (xy 160.695836 80.572127) + (xy 160.691385 80.5721) + (xy 160.637011 80.587641) + (xy 160.629623 80.589275) + (xy 160.617187 80.591244) + (xy 160.612804 80.593477) + (xy 160.61187 80.593781) + (xy 160.602155 80.597603) + (xy 160.577929 80.604526) + (xy 160.577927 80.604527) + (xy 160.573644 80.605751) + (xy 160.569875 80.608129) + (xy 160.557171 80.616145) + (xy 160.514119 80.643309) + (xy 160.512819 80.644129) + (xy 160.507842 80.646959) + (xy 160.501757 80.650059) + (xy 160.501756 80.65006) + (xy 160.497375 80.652292) + (xy 160.493897 80.65577) + (xy 160.492445 80.656825) + (xy 160.489058 80.65912) + (xy 160.47008 80.671095) + (xy 160.467137 80.674427) + (xy 160.467135 80.674429) + (xy 160.407156 80.742342) + (xy 160.406032 80.743538) + (xy 160.405775 80.743892) + (xy 160.405554 80.744113) + (xy 160.405405 80.744204) + (xy 160.404504 80.745163) + (xy 160.402292 80.747375) + (xy 160.401509 80.746592) + (xy 160.400362 80.747296) + (xy 160.401721 80.748496) + (xy 160.395002 80.756104) + (xy 160.389018 80.762879) + (xy 160.387127 80.766907) + (xy 160.338868 80.869695) + (xy 160.338867 80.869698) + (xy 160.336976 80.873726) + (xy 160.318136 80.994724) + (xy 160.318713 80.999137) + (xy 160.318713 80.999138) + (xy 160.327534 81.06659) + (xy 160.334014 81.116145) + (xy 160.341185 81.132443) + (xy 160.341244 81.132813) + (xy 160.342007 81.134311) + (xy 160.344031 81.13891) + (xy 160.344031 81.138911) + (xy 160.378454 81.217141) + (xy 160.383333 81.22823) + (xy 160.396714 81.244149) + (xy 160.398029 81.245713) + (xy 160.400157 81.248434) + (xy 160.402292 81.252625) + (xy 160.410081 81.260414) + (xy 160.413729 81.264391) + (xy 160.462127 81.321968) + (xy 160.485399 81.337459) + (xy 160.491836 81.342733) + (xy 160.493899 81.344232) + (xy 160.497375 81.347708) + (xy 160.501753 81.349939) + (xy 160.501756 81.349941) + (xy 160.50873 81.353494) + (xy 160.514983 81.357152) + (xy 160.527617 81.365562) + (xy 160.531134 81.367903) + (xy 160.557778 81.407631) + (xy 160.559 81.419929) + (xy 160.559 81.435) + (xy 160.540694 81.479194) + (xy 160.4965 81.4975) + (xy 160.202594 81.4975) + (xy 160.199584 81.498099) + (xy 160.199581 81.498099) + (xy 160.166808 81.504618) + (xy 160.136234 81.5107) + (xy 160.131117 81.514119) + (xy 160.131115 81.51412) + (xy 160.12063 81.521126) + (xy 160.060982 81.560982) + (xy 160.057563 81.566099) + (xy 160.014142 81.631083) + (xy 160.0107 81.636234) + (xy 160.008276 81.648421) + (xy 159.998436 81.69789) + (xy 159.9975 81.702594) + (xy 159.9975 81.947406) + (xy 159.998099 81.950416) + (xy 159.998099 81.950419) + (xy 160.001961 81.969832) + (xy 160.0107 82.013766) + (xy 160.014119 82.018883) + (xy 160.01412 82.018885) + (xy 160.030753 82.043777) + (xy 160.060982 82.089018) + (xy 160.066099 82.092437) + (xy 160.074474 82.098033) + (xy 160.10105 82.137807) + (xy 160.091718 82.184723) + (xy 160.074474 82.201967) + (xy 160.060982 82.210982) + (xy 160.0107 82.286234) + (xy 160.005908 82.310324) + (xy 159.998493 82.347603) + (xy 159.9975 82.352594) + (xy 159.9975 82.597406) + (xy 159.998099 82.600416) + (xy 159.998099 82.600419) + (xy 160.002735 82.623726) + (xy 160.0107 82.663766) + (xy 160.014119 82.668883) + (xy 160.01412 82.668885) + (xy 160.030753 82.693777) + (xy 160.060982 82.739018) + (xy 160.066099 82.742437) + (xy 160.074474 82.748033) + (xy 160.10105 82.787807) + (xy 160.091718 82.834723) + (xy 160.074474 82.851967) + (xy 160.060982 82.860982) + (xy 160.057563 82.866099) + (xy 160.015596 82.928907) + (xy 160.0107 82.936234) + (xy 160.009064 82.944458) + (xy 159.998177 82.999193) + (xy 159.9975 83.002594) + (xy 159.9975 83.247406) + (xy 159.998099 83.250416) + (xy 159.998099 83.250419) + (xy 160.001602 83.268029) + (xy 160.0107 83.313766) + (xy 160.014119 83.318883) + (xy 160.01412 83.318885) + (xy 160.048071 83.369695) + (xy 160.060982 83.389018) + (xy 160.073792 83.397577) + (xy 160.074474 83.398033) + (xy 160.10105 83.437807) + (xy 160.091718 83.484723) + (xy 160.074474 83.501967) + (xy 160.060982 83.510982) + (xy 160.057563 83.516099) + (xy 160.017819 83.57558) + (xy 160.0107 83.586234) + (xy 160.007069 83.604487) + (xy 159.998286 83.648645) + (xy 159.9975 83.652594) + (xy 159.9975 83.897406) + (xy 159.998099 83.900416) + (xy 159.998099 83.900419) + (xy 160.003417 83.927151) + (xy 160.0107 83.963766) + (xy 160.014119 83.968883) + (xy 160.01412 83.968885) + (xy 160.041287 84.009543) + (xy 160.060982 84.039018) + (xy 160.066099 84.042437) + (xy 160.074474 84.048033) + (xy 160.10105 84.087807) + (xy 160.091718 84.134723) + (xy 160.074474 84.151967) + (xy 160.060982 84.160982) + (xy 160.057563 84.166099) + (xy 160.014793 84.230109) + (xy 160.0107 84.236234) + (xy 160.006769 84.255995) + (xy 159.998337 84.298388) + (xy 159.9975 84.302594) + (xy 159.9975 84.547406) + (xy 159.998099 84.550416) + (xy 159.998099 84.550419) + (xy 160.002467 84.572375) + (xy 160.0107 84.613766) + (xy 160.014119 84.618883) + (xy 160.01412 84.618885) + (xy 160.043294 84.662546) + (xy 160.060982 84.689018) + (xy 160.074027 84.697734) + (xy 160.074474 84.698033) + (xy 160.10105 84.737807) + (xy 160.091718 84.784723) + (xy 160.074474 84.801967) + (xy 160.060982 84.810982) + (xy 160.057563 84.816099) + (xy 160.016118 84.878126) + (xy 160.0107 84.886234) + (xy 160.006098 84.909372) + (xy 160.001038 84.93481) + (xy 159.9975 84.952594) + (xy 159.9975 85.197406) + (xy 159.998099 85.200416) + (xy 159.998099 85.200419) + (xy 160.003631 85.22823) + (xy 160.0107 85.263766) + (xy 160.014119 85.268883) + (xy 160.01412 85.268885) + (xy 160.049678 85.3221) + (xy 160.060982 85.339018) + (xy 160.074006 85.34772) + (xy 160.074474 85.348033) + (xy 160.10105 85.387807) + (xy 160.091718 85.434723) + (xy 160.074474 85.451967) + (xy 160.060982 85.460982) + (xy 160.057563 85.466099) + (xy 160.017542 85.525995) + (xy 160.0107 85.536234) + (xy 160.004618 85.566808) + (xy 159.998872 85.595698) + (xy 159.9975 85.602594) + (xy 159.9975 85.847406) + (xy 159.998099 85.850416) + (xy 159.998099 85.850419) + (xy 160.003045 85.875284) + (xy 160.0107 85.913766) + (xy 160.014119 85.918883) + (xy 160.01412 85.918885) + (xy 160.036564 85.952474) + (xy 160.060982 85.989018) + (xy 160.066099 85.992437) + (xy 160.074474 85.998033) + (xy 160.10105 86.037807) + (xy 160.091718 86.084723) + (xy 160.074474 86.101967) + (xy 160.060982 86.110982) + (xy 160.057563 86.116099) + (xy 160.015812 86.178584) + (xy 160.0107 86.186234) + (xy 160.006111 86.209306) + (xy 159.998348 86.248333) + (xy 159.9975 86.252594) + (xy 159.9975 86.497406) + (xy 159.998099 86.500416) + (xy 159.998099 86.500419) + (xy 160.002546 86.522775) + (xy 160.0107 86.563766) + (xy 160.014119 86.568883) + (xy 160.01412 86.568885) + (xy 160.03525 86.600508) + (xy 160.060982 86.639018) + (xy 160.066099 86.642437) + (xy 160.074474 86.648033) + (xy 160.10105 86.687807) + (xy 160.091718 86.734723) + (xy 160.074474 86.751967) + (xy 160.060982 86.760982) + (xy 160.057563 86.766099) + (xy 160.015457 86.829115) + (xy 160.0107 86.836234) + (xy 160.006967 86.855002) + (xy 159.999201 86.894045) + (xy 159.9975 86.902594) + (xy 159.9975 87.147406) + (xy 159.998099 87.150416) + (xy 159.998099 87.150419) + (xy 160.003581 87.177978) + (xy 160.0107 87.213766) + (xy 160.014119 87.218883) + (xy 160.01412 87.218885) + (xy 160.042672 87.261615) + (xy 160.060982 87.289018) + (xy 160.066737 87.292863) + (xy 160.074474 87.298033) + (xy 160.10105 87.337807) + (xy 160.091718 87.384723) + (xy 160.074474 87.401967) + (xy 160.060982 87.410982) + (xy 160.057563 87.416099) + (xy 160.015355 87.479268) + (xy 160.0107 87.486234) + (xy 160.00589 87.510414) + (xy 159.998937 87.545372) + (xy 159.9975 87.552594) + (xy 159.9975 87.797406) + (xy 159.998099 87.800416) + (xy 159.998099 87.800419) + (xy 160.003057 87.825343) + (xy 160.0107 87.863766) + (xy 160.014119 87.868883) + (xy 160.01412 87.868885) + (xy 160.031661 87.895136) + (xy 160.060982 87.939018) + (xy 160.066099 87.942437) + (xy 160.131115 87.98588) + (xy 160.131117 87.985881) + (xy 160.136234 87.9893) + (xy 160.163949 87.994813) + (xy 160.199581 88.001901) + (xy 160.199584 88.001901) + (xy 160.202594 88.0025) + (xy 161.522406 88.0025) + (xy 161.525416 88.001901) + (xy 161.525419 88.001901) + (xy 161.561051 87.994813) + (xy 161.588766 87.9893) + (xy 161.61026 87.974938) + (xy 161.624299 87.965558) + (xy 161.671216 87.956226) + (xy 161.703216 87.973331) + (xy 162.776614 89.046729) + (xy 162.79492 89.090923) + (xy 162.79492 90.637747) + (xy 162.776614 90.681941) + (xy 160.395513 93.063042) + (xy 160.389439 93.067347) + (xy 160.389895 93.06792) + (xy 160.384387 93.072301) + (xy 160.378042 93.075348) + (xy 160.373646 93.080845) + (xy 160.359582 93.098431) + (xy 160.354965 93.10359) + (xy 160.349716 93.108839) + (xy 160.347847 93.111813) + (xy 160.345943 93.114842) + (xy 160.341838 93.120618) + (xy 160.323617 93.143403) + (xy 160.32204 93.150263) + (xy 160.320222 93.154023) + (xy 160.318844 93.157959) + (xy 160.315098 93.163918) + (xy 160.314307 93.170912) + (xy 160.314307 93.170913) + (xy 160.311821 93.192906) + (xy 160.310629 93.199883) + (xy 160.309 93.206967) + (xy 160.309 93.214341) + (xy 160.308604 93.221362) + (xy 160.305309 93.250508) + (xy 160.30763 93.257153) + (xy 160.308414 93.264147) + (xy 160.307736 93.264223) + (xy 160.309 93.271675) + (xy 160.309 93.470881) + (xy 160.290694 93.515075) + (xy 160.2465 93.533381) + (xy 160.211777 93.522847) + (xy 160.154012 93.484249) + (xy 160.147976 93.483048) + (xy 160.147975 93.483048) + (xy 160.097957 93.473099) + (xy 160.097954 93.473099) + (xy 160.094944 93.4725) + (xy 159.905056 93.4725) + (xy 159.902046 93.473099) + (xy 159.902043 93.473099) + (xy 159.852025 93.483048) + (xy 159.852024 93.483048) + (xy 159.845988 93.484249) + (xy 159.784274 93.525486) + (xy 159.737358 93.534819) + (xy 159.714827 93.525487) + (xy 159.658933 93.488141) + (xy 159.647779 93.48352) + (xy 159.597904 93.473599) + (xy 159.591823 93.473) + (xy 159.575931 93.473) + (xy 159.567141 93.476641) + (xy 159.5635 93.485431) + (xy 159.5635 95.189568) + (xy 159.567141 95.198358) + (xy 159.575931 95.201999) + (xy 159.591821 95.201999) + (xy 159.597906 95.2014) + (xy 159.647776 95.19148) + (xy 159.658934 95.186858) + (xy 159.711777 95.151551) + (xy 159.758694 95.142219) + (xy 159.798467 95.168795) + (xy 159.809 95.203518) + (xy 159.809 95.806) + (xy 159.790694 95.850194) + (xy 159.7465 95.8685) + (xy 154.2535 95.8685) + (xy 154.209306 95.850194) + (xy 154.191 95.806) + (xy 154.191 95.203518) + (xy 154.209306 95.159324) + (xy 154.2535 95.141018) + (xy 154.288223 95.151551) + (xy 154.341067 95.186859) + (xy 154.352221 95.19148) + (xy 154.402096 95.201401) + (xy 154.408177 95.202) + (xy 154.424069 95.202) + (xy 154.432859 95.198359) + (xy 154.4365 95.189569) + (xy 154.4365 93.485432) + (xy 154.432859 93.476642) + (xy 154.424069 93.473001) + (xy 154.408179 93.473001) + (xy 154.402094 93.4736) + (xy 154.352224 93.48352) + (xy 154.341066 93.488142) + (xy 154.288223 93.523449) + (xy 154.241306 93.532781) + (xy 154.201533 93.506205) + (xy 154.191 93.471482) + (xy 154.191 90.105003) + (xy 154.209306 90.060809) + (xy 154.814328 89.455786) + (xy 154.858522 89.43748) + (xy 155.641517 89.43748) + (xy 155.685711 89.455786) + (xy 155.704017 89.49998) + (xy 155.685711 89.544174) + (xy 155.395513 89.834372) + (xy 155.389439 89.838677) + (xy 155.389895 89.83925) + (xy 155.384387 89.843631) + (xy 155.378042 89.846678) + (xy 155.373646 89.852175) + (xy 155.359582 89.869761) + (xy 155.354965 89.87492) + (xy 155.349716 89.880169) + (xy 155.345942 89.886174) + (xy 155.341838 89.891948) + (xy 155.340608 89.893486) + (xy 155.29871 89.916567) + (xy 155.255448 89.905115) + (xy 155.252625 89.902292) + (xy 155.241343 89.896543) + (xy 155.235736 89.893309) + (xy 155.233637 89.891948) + (xy 155.215464 89.880169) + (xy 155.184893 89.860354) + (xy 155.18489 89.860353) + (xy 155.18116 89.857935) + (xy 155.176901 89.856661) + (xy 155.176898 89.85666) + (xy 155.153677 89.849716) + (xy 155.148839 89.848269) + (xy 155.138377 89.844079) + (xy 155.132813 89.841244) + (xy 155.121067 89.839384) + (xy 155.112951 89.837536) + (xy 155.112948 89.837535) + (xy 155.063838 89.822848) + (xy 155.019496 89.822577) + (xy 155.010103 89.821809) + (xy 155.004857 89.820978) + (xy 155.004856 89.820978) + (xy 155 89.820209) + (xy 154.991373 89.821576) + (xy 154.98122 89.822344) + (xy 154.962836 89.822231) + (xy 154.945838 89.822127) + (xy 154.945836 89.822127) + (xy 154.941385 89.8221) + (xy 154.887011 89.837641) + (xy 154.879623 89.839275) + (xy 154.867187 89.841244) + (xy 154.862804 89.843477) + (xy 154.86187 89.843781) + (xy 154.852155 89.847603) + (xy 154.827929 89.854526) + (xy 154.827927 89.854527) + (xy 154.823644 89.855751) + (xy 154.764119 89.893309) + (xy 154.762819 89.894129) + (xy 154.757842 89.896959) + (xy 154.751757 89.900059) + (xy 154.751756 89.90006) + (xy 154.747375 89.902292) + (xy 154.743897 89.90577) + (xy 154.742445 89.906825) + (xy 154.739058 89.90912) + (xy 154.72008 89.921095) + (xy 154.717137 89.924427) + (xy 154.717135 89.924429) + (xy 154.657156 89.992342) + (xy 154.656032 89.993538) + (xy 154.655775 89.993892) + (xy 154.655554 89.994113) + (xy 154.655405 89.994204) + (xy 154.654504 89.995163) + (xy 154.652292 89.997375) + (xy 154.651509 89.996592) + (xy 154.650362 89.997296) + (xy 154.651721 89.998496) + (xy 154.642377 90.009076) + (xy 154.639018 90.012879) + (xy 154.637127 90.016907) + (xy 154.588868 90.119695) + (xy 154.588867 90.119698) + (xy 154.586976 90.123726) + (xy 154.568136 90.244724) + (xy 154.568713 90.249137) + (xy 154.568713 90.249138) + (xy 154.58223 90.3525) + (xy 154.584014 90.366145) + (xy 154.585809 90.370224) + (xy 154.589286 90.378126) + (xy 154.591185 90.382443) + (xy 154.591244 90.382813) + (xy 154.592007 90.384311) + (xy 154.594031 90.38891) + (xy 154.594031 90.388911) + (xy 154.630812 90.4725) + (xy 154.633333 90.47823) + (xy 154.647198 90.494724) + (xy 154.648029 90.495713) + (xy 154.650157 90.498434) + (xy 154.652292 90.502625) + (xy 154.660081 90.510414) + (xy 154.663729 90.514391) + (xy 154.712127 90.571968) + (xy 154.735399 90.587459) + (xy 154.741836 90.592733) + (xy 154.743899 90.594232) + (xy 154.747375 90.597708) + (xy 154.751753 90.599939) + (xy 154.751756 90.599941) + (xy 154.75873 90.603494) + (xy 154.764983 90.607152) + (xy 154.769795 90.610355) + (xy 154.781134 90.617903) + (xy 154.807778 90.657631) + (xy 154.809 90.669929) + (xy 154.809 93.471482) + (xy 154.790694 93.515676) + (xy 154.7465 93.533982) + (xy 154.711777 93.523449) + (xy 154.658933 93.488141) + (xy 154.647779 93.48352) + (xy 154.597904 93.473599) + (xy 154.591823 93.473) + (xy 154.575931 93.473) + (xy 154.567141 93.476641) + (xy 154.5635 93.485431) + (xy 154.5635 95.189568) + (xy 154.567141 95.198358) + (xy 154.575931 95.201999) + (xy 154.591821 95.201999) + (xy 154.597906 95.2014) + (xy 154.647776 95.19148) + (xy 154.658934 95.186858) + (xy 154.714827 95.149513) + (xy 154.761744 95.140181) + (xy 154.784272 95.149513) + (xy 154.845988 95.190751) + (xy 154.852024 95.191952) + (xy 154.852025 95.191952) + (xy 154.902043 95.201901) + (xy 154.902046 95.201901) + (xy 154.905056 95.2025) + (xy 155.094944 95.2025) + (xy 155.097954 95.201901) + (xy 155.097957 95.201901) + (xy 155.147975 95.191952) + (xy 155.147976 95.191952) + (xy 155.154012 95.190751) + (xy 155.215278 95.149814) + (xy 155.262193 95.140482) + (xy 155.284721 95.149813) + (xy 155.345988 95.190751) + (xy 155.352024 95.191952) + (xy 155.352025 95.191952) + (xy 155.402043 95.201901) + (xy 155.402046 95.201901) + (xy 155.405056 95.2025) + (xy 155.594944 95.2025) + (xy 155.597954 95.201901) + (xy 155.597957 95.201901) + (xy 155.647975 95.191952) + (xy 155.647976 95.191952) + (xy 155.654012 95.190751) + (xy 155.715278 95.149814) + (xy 155.762193 95.140482) + (xy 155.784721 95.149813) + (xy 155.845988 95.190751) + (xy 155.852024 95.191952) + (xy 155.852025 95.191952) + (xy 155.902043 95.201901) + (xy 155.902046 95.201901) + (xy 155.905056 95.2025) + (xy 156.094944 95.2025) + (xy 156.097954 95.201901) + (xy 156.097957 95.201901) + (xy 156.147975 95.191952) + (xy 156.147976 95.191952) + (xy 156.154012 95.190751) + (xy 156.215278 95.149814) + (xy 156.262193 95.140482) + (xy 156.284721 95.149813) + (xy 156.345988 95.190751) + (xy 156.352024 95.191952) + (xy 156.352025 95.191952) + (xy 156.402043 95.201901) + (xy 156.402046 95.201901) + (xy 156.405056 95.2025) + (xy 156.594944 95.2025) + (xy 156.597954 95.201901) + (xy 156.597957 95.201901) + (xy 156.647975 95.191952) + (xy 156.647976 95.191952) + (xy 156.654012 95.190751) + (xy 156.715278 95.149814) + (xy 156.762193 95.140482) + (xy 156.784721 95.149813) + (xy 156.845988 95.190751) + (xy 156.852024 95.191952) + (xy 156.852025 95.191952) + (xy 156.902043 95.201901) + (xy 156.902046 95.201901) + (xy 156.905056 95.2025) + (xy 157.094944 95.2025) + (xy 157.097954 95.201901) + (xy 157.097957 95.201901) + (xy 157.147975 95.191952) + (xy 157.147976 95.191952) + (xy 157.154012 95.190751) + (xy 157.215278 95.149814) + (xy 157.262193 95.140482) + (xy 157.284721 95.149813) + (xy 157.345988 95.190751) + (xy 157.352024 95.191952) + (xy 157.352025 95.191952) + (xy 157.402043 95.201901) + (xy 157.402046 95.201901) + (xy 157.405056 95.2025) + (xy 157.594944 95.2025) + (xy 157.597954 95.201901) + (xy 157.597957 95.201901) + (xy 157.647975 95.191952) + (xy 157.647976 95.191952) + (xy 157.654012 95.190751) + (xy 157.715278 95.149814) + (xy 157.762193 95.140482) + (xy 157.784721 95.149813) + (xy 157.845988 95.190751) + (xy 157.852024 95.191952) + (xy 157.852025 95.191952) + (xy 157.902043 95.201901) + (xy 157.902046 95.201901) + (xy 157.905056 95.2025) + (xy 158.094944 95.2025) + (xy 158.097954 95.201901) + (xy 158.097957 95.201901) + (xy 158.147975 95.191952) + (xy 158.147976 95.191952) + (xy 158.154012 95.190751) + (xy 158.215278 95.149814) + (xy 158.262193 95.140482) + (xy 158.284721 95.149813) + (xy 158.345988 95.190751) + (xy 158.352024 95.191952) + (xy 158.352025 95.191952) + (xy 158.402043 95.201901) + (xy 158.402046 95.201901) + (xy 158.405056 95.2025) + (xy 158.594944 95.2025) + (xy 158.597954 95.201901) + (xy 158.597957 95.201901) + (xy 158.647975 95.191952) + (xy 158.647976 95.191952) + (xy 158.654012 95.190751) + (xy 158.715278 95.149814) + (xy 158.762193 95.140482) + (xy 158.784721 95.149813) + (xy 158.845988 95.190751) + (xy 158.852024 95.191952) + (xy 158.852025 95.191952) + (xy 158.902043 95.201901) + (xy 158.902046 95.201901) + (xy 158.905056 95.2025) + (xy 159.094944 95.2025) + (xy 159.097954 95.201901) + (xy 159.097957 95.201901) + (xy 159.147975 95.191952) + (xy 159.147976 95.191952) + (xy 159.154012 95.190751) + (xy 159.215726 95.149514) + (xy 159.262642 95.140181) + (xy 159.285173 95.149513) + (xy 159.341067 95.186859) + (xy 159.352221 95.19148) + (xy 159.402096 95.201401) + (xy 159.408177 95.202) + (xy 159.424069 95.202) + (xy 159.432859 95.198359) + (xy 159.4365 95.189569) + (xy 159.4365 93.485432) + (xy 159.432859 93.476642) + (xy 159.424069 93.473001) + (xy 159.408179 93.473001) + (xy 159.402094 93.4736) + (xy 159.352224 93.48352) + (xy 159.341066 93.488142) + (xy 159.288223 93.523449) + (xy 159.241306 93.532781) + (xy 159.201533 93.506205) + (xy 159.191 93.471482) + (xy 159.191 80.991521) + (xy 159.209306 80.947327) + (xy 159.250102 80.930428) + (xy 159.250001 80.929791) + (xy 159.256947 80.92869) + (xy 159.267853 80.927933) + (xy 159.303383 80.928584) + (xy 159.363532 80.912185) + (xy 159.37019 80.910755) + (xy 159.3717 80.910516) + (xy 159.382813 80.908756) + (xy 159.387196 80.906522) + (xy 159.391875 80.905002) + (xy 159.391878 80.90501) + (xy 159.396727 80.903136) + (xy 159.421527 80.896375) + (xy 159.433837 80.888817) + (xy 159.489709 80.854511) + (xy 159.494037 80.852085) + (xy 159.49824 80.849944) + (xy 159.498248 80.849938) + (xy 159.502625 80.847708) + (xy 159.505427 80.844906) + (xy 159.506264 80.844346) + (xy 159.508891 80.842733) + (xy 159.525881 80.832301) + (xy 159.608058 80.741513) + (xy 159.661451 80.631311) + (xy 159.663317 80.620224) + (xy 159.675012 80.550704) + (xy 159.681767 80.510552) + (xy 159.681896 80.5) + (xy 159.666061 80.389432) + (xy 159.677917 80.343091) + (xy 159.683736 80.336379) + (xy 160.060808 79.959306) + (xy 160.105002 79.941) + (xy 172.927934 79.941) + (xy 172.972128 79.959306) + (xy 178.842309 85.829487) + (xy 178.846614 85.835561) + (xy 178.847187 85.835105) + (xy 178.851568 85.840613) + (xy 178.854615 85.846958) + (xy 178.860112 85.851354) + (xy 178.877698 85.865418) + (xy 178.882857 85.870035) + (xy 178.888106 85.875284) + (xy 178.894109 85.879057) + (xy 178.899885 85.883162) + (xy 178.92267 85.901383) + (xy 178.92953 85.90296) + (xy 178.93329 85.904778) + (xy 178.937226 85.906156) + (xy 178.943185 85.909902) + (xy 178.950179 85.910693) + (xy 178.95018 85.910693) + (xy 178.972173 85.913179) + (xy 178.97915 85.914371) + (xy 178.986234 85.916) + (xy 178.986236 85.916) + (xy 178.986227 85.91604) + (xy 179.02707 85.938657) + (xy 179.030137 85.942855) + (xy 179.060982 85.989018) + (xy 179.066099 85.992437) + (xy 179.131115 86.03588) + (xy 179.131117 86.035881) + (xy 179.136234 86.0393) + (xy 179.166808 86.045382) + (xy 179.199581 86.051901) + (xy 179.199584 86.051901) + (xy 179.202594 86.0525) + (xy 180.522406 86.0525) + (xy 180.525416 86.051901) + (xy 180.525419 86.051901) + (xy 180.558192 86.045382) + (xy 180.588766 86.0393) + (xy 180.593883 86.035881) + (xy 180.593885 86.03588) + (xy 180.658901 85.992437) + (xy 180.664018 85.989018) + (xy 180.688436 85.952474) + (xy 180.71088 85.918885) + (xy 180.710881 85.918883) + (xy 180.7143 85.913766) + (xy 180.721955 85.875284) + (xy 180.726901 85.850419) + (xy 180.726901 85.850416) + (xy 180.7275 85.847406) + (xy 180.7275 85.602594) + (xy 180.726129 85.595698) + (xy 180.720382 85.566808) + (xy 180.7143 85.536234) + (xy 180.707459 85.525995) + (xy 180.667437 85.466099) + (xy 180.664018 85.460982) + (xy 180.650526 85.451967) + (xy 180.62395 85.412193) + (xy 180.633282 85.365277) + (xy 180.650526 85.348033) + (xy 180.650995 85.34772) + (xy 180.664018 85.339018) + (xy 180.675322 85.3221) + (xy 180.71088 85.268885) + (xy 180.710881 85.268883) + (xy 180.7143 85.263766) + (xy 180.721369 85.22823) + (xy 180.726901 85.200419) + (xy 180.726901 85.200416) + (xy 180.7275 85.197406) + (xy 180.7275 84.952594) + (xy 180.723963 84.93481) + (xy 180.718902 84.909372) + (xy 180.7143 84.886234) + (xy 180.708883 84.878126) + (xy 180.667437 84.816099) + (xy 180.664018 84.810982) + (xy 180.650526 84.801967) + (xy 180.62395 84.762193) + (xy 180.633282 84.715277) + (xy 180.650526 84.698033) + (xy 180.650974 84.697734) + (xy 180.664018 84.689018) + (xy 180.681706 84.662546) + (xy 180.71051 84.619438) + (xy 189.223 84.619438) + (xy 189.223599 84.625519) + (xy 189.229169 84.653518) + (xy 189.233788 84.66467) + (xy 189.255019 84.696445) + (xy 189.263555 84.704981) + (xy 189.29533 84.726212) + (xy 189.306482 84.730831) + (xy 189.334481 84.736401) + (xy 189.340562 84.737) + (xy 189.774069 84.737) + (xy 189.782859 84.733359) + (xy 189.7865 84.724569) + (xy 189.9135 84.724569) + (xy 189.917141 84.733359) + (xy 189.925931 84.737) + (xy 190.359438 84.737) + (xy 190.365519 84.736401) + (xy 190.393518 84.730831) + (xy 190.40467 84.726212) + (xy 190.436445 84.704981) + (xy 190.444981 84.696445) + (xy 190.466212 84.66467) + (xy 190.470831 84.653518) + (xy 190.476401 84.625519) + (xy 190.477 84.619438) + (xy 190.477 84.085931) + (xy 190.473359 84.077141) + (xy 190.464569 84.0735) + (xy 189.925931 84.0735) + (xy 189.917141 84.077141) + (xy 189.9135 84.085931) + (xy 189.9135 84.724569) + (xy 189.7865 84.724569) + (xy 189.7865 84.085931) + (xy 189.782859 84.077141) + (xy 189.774069 84.0735) + (xy 189.235431 84.0735) + (xy 189.226641 84.077141) + (xy 189.223 84.085931) + (xy 189.223 84.619438) + (xy 180.71051 84.619438) + (xy 180.71088 84.618885) + (xy 180.710881 84.618883) + (xy 180.7143 84.613766) + (xy 180.722533 84.572375) + (xy 180.726901 84.550419) + (xy 180.726901 84.550416) + (xy 180.7275 84.547406) + (xy 180.7275 84.302594) + (xy 180.726664 84.298388) + (xy 180.718231 84.255995) + (xy 180.7143 84.236234) + (xy 180.710208 84.230109) + (xy 180.667437 84.166099) + (xy 180.664018 84.160982) + (xy 180.650526 84.151967) + (xy 180.62395 84.112193) + (xy 180.633282 84.065277) + (xy 180.650526 84.048033) + (xy 180.658901 84.042437) + (xy 180.664018 84.039018) + (xy 180.694247 83.993777) + (xy 180.734021 83.967201) + (xy 180.746214 83.966) + (xy 181.304169 83.966) + (xy 181.348363 83.984306) + (xy 181.361376 84.003329) + (xy 181.369314 84.021369) + (xy 181.383333 84.05323) + (xy 181.386197 84.056637) + (xy 181.3862 84.056642) + (xy 181.398024 84.070708) + (xy 181.400156 84.073434) + (xy 181.402292 84.077625) + (xy 181.410081 84.085414) + (xy 181.413729 84.089391) + (xy 181.416613 84.092822) + (xy 181.458898 84.143126) + (xy 181.462127 84.146968) + (xy 181.485399 84.162459) + (xy 181.491836 84.167733) + (xy 181.493899 84.169232) + (xy 181.497375 84.172708) + (xy 181.501753 84.174939) + (xy 181.501756 84.174941) + (xy 181.50873 84.178494) + (xy 181.514977 84.182148) + (xy 181.564064 84.214823) + (xy 181.568313 84.216151) + (xy 181.568314 84.216151) + (xy 181.600296 84.226143) + (xy 181.610027 84.230109) + (xy 181.612799 84.231521) + (xy 181.612803 84.231522) + (xy 181.617187 84.233756) + (xy 181.627852 84.235445) + (xy 181.636713 84.23752) + (xy 181.676696 84.250012) + (xy 181.676699 84.250012) + (xy 181.680948 84.25134) + (xy 181.730069 84.252241) + (xy 181.738683 84.252999) + (xy 181.74514 84.254022) + (xy 181.745144 84.254022) + (xy 181.75 84.254791) + (xy 181.756947 84.25369) + (xy 181.767853 84.252933) + (xy 181.803383 84.253584) + (xy 181.863532 84.237185) + (xy 181.87019 84.235755) + (xy 181.876782 84.234711) + (xy 181.882813 84.233756) + (xy 181.887196 84.231522) + (xy 181.891875 84.230002) + (xy 181.891878 84.23001) + (xy 181.896727 84.228136) + (xy 181.921527 84.221375) + (xy 181.93078 84.215694) + (xy 181.989709 84.179511) + (xy 181.994037 84.177085) + (xy 181.99824 84.174944) + (xy 181.998248 84.174938) + (xy 182.002625 84.172708) + (xy 182.005427 84.169906) + (xy 182.006264 84.169346) + (xy 182.025881 84.157301) + (xy 182.108058 84.066513) + (xy 182.161451 83.956311) + (xy 182.162281 83.951383) + (xy 182.165194 83.934069) + (xy 189.223 83.934069) + (xy 189.226641 83.942859) + (xy 189.235431 83.9465) + (xy 189.774069 83.9465) + (xy 189.782859 83.942859) + (xy 189.7865 83.934069) + (xy 189.9135 83.934069) + (xy 189.917141 83.942859) + (xy 189.925931 83.9465) + (xy 190.464569 83.9465) + (xy 190.473359 83.942859) + (xy 190.477 83.934069) + (xy 190.477 83.400562) + (xy 190.476401 83.394481) + (xy 190.470831 83.366482) + (xy 190.466212 83.35533) + (xy 190.444981 83.323555) + (xy 190.436445 83.315019) + (xy 190.40467 83.293788) + (xy 190.393518 83.289169) + (xy 190.365519 83.283599) + (xy 190.359438 83.283) + (xy 189.925931 83.283) + (xy 189.917141 83.286641) + (xy 189.9135 83.295431) + (xy 189.9135 83.934069) + (xy 189.7865 83.934069) + (xy 189.7865 83.295431) + (xy 189.782859 83.286641) + (xy 189.774069 83.283) + (xy 189.340562 83.283) + (xy 189.334481 83.283599) + (xy 189.306482 83.289169) + (xy 189.29533 83.293788) + (xy 189.263555 83.315019) + (xy 189.255019 83.323555) + (xy 189.233788 83.35533) + (xy 189.229169 83.366482) + (xy 189.223599 83.394481) + (xy 189.223 83.400562) + (xy 189.223 83.934069) + (xy 182.165194 83.934069) + (xy 182.178001 83.857935) + (xy 182.181767 83.835552) + (xy 182.181896 83.825) + (xy 182.164536 83.703781) + (xy 182.113852 83.592307) + (xy 182.099199 83.575302) + (xy 182.097708 83.572375) + (xy 182.0919 83.566567) + (xy 182.088746 83.56317) + (xy 182.036826 83.502914) + (xy 182.033918 83.499539) + (xy 182.013741 83.486461) + (xy 182.010155 83.483559) + (xy 182.010081 83.483661) + (xy 182.006106 83.480773) + (xy 182.002625 83.477292) + (xy 181.991343 83.471543) + (xy 181.985736 83.468309) + (xy 181.983228 83.466683) + (xy 181.954556 83.448099) + (xy 181.934893 83.435354) + (xy 181.93489 83.435353) + (xy 181.93116 83.432935) + (xy 181.926901 83.431661) + (xy 181.926898 83.43166) + (xy 181.903085 83.424539) + (xy 181.898839 83.423269) + (xy 181.888377 83.419079) + (xy 181.882813 83.416244) + (xy 181.871067 83.414384) + (xy 181.862951 83.412536) + (xy 181.813838 83.397848) + (xy 181.769496 83.397577) + (xy 181.760103 83.396809) + (xy 181.754857 83.395978) + (xy 181.754856 83.395978) + (xy 181.75 83.395209) + (xy 181.741373 83.396576) + (xy 181.73122 83.397344) + (xy 181.712836 83.397231) + (xy 181.695838 83.397127) + (xy 181.695836 83.397127) + (xy 181.691385 83.3971) + (xy 181.637011 83.412641) + (xy 181.629623 83.414275) + (xy 181.617187 83.416244) + (xy 181.612804 83.418477) + (xy 181.61187 83.418781) + (xy 181.602155 83.422603) + (xy 181.577929 83.429526) + (xy 181.577927 83.429527) + (xy 181.573644 83.430751) + (xy 181.514119 83.468309) + (xy 181.512819 83.469129) + (xy 181.507842 83.471959) + (xy 181.501757 83.475059) + (xy 181.501756 83.47506) + (xy 181.497375 83.477292) + (xy 181.493897 83.48077) + (xy 181.492445 83.481825) + (xy 181.489058 83.48412) + (xy 181.47008 83.496095) + (xy 181.467137 83.499427) + (xy 181.467135 83.499429) + (xy 181.440138 83.529997) + (xy 181.414524 83.559) + (xy 181.411103 83.562873) + (xy 181.364257 83.584) + (xy 180.746214 83.584) + (xy 180.70202 83.565694) + (xy 180.694247 83.556223) + (xy 180.667437 83.516099) + (xy 180.664018 83.510982) + (xy 180.624719 83.484723) + (xy 180.593885 83.46412) + (xy 180.593883 83.464119) + (xy 180.588766 83.4607) + (xy 180.558192 83.454618) + (xy 180.525419 83.448099) + (xy 180.525416 83.448099) + (xy 180.522406 83.4475) + (xy 179.202594 83.4475) + (xy 179.199584 83.448099) + (xy 179.199581 83.448099) + (xy 179.166808 83.454618) + (xy 179.136234 83.4607) + (xy 179.131117 83.464119) + (xy 179.131115 83.46412) + (xy 179.100281 83.484723) + (xy 179.060982 83.510982) + (xy 179.057563 83.516099) + (xy 179.017819 83.57558) + (xy 179.0107 83.586234) + (xy 179.007069 83.604487) + (xy 178.998286 83.648645) + (xy 178.9975 83.652594) + (xy 178.9975 83.897406) + (xy 178.998099 83.900416) + (xy 178.998099 83.900419) + (xy 179.003417 83.927151) + (xy 179.0107 83.963766) + (xy 179.014119 83.968883) + (xy 179.01412 83.968885) + (xy 179.041287 84.009543) + (xy 179.060982 84.039018) + (xy 179.066099 84.042437) + (xy 179.074474 84.048033) + (xy 179.10105 84.087807) + (xy 179.091718 84.134723) + (xy 179.074474 84.151967) + (xy 179.060982 84.160982) + (xy 179.05072 84.17634) + (xy 179.030753 84.206223) + (xy 178.990979 84.232799) + (xy 178.978786 84.234) + (xy 178.530003 84.234) + (xy 178.485809 84.215694) + (xy 173.408588 79.138473) + (xy 173.404283 79.132399) + (xy 173.40371 79.132855) + (xy 173.399329 79.127347) + (xy 173.396282 79.121002) + (xy 173.373199 79.102542) + (xy 173.36804 79.097925) + (xy 173.362791 79.092676) + (xy 173.356788 79.088903) + (xy 173.351012 79.084798) + (xy 173.328227 79.066577) + (xy 173.321367 79.065) + (xy 173.317607 79.063182) + (xy 173.313671 79.061804) + (xy 173.307712 79.058058) + (xy 173.300718 79.057267) + (xy 173.300717 79.057267) + (xy 173.278724 79.054781) + (xy 173.271747 79.053589) + (xy 173.264663 79.05196) + (xy 173.257289 79.05196) + (xy 173.250268 79.051564) + (xy 173.221122 79.048269) + (xy 173.214477 79.05059) + (xy 173.207483 79.051374) + (xy 173.207407 79.050696) + (xy 173.199955 79.05196) + (xy 159.44174 79.05196) + (xy 159.434401 79.05071) + (xy 159.434318 79.051437) + (xy 159.427327 79.05064) + (xy 159.420686 79.048308) + (xy 159.413693 79.049086) + (xy 159.413692 79.049086) + (xy 159.391308 79.051577) + (xy 159.384396 79.05196) + (xy 159.376975 79.05196) + (xy 159.373552 79.052741) + (xy 159.373551 79.052741) + (xy 159.37006 79.053537) + (xy 159.363077 79.054718) + (xy 159.34107 79.057167) + (xy 159.334079 79.057945) + (xy 159.328116 79.061678) + (xy 159.324183 79.063048) + (xy 159.320413 79.064862) + (xy 159.313549 79.066428) + (xy 159.295756 79.080607) + (xy 159.290737 79.084606) + (xy 159.284954 79.0887) + (xy 159.281798 79.090676) + (xy 159.281792 79.090681) + (xy 159.278797 79.092556) + (xy 159.273584 79.097769) + (xy 159.26834 79.102454) + (xy 159.245399 79.120735) + (xy 159.242341 79.127074) + (xy 159.23795 79.132575) + (xy 159.237416 79.132149) + (xy 159.23304 79.138313) + (xy 157.895513 80.47584) + (xy 157.889439 80.480145) + (xy 157.889895 80.480718) + (xy 157.884387 80.485099) + (xy 157.878042 80.488146) + (xy 157.873646 80.493643) + (xy 157.859582 80.511229) + (xy 157.854965 80.516388) + (xy 157.849716 80.521637) + (xy 157.847847 80.524611) + (xy 157.845943 80.52764) + (xy 157.841838 80.533416) + (xy 157.823617 80.556201) + (xy 157.82204 80.563061) + (xy 157.820222 80.566821) + (xy 157.818844 80.570757) + (xy 157.815098 80.576716) + (xy 157.814307 80.58371) + (xy 157.814307 80.583711) + (xy 157.811821 80.605704) + (xy 157.810629 80.612681) + (xy 157.809 80.619765) + (xy 157.809 80.627139) + (xy 157.808604 80.63416) + (xy 157.805309 80.663306) + (xy 157.80763 80.669951) + (xy 157.808414 80.676945) + (xy 157.807736 80.677021) + (xy 157.809 80.684473) + (xy 157.809 82.2215) + (xy 157.790694 82.265694) + (xy 157.7465 82.284) + (xy 156.021214 82.284) + (xy 155.97702 82.265694) + (xy 155.969247 82.256223) + (xy 155.946046 82.2215) + (xy 155.939018 82.210982) + (xy 155.925526 82.201967) + (xy 155.89895 82.162193) + (xy 155.908282 82.115277) + (xy 155.925526 82.098033) + (xy 155.933901 82.092437) + (xy 155.939018 82.089018) + (xy 155.969247 82.043777) + (xy 156.009021 82.017201) + (xy 156.021214 82.016) + (xy 156.456768 82.016) + (xy 156.464107 82.01725) + (xy 156.46419 82.016523) + (xy 156.471181 82.01732) + (xy 156.477822 82.019652) + (xy 156.484815 82.018874) + (xy 156.484816 82.018874) + (xy 156.507197 82.016383) + (xy 156.51411 82.016) + (xy 156.521533 82.016) + (xy 156.528465 82.014419) + (xy 156.535418 82.013243) + (xy 156.564429 82.010014) + (xy 156.570393 82.006281) + (xy 156.574341 82.004906) + (xy 156.5781 82.003097) + (xy 156.584959 82.001532) + (xy 156.60012 81.989451) + (xy 156.607773 81.983352) + (xy 156.613559 81.979256) + (xy 156.616715 81.97728) + (xy 156.616717 81.977279) + (xy 156.619711 81.975404) + (xy 156.624924 81.970191) + (xy 156.630168 81.965506) + (xy 156.647604 81.951612) + (xy 156.647604 81.951611) + (xy 156.653109 81.947225) + (xy 156.656167 81.940886) + (xy 156.660558 81.935385) + (xy 156.661092 81.935811) + (xy 156.665468 81.929647) + (xy 157.104487 81.490628) + (xy 157.110561 81.486323) + (xy 157.110105 81.48575) + (xy 157.115613 81.481369) + (xy 157.121958 81.478322) + (xy 157.140418 81.455239) + (xy 157.145035 81.45008) + (xy 157.150284 81.444831) + (xy 157.154057 81.438828) + (xy 157.158165 81.433047) + (xy 157.171987 81.415764) + (xy 157.176383 81.410267) + (xy 157.17796 81.403407) + (xy 157.179778 81.399647) + (xy 157.181156 81.395711) + (xy 157.184902 81.389752) + (xy 157.188179 81.360763) + (xy 157.189371 81.353787) + (xy 157.191 81.346703) + (xy 157.191 81.339329) + (xy 157.191396 81.332308) + (xy 157.1939 81.310155) + (xy 157.194691 81.303162) + (xy 157.19237 81.296517) + (xy 157.191586 81.289523) + (xy 157.192264 81.289447) + (xy 157.191 81.281995) + (xy 157.191 80.919384) + (xy 157.209306 80.87519) + (xy 157.220797 80.866123) + (xy 157.239712 80.854509) + (xy 157.244034 80.852085) + (xy 157.252625 80.847708) + (xy 157.255427 80.844906) + (xy 157.256264 80.844346) + (xy 157.258891 80.842733) + (xy 157.275881 80.832301) + (xy 157.358058 80.741513) + (xy 157.411451 80.631311) + (xy 157.413317 80.620224) + (xy 157.425012 80.550704) + (xy 157.431767 80.510552) + (xy 157.431896 80.5) + (xy 157.414536 80.378781) + (xy 157.363852 80.267307) + (xy 157.349199 80.250302) + (xy 157.347708 80.247375) + (xy 157.3419 80.241567) + (xy 157.338746 80.23817) + (xy 157.31046 80.205343) + (xy 157.283918 80.174539) + (xy 157.263741 80.161461) + (xy 157.260155 80.158559) + (xy 157.260081 80.158661) + (xy 157.256106 80.155773) + (xy 157.252625 80.152292) + (xy 157.241343 80.146543) + (xy 157.235736 80.143309) + (xy 157.184893 80.110354) + (xy 157.18489 80.110353) + (xy 157.18116 80.107935) + (xy 157.176901 80.106661) + (xy 157.176898 80.10666) + (xy 157.158345 80.101112) + (xy 157.148839 80.098269) + (xy 157.138377 80.094079) + (xy 157.132813 80.091244) + (xy 157.121067 80.089384) + (xy 157.112951 80.087536) + (xy 157.099847 80.083617) + (xy 157.063838 80.072848) + (xy 157.019496 80.072577) + (xy 157.010103 80.071809) + (xy 157.004857 80.070978) + (xy 157.004856 80.070978) + (xy 157 80.070209) + (xy 156.991373 80.071576) + (xy 156.98122 80.072344) + (xy 156.962836 80.072231) + (xy 156.945838 80.072127) + (xy 156.945836 80.072127) + (xy 156.941385 80.0721) + (xy 156.887011 80.087641) + (xy 156.879623 80.089275) + (xy 156.867187 80.091244) + (xy 156.862804 80.093477) + (xy 156.86187 80.093781) + (xy 156.852155 80.097603) + (xy 156.827929 80.104526) + (xy 156.827927 80.104527) + (xy 156.823644 80.105751) + (xy 156.764119 80.143309) + (xy 156.762819 80.144129) + (xy 156.757842 80.146959) + (xy 156.751757 80.150059) + (xy 156.751756 80.15006) + (xy 156.747375 80.152292) + (xy 156.743897 80.15577) + (xy 156.742445 80.156825) + (xy 156.739058 80.15912) + (xy 156.72008 80.171095) + (xy 156.717137 80.174427) + (xy 156.717135 80.174429) + (xy 156.657156 80.242342) + (xy 156.656032 80.243538) + (xy 156.655775 80.243892) + (xy 156.655554 80.244113) + (xy 156.655405 80.244204) + (xy 156.654504 80.245163) + (xy 156.652292 80.247375) + (xy 156.651509 80.246592) + (xy 156.650362 80.247296) + (xy 156.651721 80.248496) + (xy 156.639018 80.262879) + (xy 156.637127 80.266907) + (xy 156.588868 80.369695) + (xy 156.588867 80.369698) + (xy 156.586976 80.373726) + (xy 156.568136 80.494724) + (xy 156.568713 80.499137) + (xy 156.568713 80.499138) + (xy 156.582649 80.605704) + (xy 156.584014 80.616145) + (xy 156.591185 80.632443) + (xy 156.591244 80.632813) + (xy 156.592007 80.634311) + (xy 156.594031 80.63891) + (xy 156.594031 80.638911) + (xy 156.63126 80.723518) + (xy 156.633333 80.72823) + (xy 156.647272 80.744813) + (xy 156.648029 80.745713) + (xy 156.650157 80.748434) + (xy 156.652292 80.752625) + (xy 156.660081 80.760414) + (xy 156.66373 80.764392) + (xy 156.70807 80.817141) + (xy 156.712127 80.821968) + (xy 156.735399 80.837459) + (xy 156.741836 80.842733) + (xy 156.743899 80.844232) + (xy 156.747375 80.847708) + (xy 156.751753 80.849939) + (xy 156.751756 80.849941) + (xy 156.75873 80.853494) + (xy 156.764983 80.857152) + (xy 156.77846 80.866123) + (xy 156.781134 80.867903) + (xy 156.807778 80.907631) + (xy 156.809 80.919929) + (xy 156.809 81.219997) + (xy 156.790694 81.264191) + (xy 156.439191 81.615694) + (xy 156.394997 81.634) + (xy 156.021214 81.634) + (xy 155.97702 81.615694) + (xy 155.969247 81.606223) + (xy 155.942437 81.566099) + (xy 155.939018 81.560982) + (xy 155.87937 81.521126) + (xy 155.868885 81.51412) + (xy 155.868883 81.514119) + (xy 155.863766 81.5107) + (xy 155.833192 81.504618) + (xy 155.800419 81.498099) + (xy 155.800416 81.498099) + (xy 155.797406 81.4975) + (xy 154.477594 81.4975) + (xy 154.474584 81.498099) + (xy 154.474581 81.498099) + (xy 154.441808 81.504618) + (xy 154.411234 81.5107) + (xy 154.406117 81.514119) + (xy 154.406115 81.51412) + (xy 154.39563 81.521126) + (xy 154.335982 81.560982) + (xy 154.332563 81.566099) + (xy 154.289142 81.631083) + (xy 154.2857 81.636234) + (xy 154.283276 81.648421) + (xy 154.273436 81.69789) + (xy 154.2725 81.702594) + (xy 154.2725 81.947406) + (xy 154.273099 81.950416) + (xy 154.273099 81.950419) + (xy 154.276961 81.969832) + (xy 154.2857 82.013766) + (xy 154.289119 82.018883) + (xy 154.28912 82.018885) + (xy 154.305753 82.043777) + (xy 154.335982 82.089018) + (xy 154.341099 82.092437) + (xy 154.349474 82.098033) + (xy 154.37605 82.137807) + (xy 154.366718 82.184723) + (xy 154.349474 82.201967) + (xy 154.335982 82.210982) + (xy 154.2857 82.286234) + (xy 154.280908 82.310324) + (xy 154.273493 82.347603) + (xy 154.2725 82.352594) + (xy 154.2725 82.597406) + (xy 154.273099 82.600416) + (xy 154.273099 82.600419) + (xy 154.277735 82.623726) + (xy 154.2857 82.663766) + (xy 154.289119 82.668883) + (xy 154.28912 82.668885) + (xy 154.305753 82.693777) + (xy 154.335982 82.739018) + (xy 154.341099 82.742437) + (xy 154.349474 82.748033) + (xy 154.37605 82.787807) + (xy 154.366718 82.834723) + (xy 154.349474 82.851967) + (xy 154.335982 82.860982) + (xy 154.332563 82.866099) + (xy 154.290596 82.928907) + (xy 154.2857 82.936234) + (xy 154.284064 82.944458) + (xy 154.273177 82.999193) + (xy 154.2725 83.002594) + (xy 154.2725 83.247406) + (xy 154.273099 83.250416) + (xy 154.273099 83.250419) + (xy 154.276602 83.268029) + (xy 154.2857 83.313766) + (xy 154.289119 83.318883) + (xy 154.28912 83.318885) + (xy 154.323071 83.369695) + (xy 154.335982 83.389018) + (xy 154.348792 83.397577) + (xy 154.349474 83.398033) + (xy 154.37605 83.437807) + (xy 154.366718 83.484723) + (xy 154.349474 83.501967) + (xy 154.335982 83.510982) + (xy 154.332563 83.516099) + (xy 154.305753 83.556223) + (xy 154.265979 83.582799) + (xy 154.253786 83.584) + (xy 152.880003 83.584) + (xy 152.835809 83.565694) + (xy 152.459306 83.189191) + (xy 152.441 83.144997) + (xy 152.441 81.419384) + (xy 152.459306 81.37519) + (xy 152.470797 81.366123) + (xy 152.489712 81.354509) + (xy 152.494034 81.352085) + (xy 152.502625 81.347708) + (xy 152.505427 81.344906) + (xy 152.506264 81.344346) + (xy 152.508891 81.342733) + (xy 152.525881 81.332301) + (xy 152.608058 81.241513) + (xy 152.661451 81.131311) + (xy 152.663317 81.120224) + (xy 152.681367 81.012928) + (xy 152.681767 81.010552) + (xy 152.681896 81) + (xy 152.664536 80.878781) + (xy 152.613852 80.767307) + (xy 152.599199 80.750302) + (xy 152.597708 80.747375) + (xy 152.5919 80.741567) + (xy 152.588746 80.73817) + (xy 152.536826 80.677914) + (xy 152.533918 80.674539) + (xy 152.513741 80.661461) + (xy 152.510155 80.658559) + (xy 152.510081 80.658661) + (xy 152.506106 80.655773) + (xy 152.502625 80.652292) + (xy 152.491343 80.646543) + (xy 152.485736 80.643309) + (xy 152.479226 80.639089) + (xy 152.460789 80.627139) + (xy 152.434893 80.610354) + (xy 152.43489 80.610353) + (xy 152.43116 80.607935) + (xy 152.426901 80.606661) + (xy 152.426898 80.60666) + (xy 152.408345 80.601112) + (xy 152.398839 80.598269) + (xy 152.388377 80.594079) + (xy 152.382813 80.591244) + (xy 152.371067 80.589384) + (xy 152.362951 80.587536) + (xy 152.334793 80.579115) + (xy 152.313838 80.572848) + (xy 152.269496 80.572577) + (xy 152.260103 80.571809) + (xy 152.254857 80.570978) + (xy 152.254856 80.570978) + (xy 152.25 80.570209) + (xy 152.241373 80.571576) + (xy 152.23122 80.572344) + (xy 152.212836 80.572231) + (xy 152.195838 80.572127) + (xy 152.195836 80.572127) + (xy 152.191385 80.5721) + (xy 152.137011 80.587641) + (xy 152.129623 80.589275) + (xy 152.117187 80.591244) + (xy 152.112804 80.593477) + (xy 152.11187 80.593781) + (xy 152.102155 80.597603) + (xy 152.077929 80.604526) + (xy 152.077927 80.604527) + (xy 152.073644 80.605751) + (xy 152.069875 80.608129) + (xy 152.057171 80.616145) + (xy 152.014119 80.643309) + (xy 152.012819 80.644129) + (xy 152.007842 80.646959) + (xy 152.001757 80.650059) + (xy 152.001756 80.65006) + (xy 151.997375 80.652292) + (xy 151.993897 80.65577) + (xy 151.992445 80.656825) + (xy 151.989058 80.65912) + (xy 151.97008 80.671095) + (xy 151.967137 80.674427) + (xy 151.967135 80.674429) + (xy 151.907156 80.742342) + (xy 151.906032 80.743538) + (xy 151.905775 80.743892) + (xy 151.905554 80.744113) + (xy 151.905405 80.744204) + (xy 151.904504 80.745163) + (xy 151.902292 80.747375) + (xy 151.901509 80.746592) + (xy 151.900362 80.747296) + (xy 151.901721 80.748496) + (xy 151.895002 80.756104) + (xy 151.889018 80.762879) + (xy 151.887127 80.766907) + (xy 151.838868 80.869695) + (xy 151.838867 80.869698) + (xy 151.836976 80.873726) + (xy 151.818136 80.994724) + (xy 151.818713 80.999137) + (xy 151.818713 80.999138) + (xy 151.827534 81.06659) + (xy 151.834014 81.116145) + (xy 151.841185 81.132443) + (xy 151.841244 81.132813) + (xy 151.842007 81.134311) + (xy 151.844031 81.13891) + (xy 151.844031 81.138911) + (xy 151.878454 81.217141) + (xy 151.883333 81.22823) + (xy 151.896714 81.244149) + (xy 151.898029 81.245713) + (xy 151.900157 81.248434) + (xy 151.902292 81.252625) + (xy 151.910081 81.260414) + (xy 151.913729 81.264391) + (xy 151.962127 81.321968) + (xy 151.985399 81.337459) + (xy 151.991836 81.342733) + (xy 151.993899 81.344232) + (xy 151.997375 81.347708) + (xy 152.001753 81.349939) + (xy 152.001756 81.349941) + (xy 152.00873 81.353494) + (xy 152.014983 81.357152) + (xy 152.027617 81.365562) + (xy 152.031134 81.367903) + (xy 152.057778 81.407631) + (xy 152.059 81.419929) + (xy 152.059 83.206768) + (xy 152.05775 83.214107) + (xy 152.058477 83.21419) + (xy 152.05768 83.221181) + (xy 152.055348 83.227822) + (xy 152.056126 83.234815) + (xy 152.056126 83.234816) + (xy 152.058617 83.257197) + (xy 152.059 83.26411) + (xy 152.059 83.271533) + (xy 152.060581 83.278465) + (xy 152.061757 83.285418) + (xy 152.064986 83.314429) + (xy 152.068719 83.320393) + (xy 152.070094 83.324341) + (xy 152.071903 83.3281) + (xy 152.073468 83.334959) + (xy 152.077853 83.340461) + (xy 152.077853 83.340462) + (xy 152.091648 83.357773) + (xy 152.095744 83.363559) + (xy 152.097363 83.366145) + (xy 152.099596 83.369711) + (xy 152.104809 83.374924) + (xy 152.109494 83.380168) + (xy 152.123367 83.397577) + (xy 152.127775 83.403109) + (xy 152.134114 83.406167) + (xy 152.139615 83.410558) + (xy 152.139189 83.411092) + (xy 152.145353 83.415468) + (xy 152.609372 83.879487) + (xy 152.613677 83.885561) + (xy 152.61425 83.885105) + (xy 152.618631 83.890613) + (xy 152.621678 83.896958) + (xy 152.627175 83.901354) + (xy 152.644761 83.915418) + (xy 152.64992 83.920035) + (xy 152.655169 83.925284) + (xy 152.66059 83.928691) + (xy 152.661172 83.929057) + (xy 152.666948 83.933162) + (xy 152.689733 83.951383) + (xy 152.696593 83.95296) + (xy 152.700353 83.954778) + (xy 152.704289 83.956156) + (xy 152.710248 83.959902) + (xy 152.717242 83.960693) + (xy 152.717243 83.960693) + (xy 152.739236 83.963179) + (xy 152.746213 83.964371) + (xy 152.753297 83.966) + (xy 152.760671 83.966) + (xy 152.767692 83.966396) + (xy 152.796838 83.969691) + (xy 152.803483 83.96737) + (xy 152.810477 83.966586) + (xy 152.810553 83.967264) + (xy 152.818005 83.966) + (xy 154.253786 83.966) + (xy 154.29798 83.984306) + (xy 154.305753 83.993777) + (xy 154.335982 84.039018) + (xy 154.341099 84.042437) + (xy 154.349923 84.048333) + (xy 154.376499 84.088107) + (xy 154.367167 84.135023) + (xy 154.349924 84.152266) + (xy 154.341461 84.157921) + (xy 154.332923 84.166459) + (xy 154.289592 84.23131) + (xy 154.28497 84.242468) + (xy 154.273599 84.299631) + (xy 154.273 84.305715) + (xy 154.273 84.349069) + (xy 154.276641 84.357859) + (xy 154.285431 84.3615) + (xy 155.989569 84.3615) + (xy 155.998359 84.357859) + (xy 156.002 84.349069) + (xy 156.002 84.305715) + (xy 156.001401 84.299631) + (xy 155.99003 84.242468) + (xy 155.985408 84.23131) + (xy 155.942077 84.166459) + (xy 155.933539 84.157921) + (xy 155.925076 84.152266) + (xy 155.898501 84.112492) + (xy 155.907834 84.065576) + (xy 155.925077 84.048333) + (xy 155.933901 84.042437) + (xy 155.939018 84.039018) + (xy 155.969247 83.993777) + (xy 156.009021 83.967201) + (xy 156.021214 83.966) + (xy 157.669997 83.966) + (xy 157.714191 83.984306) + (xy 158.030134 84.300249) + (xy 158.04844 84.344443) + (xy 158.04844 88.797025) + (xy 158.030134 88.841219) + (xy 157.834179 89.037174) + (xy 157.789985 89.05548) + (xy 154.796752 89.05548) + (xy 154.789413 89.05423) + (xy 154.78933 89.054957) + (xy 154.782339 89.05416) + (xy 154.775698 89.051828) + (xy 154.768705 89.052606) + (xy 154.768704 89.052606) + (xy 154.746323 89.055097) + (xy 154.73941 89.05548) + (xy 154.731987 89.05548) + (xy 154.725055 89.057061) + (xy 154.718102 89.058237) + (xy 154.689091 89.061466) + (xy 154.683127 89.065199) + (xy 154.679179 89.066574) + (xy 154.67542 89.068383) + (xy 154.668561 89.069948) + (xy 154.663059 89.074333) + (xy 154.663058 89.074333) + (xy 154.645747 89.088128) + (xy 154.639961 89.092224) + (xy 154.636805 89.0942) + (xy 154.633809 89.096076) + (xy 154.628596 89.101289) + (xy 154.623352 89.105974) + (xy 154.605963 89.119831) + (xy 154.600411 89.124255) + (xy 154.597353 89.130594) + (xy 154.592962 89.136095) + (xy 154.592428 89.135669) + (xy 154.588052 89.141833) + (xy 153.895513 89.834372) + (xy 153.889439 89.838677) + (xy 153.889895 89.83925) + (xy 153.884387 89.843631) + (xy 153.878042 89.846678) + (xy 153.873646 89.852175) + (xy 153.859582 89.869761) + (xy 153.854965 89.87492) + (xy 153.849716 89.880169) + (xy 153.845942 89.886174) + (xy 153.841839 89.891947) + (xy 153.833566 89.902292) + (xy 153.823617 89.914733) + (xy 153.82204 89.921593) + (xy 153.820222 89.925353) + (xy 153.818844 89.929289) + (xy 153.815098 89.935248) + (xy 153.814307 89.942242) + (xy 153.814307 89.942243) + (xy 153.811821 89.964236) + (xy 153.810629 89.971213) + (xy 153.809 89.978297) + (xy 153.809 89.985671) + (xy 153.808604 89.992692) + (xy 153.805309 90.021838) + (xy 153.80763 90.028483) + (xy 153.808414 90.035477) + (xy 153.807736 90.035553) + (xy 153.809 90.043005) + (xy 153.809 90.575805) + (xy 153.790694 90.619999) + (xy 153.7465 90.638305) + (xy 153.712506 90.628252) + (xy 153.696539 90.617903) + (xy 153.68116 90.607935) + (xy 153.676901 90.606661) + (xy 153.676898 90.60666) + (xy 153.652724 90.599431) + (xy 153.648839 90.598269) + (xy 153.638377 90.594079) + (xy 153.632813 90.591244) + (xy 153.621067 90.589384) + (xy 153.612951 90.587536) + (xy 153.563838 90.572848) + (xy 153.519496 90.572577) + (xy 153.510103 90.571809) + (xy 153.510053 90.571801) + (xy 153.508469 90.57155) + (xy 153.504857 90.570978) + (xy 153.504856 90.570978) + (xy 153.5 90.570209) + (xy 153.491373 90.571576) + (xy 153.48122 90.572344) + (xy 153.462836 90.572231) + (xy 153.445838 90.572127) + (xy 153.445836 90.572127) + (xy 153.441385 90.5721) + (xy 153.437104 90.573324) + (xy 153.437101 90.573324) + (xy 153.433686 90.5743) + (xy 153.386163 90.568843) + (xy 153.356418 90.53138) + (xy 153.360266 90.486955) + (xy 153.362843 90.481637) + (xy 153.411451 90.381311) + (xy 153.412983 90.372209) + (xy 153.42758 90.28544) + (xy 153.431767 90.260552) + (xy 153.431896 90.25) + (xy 153.414536 90.128781) + (xy 153.363852 90.017307) + (xy 153.349199 90.000302) + (xy 153.347708 89.997375) + (xy 153.3419 89.991567) + (xy 153.338746 89.98817) + (xy 153.286826 89.927914) + (xy 153.283918 89.924539) + (xy 153.263741 89.911461) + (xy 153.260155 89.908559) + (xy 153.260081 89.908661) + (xy 153.256106 89.905773) + (xy 153.252625 89.902292) + (xy 153.241343 89.896543) + (xy 153.235736 89.893309) + (xy 153.233637 89.891948) + (xy 153.215464 89.880169) + (xy 153.184893 89.860354) + (xy 153.18489 89.860353) + (xy 153.18116 89.857935) + (xy 153.176901 89.856661) + (xy 153.176898 89.85666) + (xy 153.153677 89.849716) + (xy 153.148839 89.848269) + (xy 153.138377 89.844079) + (xy 153.132813 89.841244) + (xy 153.121067 89.839384) + (xy 153.112951 89.837536) + (xy 153.112948 89.837535) + (xy 153.063838 89.822848) + (xy 153.019496 89.822577) + (xy 153.010103 89.821809) + (xy 153.004857 89.820978) + (xy 153.004856 89.820978) + (xy 153 89.820209) + (xy 152.991373 89.821576) + (xy 152.98122 89.822344) + (xy 152.962836 89.822231) + (xy 152.945838 89.822127) + (xy 152.945836 89.822127) + (xy 152.941385 89.8221) + (xy 152.887011 89.837641) + (xy 152.879623 89.839275) + (xy 152.867187 89.841244) + (xy 152.862804 89.843477) + (xy 152.86187 89.843781) + (xy 152.852155 89.847603) + (xy 152.827929 89.854526) + (xy 152.827927 89.854527) + (xy 152.823644 89.855751) + (xy 152.787983 89.878252) + (xy 152.786851 89.878966) + (xy 152.739707 89.887067) + (xy 152.700642 89.859459) + (xy 152.691 89.826108) + (xy 152.691 86.793232) + (xy 152.69225 86.785893) + (xy 152.691523 86.78581) + (xy 152.69232 86.778819) + (xy 152.694652 86.772178) + (xy 152.693458 86.761442) + (xy 152.691383 86.742803) + (xy 152.691 86.73589) + (xy 152.691 86.728467) + (xy 152.689419 86.721535) + (xy 152.688243 86.714582) + (xy 152.685014 86.685571) + (xy 152.681281 86.679607) + (xy 152.679906 86.675659) + (xy 152.678097 86.6719) + (xy 152.676532 86.665041) + (xy 152.658351 86.642226) + (xy 152.654256 86.636441) + (xy 152.65228 86.633285) + (xy 152.652279 86.633283) + (xy 152.650404 86.630289) + (xy 152.645191 86.625076) + (xy 152.640506 86.619832) + (xy 152.626612 86.602396) + (xy 152.626611 86.602396) + (xy 152.622225 86.596891) + (xy 152.615886 86.593833) + (xy 152.610385 86.589442) + (xy 152.610811 86.588908) + (xy 152.604647 86.584532) + (xy 151.278554 85.258439) + (xy 153.081851 85.258439) + (xy 153.082428 85.262852) + (xy 153.082428 85.262853) + (xy 153.093817 85.349944) + (xy 153.097729 85.37986) + (xy 153.099524 85.383939) + (xy 153.104423 85.395073) + (xy 153.1049 85.396158) + (xy 153.104959 85.396528) + (xy 153.105722 85.398026) + (xy 153.107746 85.402625) + (xy 153.107746 85.402626) + (xy 153.143603 85.484115) + (xy 153.147048 85.491945) + (xy 153.15905 85.506223) + (xy 153.161744 85.509428) + (xy 153.163872 85.512149) + (xy 153.166007 85.51634) + (xy 153.173796 85.524129) + (xy 153.177445 85.528107) + (xy 153.221036 85.579965) + (xy 153.225842 85.585683) + (xy 153.249114 85.601174) + (xy 153.255551 85.606448) + (xy 153.257614 85.607947) + (xy 153.26109 85.611423) + (xy 153.265468 85.613654) + (xy 153.265471 85.613656) + (xy 153.272445 85.617209) + (xy 153.278692 85.620863) + (xy 153.327779 85.653538) + (xy 153.332028 85.654866) + (xy 153.332029 85.654866) + (xy 153.364011 85.664858) + (xy 153.373742 85.668824) + (xy 153.376514 85.670236) + (xy 153.376518 85.670237) + (xy 153.380902 85.672471) + (xy 153.391567 85.67416) + (xy 153.400428 85.676235) + (xy 153.440411 85.688727) + (xy 153.440414 85.688727) + (xy 153.444663 85.690055) + (xy 153.493784 85.690956) + (xy 153.502398 85.691714) + (xy 153.508855 85.692737) + (xy 153.508859 85.692737) + (xy 153.513715 85.693506) + (xy 153.520662 85.692405) + (xy 153.531568 85.691648) + (xy 153.567098 85.692299) + (xy 153.627247 85.6759) + (xy 153.633905 85.67447) + (xy 153.635862 85.67416) + (xy 153.646528 85.672471) + (xy 153.650911 85.670237) + (xy 153.65559 85.668717) + (xy 153.655593 85.668725) + (xy 153.660442 85.666851) + (xy 153.685242 85.66009) + (xy 153.703192 85.649069) + (xy 153.753424 85.618226) + (xy 153.757752 85.6158) + (xy 153.761955 85.613659) + (xy 153.761963 85.613653) + (xy 153.76634 85.611423) + (xy 153.769142 85.608621) + (xy 153.769979 85.608061) + (xy 153.772606 85.606448) + (xy 153.789596 85.596016) + (xy 153.871773 85.505228) + (xy 153.925166 85.395026) + (xy 153.925905 85.390635) + (xy 153.938103 85.318131) + (xy 153.963488 85.277586) + (xy 153.999737 85.266) + (xy 154.253786 85.266) + (xy 154.29798 85.284306) + (xy 154.305753 85.293777) + (xy 154.335982 85.339018) + (xy 154.349006 85.34772) + (xy 154.349474 85.348033) + (xy 154.37605 85.387807) + (xy 154.366718 85.434723) + (xy 154.349474 85.451967) + (xy 154.335982 85.460982) + (xy 154.332563 85.466099) + (xy 154.292542 85.525995) + (xy 154.2857 85.536234) + (xy 154.279618 85.566808) + (xy 154.273872 85.595698) + (xy 154.2725 85.602594) + (xy 154.2725 85.847406) + (xy 154.273099 85.850416) + (xy 154.273099 85.850419) + (xy 154.278045 85.875284) + (xy 154.2857 85.913766) + (xy 154.289119 85.918883) + (xy 154.28912 85.918885) + (xy 154.311564 85.952474) + (xy 154.335982 85.989018) + (xy 154.341099 85.992437) + (xy 154.349474 85.998033) + (xy 154.37605 86.037807) + (xy 154.366718 86.084723) + (xy 154.349474 86.101967) + (xy 154.335982 86.110982) + (xy 154.332563 86.116099) + (xy 154.290812 86.178584) + (xy 154.2857 86.186234) + (xy 154.281111 86.209306) + (xy 154.273348 86.248333) + (xy 154.2725 86.252594) + (xy 154.2725 86.497406) + (xy 154.273099 86.500416) + (xy 154.273099 86.500419) + (xy 154.277546 86.522775) + (xy 154.2857 86.563766) + (xy 154.289119 86.568883) + (xy 154.28912 86.568885) + (xy 154.31025 86.600508) + (xy 154.335982 86.639018) + (xy 154.341099 86.642437) + (xy 154.349474 86.648033) + (xy 154.37605 86.687807) + (xy 154.366718 86.734723) + (xy 154.349474 86.751967) + (xy 154.335982 86.760982) + (xy 154.332563 86.766099) + (xy 154.290457 86.829115) + (xy 154.2857 86.836234) + (xy 154.281967 86.855002) + (xy 154.274201 86.894045) + (xy 154.2725 86.902594) + (xy 154.2725 87.147406) + (xy 154.273099 87.150416) + (xy 154.273099 87.150419) + (xy 154.278581 87.177978) + (xy 154.2857 87.213766) + (xy 154.289119 87.218883) + (xy 154.28912 87.218885) + (xy 154.317672 87.261615) + (xy 154.335982 87.289018) + (xy 154.341737 87.292863) + (xy 154.349474 87.298033) + (xy 154.37605 87.337807) + (xy 154.366718 87.384723) + (xy 154.349474 87.401967) + (xy 154.335982 87.410982) + (xy 154.332563 87.416099) + (xy 154.290355 87.479268) + (xy 154.2857 87.486234) + (xy 154.28089 87.510414) + (xy 154.273937 87.545372) + (xy 154.2725 87.552594) + (xy 154.2725 87.797406) + (xy 154.273099 87.800416) + (xy 154.273099 87.800419) + (xy 154.278057 87.825343) + (xy 154.2857 87.863766) + (xy 154.289119 87.868883) + (xy 154.28912 87.868885) + (xy 154.306661 87.895136) + (xy 154.335982 87.939018) + (xy 154.341099 87.942437) + (xy 154.406115 87.98588) + (xy 154.406117 87.985881) + (xy 154.411234 87.9893) + (xy 154.438949 87.994813) + (xy 154.474581 88.001901) + (xy 154.474584 88.001901) + (xy 154.477594 88.0025) + (xy 155.168997 88.0025) + (xy 155.213191 88.020806) + (xy 155.296872 88.104487) + (xy 155.301177 88.110561) + (xy 155.30175 88.110105) + (xy 155.306131 88.115613) + (xy 155.309178 88.121958) + (xy 155.314675 88.126354) + (xy 155.332261 88.140418) + (xy 155.33742 88.145035) + (xy 155.342668 88.150283) + (xy 155.345639 88.15215) + (xy 155.34564 88.152151) + (xy 155.348669 88.154055) + (xy 155.354444 88.158159) + (xy 155.371736 88.171988) + (xy 155.37174 88.17199) + (xy 155.377233 88.176383) + (xy 155.38409 88.17796) + (xy 155.387852 88.179778) + (xy 155.39179 88.181157) + (xy 155.397748 88.184902) + (xy 155.404739 88.185692) + (xy 155.404744 88.185694) + (xy 155.426737 88.18818) + (xy 155.433723 88.189373) + (xy 155.440797 88.191) + (xy 155.448177 88.191) + (xy 155.455198 88.191396) + (xy 155.484338 88.19469) + (xy 155.490984 88.192369) + (xy 155.497978 88.191585) + (xy 155.498054 88.192264) + (xy 155.505504 88.191) + (xy 156.562118 88.191) + (xy 156.606312 88.209306) + (xy 156.615179 88.220473) + (xy 156.617471 88.224155) + (xy 156.619264 88.22823) + (xy 156.633203 88.244813) + (xy 156.63396 88.245713) + (xy 156.636088 88.248434) + (xy 156.638223 88.252625) + (xy 156.646012 88.260414) + (xy 156.64966 88.264391) + (xy 156.698058 88.321968) + (xy 156.72133 88.337459) + (xy 156.727767 88.342733) + (xy 156.72983 88.344232) + (xy 156.733306 88.347708) + (xy 156.737684 88.349939) + (xy 156.737687 88.349941) + (xy 156.744661 88.353494) + (xy 156.750908 88.357148) + (xy 156.799995 88.389823) + (xy 156.804244 88.391151) + (xy 156.804245 88.391151) + (xy 156.836227 88.401143) + (xy 156.845958 88.405109) + (xy 156.84873 88.406521) + (xy 156.848734 88.406522) + (xy 156.853118 88.408756) + (xy 156.863783 88.410445) + (xy 156.872644 88.41252) + (xy 156.912627 88.425012) + (xy 156.91263 88.425012) + (xy 156.916879 88.42634) + (xy 156.966 88.427241) + (xy 156.974614 88.427999) + (xy 156.981071 88.429022) + (xy 156.981075 88.429022) + (xy 156.985931 88.429791) + (xy 156.992878 88.42869) + (xy 157.003784 88.427933) + (xy 157.039314 88.428584) + (xy 157.099463 88.412185) + (xy 157.106121 88.410755) + (xy 157.108078 88.410445) + (xy 157.118744 88.408756) + (xy 157.123127 88.406522) + (xy 157.127806 88.405002) + (xy 157.127809 88.40501) + (xy 157.132658 88.403136) + (xy 157.157458 88.396375) + (xy 157.162452 88.393309) + (xy 157.22564 88.354511) + (xy 157.229968 88.352085) + (xy 157.234171 88.349944) + (xy 157.234179 88.349938) + (xy 157.238556 88.347708) + (xy 157.241358 88.344906) + (xy 157.242195 88.344346) + (xy 157.242635 88.344076) + (xy 157.261812 88.332301) + (xy 157.343989 88.241513) + (xy 157.397382 88.131311) + (xy 157.399938 88.116123) + (xy 157.417052 88.014392) + (xy 157.417698 88.010552) + (xy 157.417827 88) + (xy 157.400467 87.878781) + (xy 157.349783 87.767307) + (xy 157.33513 87.750302) + (xy 157.333639 87.747375) + (xy 157.327831 87.741567) + (xy 157.324677 87.73817) + (xy 157.284522 87.691568) + (xy 157.269849 87.674539) + (xy 157.249672 87.661461) + (xy 157.246086 87.658559) + (xy 157.246012 87.658661) + (xy 157.242037 87.655773) + (xy 157.238556 87.652292) + (xy 157.227274 87.646543) + (xy 157.221667 87.643309) + (xy 157.170824 87.610354) + (xy 157.170821 87.610353) + (xy 157.167091 87.607935) + (xy 157.162832 87.606661) + (xy 157.162829 87.60666) + (xy 157.144276 87.601112) + (xy 157.13477 87.598269) + (xy 157.124308 87.594079) + (xy 157.118744 87.591244) + (xy 157.106998 87.589384) + (xy 157.098882 87.587536) + (xy 157.049769 87.572848) + (xy 157.005427 87.572577) + (xy 156.996034 87.571809) + (xy 156.990788 87.570978) + (xy 156.990787 87.570978) + (xy 156.985931 87.570209) + (xy 156.977304 87.571576) + (xy 156.967151 87.572344) + (xy 156.948983 87.572233) + (xy 156.93177 87.572127) + (xy 156.931768 87.572127) + (xy 156.927316 87.5721) + (xy 156.925624 87.572584) + (xy 156.880321 87.560699) + (xy 156.856185 87.519398) + (xy 156.861861 87.483664) + (xy 156.877202 87.452) + (xy 156.911451 87.381311) + (xy 156.912686 87.373974) + (xy 156.928508 87.279921) + (xy 156.931767 87.260552) + (xy 156.931896 87.25) + (xy 156.914536 87.128781) + (xy 156.863852 87.017307) + (xy 156.865403 87.016602) + (xy 156.858076 86.975472) + (xy 156.885443 86.936239) + (xy 156.92026 86.926402) + (xy 156.966 86.927241) + (xy 156.974614 86.927999) + (xy 156.981071 86.929022) + (xy 156.981075 86.929022) + (xy 156.985931 86.929791) + (xy 156.992878 86.92869) + (xy 157.003784 86.927933) + (xy 157.039314 86.928584) + (xy 157.099463 86.912185) + (xy 157.106121 86.910755) + (xy 157.107631 86.910516) + (xy 157.118744 86.908756) + (xy 157.123127 86.906522) + (xy 157.127806 86.905002) + (xy 157.127809 86.90501) + (xy 157.132658 86.903136) + (xy 157.157458 86.896375) + (xy 157.188688 86.8772) + (xy 157.22564 86.854511) + (xy 157.229968 86.852085) + (xy 157.234171 86.849944) + (xy 157.234179 86.849938) + (xy 157.238556 86.847708) + (xy 157.241358 86.844906) + (xy 157.242195 86.844346) + (xy 157.261812 86.832301) + (xy 157.343989 86.741513) + (xy 157.397382 86.631311) + (xy 157.398851 86.622584) + (xy 157.414799 86.527784) + (xy 157.417698 86.510552) + (xy 157.417827 86.5) + (xy 157.400467 86.378781) + (xy 157.349783 86.267307) + (xy 157.33513 86.250302) + (xy 157.333639 86.247375) + (xy 157.327831 86.241567) + (xy 157.324677 86.23817) + (xy 157.279093 86.185267) + (xy 157.269849 86.174539) + (xy 157.249672 86.161461) + (xy 157.246086 86.158559) + (xy 157.246012 86.158661) + (xy 157.242037 86.155773) + (xy 157.238556 86.152292) + (xy 157.227274 86.146543) + (xy 157.221667 86.143309) + (xy 157.215717 86.139452) + (xy 157.20158 86.130289) + (xy 157.170824 86.110354) + (xy 157.170821 86.110353) + (xy 157.167091 86.107935) + (xy 157.162832 86.106661) + (xy 157.162829 86.10666) + (xy 157.144276 86.101112) + (xy 157.13477 86.098269) + (xy 157.124308 86.094079) + (xy 157.118744 86.091244) + (xy 157.106998 86.089384) + (xy 157.098882 86.087536) + (xy 157.049769 86.072848) + (xy 157.005427 86.072577) + (xy 156.996034 86.071809) + (xy 156.990788 86.070978) + (xy 156.990787 86.070978) + (xy 156.985931 86.070209) + (xy 156.977304 86.071576) + (xy 156.967151 86.072344) + (xy 156.948983 86.072233) + (xy 156.93177 86.072127) + (xy 156.931768 86.072127) + (xy 156.927316 86.0721) + (xy 156.925624 86.072584) + (xy 156.880321 86.060699) + (xy 156.856185 86.019398) + (xy 156.861861 85.983664) + (xy 156.885775 85.934306) + (xy 156.911451 85.881311) + (xy 156.91265 85.874189) + (xy 156.931367 85.762928) + (xy 156.931767 85.760552) + (xy 156.931896 85.75) + (xy 156.914536 85.628781) + (xy 156.863852 85.517307) + (xy 156.849199 85.500302) + (xy 156.847708 85.497375) + (xy 156.8419 85.491567) + (xy 156.838746 85.48817) + (xy 156.803542 85.447314) + (xy 156.783918 85.424539) + (xy 156.763741 85.411461) + (xy 156.760155 85.408559) + (xy 156.760081 85.408661) + (xy 156.756106 85.405773) + (xy 156.752625 85.402292) + (xy 156.741343 85.396543) + (xy 156.735736 85.393309) + (xy 156.727711 85.388107) + (xy 156.71497 85.379849) + (xy 156.684893 85.360354) + (xy 156.68489 85.360353) + (xy 156.68116 85.357935) + (xy 156.676901 85.356661) + (xy 156.676898 85.35666) + (xy 156.654429 85.349941) + (xy 156.648839 85.348269) + (xy 156.638377 85.344079) + (xy 156.632813 85.341244) + (xy 156.621067 85.339384) + (xy 156.612951 85.337536) + (xy 156.563838 85.322848) + (xy 156.519496 85.322577) + (xy 156.510103 85.321809) + (xy 156.504857 85.320978) + (xy 156.504856 85.320978) + (xy 156.5 85.320209) + (xy 156.491373 85.321576) + (xy 156.48122 85.322344) + (xy 156.462836 85.322231) + (xy 156.445838 85.322127) + (xy 156.445836 85.322127) + (xy 156.441385 85.3221) + (xy 156.387011 85.337641) + (xy 156.379623 85.339275) + (xy 156.367187 85.341244) + (xy 156.362804 85.343477) + (xy 156.36187 85.343781) + (xy 156.352156 85.347603) + (xy 156.35065 85.348033) + (xy 156.327929 85.354526) + (xy 156.327927 85.354527) + (xy 156.323644 85.355751) + (xy 156.262821 85.394128) + (xy 156.262819 85.394129) + (xy 156.257842 85.396959) + (xy 156.251757 85.400059) + (xy 156.251756 85.40006) + (xy 156.247375 85.402292) + (xy 156.243897 85.40577) + (xy 156.242445 85.406825) + (xy 156.239058 85.40912) + (xy 156.22008 85.421095) + (xy 156.217137 85.424427) + (xy 156.217135 85.424429) + (xy 156.157156 85.492342) + (xy 156.156032 85.493538) + (xy 156.155775 85.493892) + (xy 156.155554 85.494113) + (xy 156.155405 85.494204) + (xy 156.154504 85.495163) + (xy 156.152292 85.497375) + (xy 156.151509 85.496592) + (xy 156.150362 85.497296) + (xy 156.151721 85.498496) + (xy 156.139022 85.512874) + (xy 156.092177 85.534) + (xy 156.021214 85.534) + (xy 155.97702 85.515694) + (xy 155.969247 85.506223) + (xy 155.965508 85.500627) + (xy 155.939018 85.460982) + (xy 155.925526 85.451967) + (xy 155.89895 85.412193) + (xy 155.908282 85.365277) + (xy 155.925526 85.348033) + (xy 155.925995 85.34772) + (xy 155.939018 85.339018) + (xy 155.950322 85.3221) + (xy 155.98588 85.268885) + (xy 155.985881 85.268883) + (xy 155.9893 85.263766) + (xy 155.996369 85.22823) + (xy 156.001901 85.200419) + (xy 156.001901 85.200416) + (xy 156.0025 85.197406) + (xy 156.0025 84.952594) + (xy 155.998963 84.93481) + (xy 155.993902 84.909372) + (xy 155.9893 84.886234) + (xy 155.983883 84.878126) + (xy 155.942437 84.816099) + (xy 155.939018 84.810982) + (xy 155.925077 84.801667) + (xy 155.898501 84.761893) + (xy 155.907833 84.714977) + (xy 155.925076 84.697734) + (xy 155.933539 84.692079) + (xy 155.942077 84.683541) + (xy 155.985408 84.61869) + (xy 155.99003 84.607532) + (xy 156.001401 84.550369) + (xy 156.002 84.544285) + (xy 156.002 84.500931) + (xy 155.998359 84.492141) + (xy 155.989569 84.4885) + (xy 154.285431 84.4885) + (xy 154.276641 84.492141) + (xy 154.273 84.500931) + (xy 154.273 84.544285) + (xy 154.273599 84.550369) + (xy 154.28497 84.607532) + (xy 154.289592 84.61869) + (xy 154.332923 84.683541) + (xy 154.341461 84.692079) + (xy 154.349924 84.697734) + (xy 154.376499 84.737508) + (xy 154.367166 84.784424) + (xy 154.349923 84.801667) + (xy 154.335982 84.810982) + (xy 154.31135 84.847847) + (xy 154.305753 84.856223) + (xy 154.265979 84.882799) + (xy 154.253786 84.884) + (xy 153.745661 84.884) + (xy 153.738323 84.882751) + (xy 153.73824 84.883477) + (xy 153.731248 84.88268) + (xy 153.724607 84.880348) + (xy 153.720083 84.880851) + (xy 153.703184 84.874787) + (xy 153.702652 84.875939) + (xy 153.698612 84.874072) + (xy 153.694875 84.87165) + (xy 153.69061 84.870375) + (xy 153.690609 84.870374) + (xy 153.674959 84.865694) + (xy 153.662554 84.861984) + (xy 153.652092 84.857794) + (xy 153.646528 84.854959) + (xy 153.634782 84.853099) + (xy 153.626666 84.851251) + (xy 153.577553 84.836563) + (xy 153.533211 84.836292) + (xy 153.523818 84.835524) + (xy 153.518572 84.834693) + (xy 153.518571 84.834693) + (xy 153.513715 84.833924) + (xy 153.505088 84.835291) + (xy 153.494935 84.836059) + (xy 153.476551 84.835946) + (xy 153.459553 84.835842) + (xy 153.459551 84.835842) + (xy 153.4551 84.835815) + (xy 153.400726 84.851356) + (xy 153.393338 84.85299) + (xy 153.380902 84.854959) + (xy 153.376519 84.857192) + (xy 153.375585 84.857496) + (xy 153.36587 84.861318) + (xy 153.341644 84.868241) + (xy 153.341642 84.868242) + (xy 153.337359 84.869466) + (xy 153.33359 84.871844) + (xy 153.318022 84.881667) + (xy 153.284191 84.903013) + (xy 153.276534 84.907844) + (xy 153.271557 84.910674) + (xy 153.265472 84.913774) + (xy 153.265471 84.913775) + (xy 153.26109 84.916007) + (xy 153.257612 84.919485) + (xy 153.25616 84.92054) + (xy 153.252773 84.922835) + (xy 153.233795 84.93481) + (xy 153.230852 84.938142) + (xy 153.23085 84.938144) + (xy 153.170871 85.006057) + (xy 153.169747 85.007253) + (xy 153.169489 85.007608) + (xy 153.169269 85.007828) + (xy 153.16912 85.007919) + (xy 153.168219 85.008878) + (xy 153.166007 85.01109) + (xy 153.165224 85.010307) + (xy 153.164077 85.011011) + (xy 153.165436 85.012211) + (xy 153.152733 85.026594) + (xy 153.150842 85.030622) + (xy 153.102583 85.13341) + (xy 153.102582 85.133413) + (xy 153.100691 85.137441) + (xy 153.081851 85.258439) + (xy 151.278554 85.258439) + (xy 149.415628 83.395513) + (xy 149.411323 83.389439) + (xy 149.41075 83.389895) + (xy 149.406369 83.384387) + (xy 149.403322 83.378042) + (xy 149.380239 83.359582) + (xy 149.37508 83.354965) + (xy 149.369831 83.349716) + (xy 149.363825 83.345941) + (xy 149.358052 83.341838) + (xy 149.335267 83.323617) + (xy 149.328407 83.32204) + (xy 149.324647 83.320222) + (xy 149.320711 83.318844) + (xy 149.314752 83.315098) + (xy 149.307758 83.314307) + (xy 149.307757 83.314307) + (xy 149.285764 83.311821) + (xy 149.278787 83.310629) + (xy 149.271703 83.309) + (xy 149.264329 83.309) + (xy 149.257308 83.308604) + (xy 149.255627 83.308414) + (xy 149.228162 83.305309) + (xy 149.221517 83.30763) + (xy 149.214523 83.308414) + (xy 149.214447 83.307736) + (xy 149.206995 83.309) + (xy 148.855003 83.309) + (xy 148.810809 83.290694) + (xy 148.614306 83.094191) + (xy 148.596 83.049997) + (xy 148.596 82.126097) + (xy 148.614306 82.081903) + (xy 148.633129 82.068978) + (xy 148.709628 82.034999) + (xy 148.709629 82.034998) + (xy 148.7149 82.032657) + (xy 148.721521 82.026025) + (xy 148.767176 81.980289) + (xy 148.787935 81.959494) + (xy 148.818241 81.890944) + (xy 148.827835 81.869244) + (xy 148.827836 81.869241) + (xy 148.829735 81.864945) + (xy 148.830279 81.860278) + (xy 148.83028 81.860275) + (xy 148.832288 81.843046) + (xy 148.8325 81.84123) + (xy 148.8325 80.45877) + (xy 148.829621 80.434576) + (xy 148.827717 80.430289) + (xy 148.789999 80.345372) + (xy 148.789998 80.345371) + (xy 148.787657 80.3401) + (xy 148.714494 80.267065) + (xy 148.661845 80.243789) + (xy 148.624244 80.227165) + (xy 148.624241 80.227164) + (xy 148.619945 80.225265) + (xy 148.615278 80.224721) + (xy 148.615275 80.22472) + (xy 148.602388 80.223218) + (xy 148.59623 80.2225) + (xy 148.21377 80.2225) + (xy 148.21194 80.222718) + (xy 148.211936 80.222718) + (xy 148.205353 80.223502) + (xy 148.189576 80.225379) + (xy 148.18529 80.227283) + (xy 148.185289 80.227283) + (xy 148.100372 80.265001) + (xy 148.100371 80.265002) + (xy 148.0951 80.267343) + (xy 148.022065 80.340506) + (xy 148.00592 80.377026) + (xy 147.982165 80.430756) + (xy 147.982164 80.430759) + (xy 147.980265 80.435055) + (xy 147.979721 80.439722) + (xy 147.97972 80.439725) + (xy 147.979707 80.439838) + (xy 147.9775 80.45877) + (xy 147.9775 81.84123) + (xy 147.980379 81.865424) + (xy 147.982283 81.86971) + (xy 147.982283 81.869711) + (xy 148.019692 81.953931) + (xy 148.022343 81.9599) + (xy 148.026426 81.963976) + (xy 148.051946 81.989451) + (xy 148.095506 82.032935) + (xy 148.143564 82.054181) + (xy 148.176772 82.068863) + (xy 148.20979 82.103476) + (xy 148.214 82.126026) + (xy 148.214 83.111768) + (xy 148.21275 83.119107) + (xy 148.213477 83.11919) + (xy 148.21268 83.126181) + (xy 148.210348 83.132822) + (xy 148.211126 83.139815) + (xy 148.211126 83.139816) + (xy 148.212797 83.154827) + (xy 148.213457 83.160755) + (xy 148.213617 83.162197) + (xy 148.214 83.16911) + (xy 148.214 83.176533) + (xy 148.215581 83.183465) + (xy 148.216757 83.190418) + (xy 148.219986 83.219429) + (xy 148.223719 83.225393) + (xy 148.225094 83.229341) + (xy 148.226903 83.2331) + (xy 148.228468 83.239959) + (xy 148.232853 83.245461) + (xy 148.232853 83.245462) + (xy 148.246648 83.262773) + (xy 148.250744 83.268559) + (xy 148.252606 83.271533) + (xy 148.254596 83.274711) + (xy 148.259809 83.279924) + (xy 148.264494 83.285168) + (xy 148.282775 83.308109) + (xy 148.289114 83.311167) + (xy 148.294615 83.315558) + (xy 148.294189 83.316092) + (xy 148.300353 83.320468) + (xy 148.584372 83.604487) + (xy 148.588677 83.610561) + (xy 148.58925 83.610105) + (xy 148.593631 83.615613) + (xy 148.596678 83.621958) + (xy 148.610723 83.63319) + (xy 148.619761 83.640418) + (xy 148.62492 83.645035) + (xy 148.630169 83.650284) + (xy 148.634557 83.653042) + (xy 148.636172 83.654057) + (xy 148.641948 83.658162) + (xy 148.664733 83.676383) + (xy 148.671593 83.67796) + (xy 148.675353 83.679778) + (xy 148.679288 83.681156) + (xy 148.685248 83.684902) + (xy 148.692243 83.685693) + (xy 148.697438 83.687512) + (xy 148.733099 83.719396) + (xy 148.73577 83.767156) + (xy 148.703886 83.802817) + (xy 148.676782 83.809) + (xy 147.605003 83.809) + (xy 147.560809 83.790694) + (xy 147.344306 83.574191) + (xy 147.326 83.529997) + (xy 147.326 82.126097) + (xy 147.344306 82.081903) + (xy 147.363129 82.068978) + (xy 147.439628 82.034999) + (xy 147.439629 82.034998) + (xy 147.4449 82.032657) + (xy 147.451521 82.026025) + (xy 147.497176 81.980289) + (xy 147.517935 81.959494) + (xy 147.548241 81.890944) + (xy 147.557835 81.869244) + (xy 147.557836 81.869241) + (xy 147.559735 81.864945) + (xy 147.560279 81.860278) + (xy 147.56028 81.860275) + (xy 147.562288 81.843046) + (xy 147.5625 81.84123) + (xy 147.5625 80.45877) + (xy 147.559621 80.434576) + (xy 147.557717 80.430289) + (xy 147.519999 80.345372) + (xy 147.519998 80.345371) + (xy 147.517657 80.3401) + (xy 147.444494 80.267065) + (xy 147.391845 80.243789) + (xy 147.354244 80.227165) + (xy 147.354241 80.227164) + (xy 147.349945 80.225265) + (xy 147.345278 80.224721) + (xy 147.345275 80.22472) + (xy 147.332388 80.223218) + (xy 147.32623 80.2225) + (xy 146.94377 80.2225) + (xy 146.94194 80.222718) + (xy 146.941936 80.222718) + (xy 146.935353 80.223502) + (xy 146.919576 80.225379) + (xy 146.91529 80.227283) + (xy 146.915289 80.227283) + (xy 146.830372 80.265001) + (xy 146.830371 80.265002) + (xy 146.8251 80.267343) + (xy 146.752065 80.340506) + (xy 146.73592 80.377026) + (xy 146.712165 80.430756) + (xy 146.712164 80.430759) + (xy 146.710265 80.435055) + (xy 146.709721 80.439722) + (xy 146.70972 80.439725) + (xy 146.709707 80.439838) + (xy 146.7075 80.45877) + (xy 146.7075 81.84123) + (xy 146.710379 81.865424) + (xy 146.712283 81.86971) + (xy 146.712283 81.869711) + (xy 146.749692 81.953931) + (xy 146.752343 81.9599) + (xy 146.756426 81.963976) + (xy 146.781946 81.989451) + (xy 146.825506 82.032935) + (xy 146.873564 82.054181) + (xy 146.906772 82.068863) + (xy 146.93979 82.103476) + (xy 146.944 82.126026) + (xy 146.944 83.591768) + (xy 146.94275 83.599107) + (xy 146.943477 83.59919) + (xy 146.94268 83.606181) + (xy 146.940348 83.612822) + (xy 146.941126 83.619815) + (xy 146.941126 83.619816) + (xy 146.943617 83.642197) + (xy 146.944 83.64911) + (xy 146.944 83.656533) + (xy 146.945581 83.663465) + (xy 146.946757 83.670418) + (xy 146.949986 83.699429) + (xy 146.953719 83.705393) + (xy 146.955094 83.709341) + (xy 146.956903 83.7131) + (xy 146.958468 83.719959) + (xy 146.962853 83.725461) + (xy 146.962853 83.725462) + (xy 146.976648 83.742773) + (xy 146.980744 83.748559) + (xy 146.98272 83.751715) + (xy 146.984596 83.754711) + (xy 146.989809 83.759924) + (xy 146.994494 83.765168) + (xy 147.004127 83.777256) + (xy 147.012775 83.788109) + (xy 147.019114 83.791167) + (xy 147.024615 83.795558) + (xy 147.024189 83.796092) + (xy 147.030353 83.800468) + (xy 147.334372 84.104487) + (xy 147.338677 84.110561) + (xy 147.33925 84.110105) + (xy 147.343631 84.115613) + (xy 147.346678 84.121958) + (xy 147.352175 84.126354) + (xy 147.369761 84.140418) + (xy 147.37492 84.145035) + (xy 147.380169 84.150284) + (xy 147.386172 84.154057) + (xy 147.391948 84.158162) + (xy 147.414733 84.176383) + (xy 147.421593 84.17796) + (xy 147.425353 84.179778) + (xy 147.429288 84.181156) + (xy 147.435248 84.184902) + (xy 147.442243 84.185693) + (xy 147.447438 84.187512) + (xy 147.483099 84.219396) + (xy 147.48577 84.267156) + (xy 147.453886 84.302817) + (xy 147.426782 84.309) + (xy 146.355003 84.309) + (xy 146.310809 84.290694) + (xy 146.074306 84.054191) + (xy 146.056 84.009997) + (xy 146.056 82.126097) + (xy 146.074306 82.081903) + (xy 146.093129 82.068978) + (xy 146.169628 82.034999) + (xy 146.169629 82.034998) + (xy 146.1749 82.032657) + (xy 146.181521 82.026025) + (xy 146.227176 81.980289) + (xy 146.247935 81.959494) + (xy 146.278241 81.890944) + (xy 146.287835 81.869244) + (xy 146.287836 81.869241) + (xy 146.289735 81.864945) + (xy 146.290279 81.860278) + (xy 146.29028 81.860275) + (xy 146.292288 81.843046) + (xy 146.2925 81.84123) + (xy 146.2925 80.45877) + (xy 146.289621 80.434576) + (xy 146.287717 80.430289) + (xy 146.249999 80.345372) + (xy 146.249998 80.345371) + (xy 146.247657 80.3401) + (xy 146.174494 80.267065) + (xy 146.121845 80.243789) + (xy 146.084244 80.227165) + (xy 146.084241 80.227164) + (xy 146.079945 80.225265) + (xy 146.075278 80.224721) + (xy 146.075275 80.22472) + (xy 146.062388 80.223218) + (xy 146.05623 80.2225) + (xy 145.67377 80.2225) + (xy 145.67194 80.222718) + (xy 145.671936 80.222718) + (xy 145.665353 80.223502) + (xy 145.649576 80.225379) + (xy 145.64529 80.227283) + (xy 145.645289 80.227283) + (xy 145.560372 80.265001) + (xy 145.560371 80.265002) + (xy 145.5551 80.267343) + (xy 145.482065 80.340506) + (xy 145.46592 80.377026) + (xy 145.442165 80.430756) + (xy 145.442164 80.430759) + (xy 145.440265 80.435055) + (xy 145.439721 80.439722) + (xy 145.43972 80.439725) + (xy 145.439707 80.439838) + (xy 145.4375 80.45877) + (xy 145.4375 81.84123) + (xy 145.440379 81.865424) + (xy 145.442283 81.86971) + (xy 145.442283 81.869711) + (xy 145.479692 81.953931) + (xy 145.482343 81.9599) + (xy 145.486426 81.963976) + (xy 145.511946 81.989451) + (xy 145.555506 82.032935) + (xy 145.603564 82.054181) + (xy 145.636772 82.068863) + (xy 145.66979 82.103476) + (xy 145.674 82.126026) + (xy 145.674 84.071768) + (xy 145.67275 84.079107) + (xy 145.673477 84.07919) + (xy 145.67268 84.086181) + (xy 145.670348 84.092822) + (xy 145.671126 84.099815) + (xy 145.671126 84.099816) + (xy 145.673617 84.122197) + (xy 145.674 84.12911) + (xy 145.674 84.136533) + (xy 145.675581 84.143465) + (xy 145.676757 84.150418) + (xy 145.679986 84.179429) + (xy 145.683719 84.185393) + (xy 145.685094 84.189341) + (xy 145.686903 84.1931) + (xy 145.688468 84.199959) + (xy 145.692853 84.205461) + (xy 145.692853 84.205462) + (xy 145.706648 84.222773) + (xy 145.710744 84.228559) + (xy 145.712599 84.231521) + (xy 145.714596 84.234711) + (xy 145.719808 84.239923) + (xy 145.724493 84.245167) + (xy 145.733122 84.255995) + (xy 145.742775 84.268109) + (xy 145.749114 84.271167) + (xy 145.754615 84.275558) + (xy 145.754189 84.276092) + (xy 145.760353 84.280468) + (xy 146.084371 84.604485) + (xy 146.088678 84.61056) + (xy 146.08925 84.610105) + (xy 146.093631 84.615613) + (xy 146.096678 84.621958) + (xy 146.108175 84.631152) + (xy 146.119766 84.640422) + (xy 146.124925 84.645039) + (xy 146.130169 84.650283) + (xy 146.133132 84.652145) + (xy 146.133139 84.652151) + (xy 146.136174 84.654058) + (xy 146.14195 84.658163) + (xy 146.164733 84.676383) + (xy 146.171591 84.67796) + (xy 146.175356 84.67978) + (xy 146.179292 84.681158) + (xy 146.185248 84.684902) + (xy 146.192238 84.685692) + (xy 146.197438 84.687513) + (xy 146.233098 84.719397) + (xy 146.235767 84.767158) + (xy 146.203883 84.802818) + (xy 146.17678 84.809) + (xy 145.043231 84.809) + (xy 145.035892 84.80775) + (xy 145.035809 84.808477) + (xy 145.028818 84.80768) + (xy 145.022177 84.805348) + (xy 145.015185 84.806126) + (xy 145.015183 84.806126) + (xy 144.995677 84.808297) + (xy 144.99292 84.808604) + (xy 144.992803 84.808617) + (xy 144.98589 84.809) + (xy 144.978467 84.809) + (xy 144.975043 84.809781) + (xy 144.971553 84.810577) + (xy 144.964567 84.811759) + (xy 144.957208 84.812578) + (xy 144.935571 84.814986) + (xy 144.929608 84.818719) + (xy 144.925662 84.820093) + (xy 144.921902 84.821903) + (xy 144.915041 84.823468) + (xy 144.909539 84.827853) + (xy 144.909538 84.827853) + (xy 144.909337 84.828013) + (xy 144.89223 84.841646) + (xy 144.892221 84.841653) + (xy 144.886441 84.845746) + (xy 144.880289 84.849597) + (xy 144.875081 84.854805) + (xy 144.869837 84.85949) + (xy 144.856179 84.870374) + (xy 144.846891 84.877775) + (xy 144.843833 84.884114) + (xy 144.839442 84.889615) + (xy 144.838909 84.889189) + (xy 144.834531 84.895355) + (xy 144.490514 85.239372) + (xy 144.48444 85.243677) + (xy 144.484896 85.244251) + (xy 144.479391 85.24863) + (xy 144.473042 85.251678) + (xy 144.460628 85.267201) + (xy 144.454582 85.274761) + (xy 144.449965 85.27992) + (xy 144.444716 85.285169) + (xy 144.442847 85.288143) + (xy 144.440943 85.291172) + (xy 144.436838 85.296948) + (xy 144.418617 85.319733) + (xy 144.41704 85.326593) + (xy 144.415222 85.330353) + (xy 144.413844 85.334289) + (xy 144.410098 85.340248) + (xy 144.409307 85.347242) + (xy 144.409307 85.347243) + (xy 144.406821 85.369236) + (xy 144.405629 85.376213) + (xy 144.404 85.383297) + (xy 144.404 85.390671) + (xy 144.403604 85.397692) + (xy 144.400309 85.426838) + (xy 144.40263 85.433483) + (xy 144.403414 85.440477) + (xy 144.402736 85.440553) + (xy 144.404 85.448005) + (xy 144.404 87.373903) + (xy 144.385694 87.418097) + (xy 144.366871 87.431022) + (xy 144.290372 87.465001) + (xy 144.290371 87.465002) + (xy 144.2851 87.467343) + (xy 144.281024 87.471426) + (xy 144.253881 87.498617) + (xy 144.212065 87.540506) + (xy 144.192557 87.584631) + (xy 144.172165 87.630756) + (xy 144.172164 87.630759) + (xy 144.170265 87.635055) + (xy 144.169721 87.639722) + (xy 144.16972 87.639725) + (xy 144.168401 87.651038) + (xy 144.1675 87.65877) + (xy 144.1675 89.04123) + (xy 144.170379 89.065424) + (xy 144.172283 89.06971) + (xy 144.172283 89.069711) + (xy 144.209565 89.153645) + (xy 144.212343 89.1599) + (xy 144.216426 89.163976) + (xy 144.227621 89.175151) + (xy 144.285506 89.232935) + (xy 144.303041 89.240687) + (xy 144.375756 89.272835) + (xy 144.375759 89.272836) + (xy 144.380055 89.274735) + (xy 144.384722 89.275279) + (xy 144.384725 89.27528) + (xy 144.396038 89.276599) + (xy 144.40377 89.2775) + (xy 144.78623 89.2775) + (xy 144.78806 89.277282) + (xy 144.788064 89.277282) + (xy 144.794647 89.276498) + (xy 144.810424 89.274621) + (xy 144.814711 89.272717) + (xy 144.899628 89.234999) + (xy 144.899629 89.234998) + (xy 144.9049 89.232657) + (xy 144.977935 89.159494) + (xy 145.003317 89.102081) + (xy 145.017835 89.069244) + (xy 145.017836 89.069241) + (xy 145.019735 89.064945) + (xy 145.020279 89.060278) + (xy 145.02028 89.060275) + (xy 145.022288 89.043046) + (xy 145.0225 89.04123) + (xy 145.0225 87.65877) + (xy 145.022233 87.656521) + (xy 145.021025 87.646375) + (xy 145.019621 87.634576) + (xy 145.008862 87.610354) + (xy 144.979999 87.545372) + (xy 144.979998 87.545371) + (xy 144.977657 87.5401) + (xy 144.963039 87.525507) + (xy 144.919767 87.482311) + (xy 144.904494 87.467065) + (xy 144.848884 87.44248) + (xy 144.823228 87.431137) + (xy 144.79021 87.396524) + (xy 144.786 87.373974) + (xy 144.786 85.510003) + (xy 144.804306 85.465809) + (xy 145.060809 85.209306) + (xy 145.105003 85.191) + (xy 146.176849 85.191) + (xy 146.221043 85.209306) + (xy 146.239349 85.2535) + (xy 146.221043 85.297694) + (xy 146.1974 85.312525) + (xy 146.192569 85.314207) + (xy 146.185571 85.314986) + (xy 146.179604 85.318721) + (xy 146.175659 85.320095) + (xy 146.171903 85.321902) + (xy 146.165041 85.323468) + (xy 146.159539 85.327853) + (xy 146.159538 85.327853) + (xy 146.142227 85.341648) + (xy 146.136441 85.345744) + (xy 146.133285 85.34772) + (xy 146.130289 85.349596) + (xy 146.125076 85.354809) + (xy 146.119832 85.359494) + (xy 146.107607 85.369236) + (xy 146.096891 85.377775) + (xy 146.093833 85.384114) + (xy 146.089442 85.389615) + (xy 146.088908 85.389189) + (xy 146.084532 85.395353) + (xy 145.760513 85.719372) + (xy 145.754439 85.723677) + (xy 145.754895 85.72425) + (xy 145.749387 85.728631) + (xy 145.743042 85.731678) + (xy 145.738646 85.737175) + (xy 145.724582 85.754761) + (xy 145.719965 85.75992) + (xy 145.714716 85.765169) + (xy 145.712847 85.768143) + (xy 145.710943 85.771172) + (xy 145.706838 85.776948) + (xy 145.688617 85.799733) + (xy 145.68704 85.806593) + (xy 145.685222 85.810353) + (xy 145.683844 85.814289) + (xy 145.680098 85.820248) + (xy 145.679307 85.827242) + (xy 145.679307 85.827243) + (xy 145.676821 85.849236) + (xy 145.675629 85.856213) + (xy 145.674 85.863297) + (xy 145.674 85.870671) + (xy 145.673604 85.877692) + (xy 145.670309 85.906838) + (xy 145.67263 85.913483) + (xy 145.673414 85.920477) + (xy 145.672736 85.920553) + (xy 145.674 85.928005) + (xy 145.674 87.373903) + (xy 145.655694 87.418097) + (xy 145.636871 87.431022) + (xy 145.560372 87.465001) + (xy 145.560371 87.465002) + (xy 145.5551 87.467343) + (xy 145.551024 87.471426) + (xy 145.523881 87.498617) + (xy 145.482065 87.540506) + (xy 145.462557 87.584631) + (xy 145.442165 87.630756) + (xy 145.442164 87.630759) + (xy 145.440265 87.635055) + (xy 145.439721 87.639722) + (xy 145.43972 87.639725) + (xy 145.438401 87.651038) + (xy 145.4375 87.65877) + (xy 145.4375 89.04123) + (xy 145.440379 89.065424) + (xy 145.442283 89.06971) + (xy 145.442283 89.069711) + (xy 145.479565 89.153645) + (xy 145.482343 89.1599) + (xy 145.486426 89.163976) + (xy 145.497621 89.175151) + (xy 145.555506 89.232935) + (xy 145.573041 89.240687) + (xy 145.645756 89.272835) + (xy 145.645759 89.272836) + (xy 145.650055 89.274735) + (xy 145.654722 89.275279) + (xy 145.654725 89.27528) + (xy 145.666038 89.276599) + (xy 145.67377 89.2775) + (xy 146.05623 89.2775) + (xy 146.05806 89.277282) + (xy 146.058064 89.277282) + (xy 146.064647 89.276498) + (xy 146.080424 89.274621) + (xy 146.084711 89.272717) + (xy 146.169628 89.234999) + (xy 146.169629 89.234998) + (xy 146.1749 89.232657) + (xy 146.247935 89.159494) + (xy 146.273317 89.102081) + (xy 146.287835 89.069244) + (xy 146.287836 89.069241) + (xy 146.289735 89.064945) + (xy 146.290279 89.060278) + (xy 146.29028 89.060275) + (xy 146.292288 89.043046) + (xy 146.2925 89.04123) + (xy 146.2925 87.65877) + (xy 146.292233 87.656521) + (xy 146.291025 87.646375) + (xy 146.289621 87.634576) + (xy 146.278862 87.610354) + (xy 146.249999 87.545372) + (xy 146.249998 87.545371) + (xy 146.247657 87.5401) + (xy 146.233039 87.525507) + (xy 146.189767 87.482311) + (xy 146.174494 87.467065) + (xy 146.118884 87.44248) + (xy 146.093228 87.431137) + (xy 146.06021 87.396524) + (xy 146.056 87.373974) + (xy 146.056 85.990003) + (xy 146.074306 85.945809) + (xy 146.310808 85.709306) + (xy 146.355002 85.691) + (xy 147.426844 85.691) + (xy 147.471038 85.709306) + (xy 147.489344 85.7535) + (xy 147.471038 85.797694) + (xy 147.447398 85.812523) + (xy 147.442562 85.814207) + (xy 147.435571 85.814985) + (xy 147.429608 85.818718) + (xy 147.425675 85.820088) + (xy 147.421905 85.821902) + (xy 147.415041 85.823468) + (xy 147.409535 85.827855) + (xy 147.409536 85.827855) + (xy 147.392229 85.841646) + (xy 147.386446 85.84574) + (xy 147.38329 85.847716) + (xy 147.383284 85.847721) + (xy 147.380289 85.849596) + (xy 147.375076 85.854809) + (xy 147.369832 85.859494) + (xy 147.346891 85.877775) + (xy 147.343833 85.884114) + (xy 147.339442 85.889615) + (xy 147.338908 85.889189) + (xy 147.334532 85.895353) + (xy 147.030513 86.199372) + (xy 147.024439 86.203677) + (xy 147.024895 86.20425) + (xy 147.019387 86.208631) + (xy 147.013042 86.211678) + (xy 147.008646 86.217175) + (xy 146.994582 86.234761) + (xy 146.989965 86.23992) + (xy 146.984716 86.245169) + (xy 146.982531 86.248646) + (xy 146.980943 86.251172) + (xy 146.976838 86.256948) + (xy 146.958617 86.279733) + (xy 146.95704 86.286593) + (xy 146.955222 86.290353) + (xy 146.953844 86.294289) + (xy 146.950098 86.300248) + (xy 146.949307 86.307242) + (xy 146.949307 86.307243) + (xy 146.946821 86.329236) + (xy 146.945629 86.336213) + (xy 146.944 86.343297) + (xy 146.944 86.350671) + (xy 146.943604 86.357692) + (xy 146.940309 86.386838) + (xy 146.94263 86.393483) + (xy 146.943414 86.400477) + (xy 146.942736 86.400553) + (xy 146.944 86.408005) + (xy 146.944 87.373903) + (xy 146.925694 87.418097) + (xy 146.906871 87.431022) + (xy 146.830372 87.465001) + (xy 146.830371 87.465002) + (xy 146.8251 87.467343) + (xy 146.821024 87.471426) + (xy 146.793881 87.498617) + (xy 146.752065 87.540506) + (xy 146.732557 87.584631) + (xy 146.712165 87.630756) + (xy 146.712164 87.630759) + (xy 146.710265 87.635055) + (xy 146.709721 87.639722) + (xy 146.70972 87.639725) + (xy 146.708401 87.651038) + (xy 146.7075 87.65877) + (xy 146.7075 89.04123) + (xy 146.710379 89.065424) + (xy 146.712283 89.06971) + (xy 146.712283 89.069711) + (xy 146.749565 89.153645) + (xy 146.752343 89.1599) + (xy 146.756426 89.163976) + (xy 146.767621 89.175151) + (xy 146.825506 89.232935) + (xy 146.843041 89.240687) + (xy 146.915756 89.272835) + (xy 146.915759 89.272836) + (xy 146.920055 89.274735) + (xy 146.924722 89.275279) + (xy 146.924725 89.27528) + (xy 146.936038 89.276599) + (xy 146.94377 89.2775) + (xy 147.32623 89.2775) + (xy 147.32806 89.277282) + (xy 147.328064 89.277282) + (xy 147.334647 89.276498) + (xy 147.350424 89.274621) + (xy 147.354711 89.272717) + (xy 147.439628 89.234999) + (xy 147.439629 89.234998) + (xy 147.4449 89.232657) + (xy 147.517935 89.159494) + (xy 147.543317 89.102081) + (xy 147.557835 89.069244) + (xy 147.557836 89.069241) + (xy 147.559735 89.064945) + (xy 147.560279 89.060278) + (xy 147.56028 89.060275) + (xy 147.562288 89.043046) + (xy 147.5625 89.04123) + (xy 147.5625 87.65877) + (xy 147.562233 87.656521) + (xy 147.561025 87.646375) + (xy 147.559621 87.634576) + (xy 147.548862 87.610354) + (xy 147.519999 87.545372) + (xy 147.519998 87.545371) + (xy 147.517657 87.5401) + (xy 147.503039 87.525507) + (xy 147.459767 87.482311) + (xy 147.444494 87.467065) + (xy 147.388884 87.44248) + (xy 147.363228 87.431137) + (xy 147.33021 87.396524) + (xy 147.326 87.373974) + (xy 147.326 86.470003) + (xy 147.344306 86.425809) + (xy 147.560809 86.209306) + (xy 147.605003 86.191) + (xy 149.144997 86.191) + (xy 149.189191 86.209306) + (xy 149.790694 86.810808) + (xy 149.809 86.855002) + (xy 149.809 93.470881) + (xy 149.790694 93.515075) + (xy 149.7465 93.533381) + (xy 149.711777 93.522847) + (xy 149.654012 93.484249) + (xy 149.647976 93.483048) + (xy 149.647975 93.483048) + (xy 149.597957 93.473099) + (xy 149.597954 93.473099) + (xy 149.594944 93.4725) + (xy 149.405056 93.4725) + (xy 149.402046 93.473099) + (xy 149.402043 93.473099) + (xy 149.352025 93.483048) + (xy 149.352024 93.483048) + (xy 149.345988 93.484249) + (xy 149.279006 93.529006) + (xy 149.234249 93.595988) + (xy 149.233048 93.602024) + (xy 149.233048 93.602025) + (xy 149.223994 93.647546) + (xy 149.2225 93.655056) + (xy 149.2225 95.019944) + (xy 149.223099 95.022954) + (xy 149.223099 95.022957) + (xy 149.231532 95.065353) + (xy 149.234249 95.079012) + (xy 149.279006 95.145994) + (xy 149.284123 95.149413) + (xy 149.288476 95.153766) + (xy 149.286648 95.155594) + (xy 149.307799 95.187248) + (xy 149.309 95.199442) + (xy 149.309 95.830525) + (xy 149.290694 95.874719) + (xy 149.279855 95.88338) + (xy 149.26281 95.894135) + (xy 149.257842 95.896959) + (xy 149.251757 95.900059) + (xy 149.251756 95.90006) + (xy 149.247375 95.902292) + (xy 149.243897 95.90577) + (xy 149.242445 95.906825) + (xy 149.239058 95.90912) + (xy 149.22008 95.921095) + (xy 149.217137 95.924427) + (xy 149.217135 95.924429) + (xy 149.157156 95.992342) + (xy 149.156032 95.993538) + (xy 149.155775 95.993892) + (xy 149.155554 95.994113) + (xy 149.155405 95.994204) + (xy 149.154504 95.995163) + (xy 149.152292 95.997375) + (xy 149.151509 95.996592) + (xy 149.150362 95.997296) + (xy 149.151721 95.998496) + (xy 149.139018 96.012879) + (xy 149.128114 96.036104) + (xy 149.088868 96.119695) + (xy 149.088867 96.119698) + (xy 149.086976 96.123726) + (xy 149.068136 96.244724) + (xy 149.068713 96.249137) + (xy 149.068713 96.249138) + (xy 149.082655 96.355751) + (xy 149.084014 96.366145) + (xy 149.091185 96.382443) + (xy 149.091244 96.382813) + (xy 149.092007 96.384311) + (xy 149.094031 96.38891) + (xy 149.094031 96.388911) + (xy 149.118473 96.444458) + (xy 149.133333 96.47823) + (xy 149.147273 96.494814) + (xy 149.148029 96.495713) + (xy 149.150157 96.498434) + (xy 149.152292 96.502625) + (xy 149.160081 96.510414) + (xy 149.16373 96.514392) + (xy 149.200894 96.558604) + (xy 149.212127 96.571968) + (xy 149.235399 96.587459) + (xy 149.241836 96.592733) + (xy 149.243899 96.594232) + (xy 149.247375 96.597708) + (xy 149.251753 96.599939) + (xy 149.251756 96.599941) + (xy 149.25873 96.603494) + (xy 149.264977 96.607148) + (xy 149.314064 96.639823) + (xy 149.318313 96.641151) + (xy 149.318314 96.641151) + (xy 149.350296 96.651143) + (xy 149.360027 96.655109) + (xy 149.362799 96.656521) + (xy 149.362803 96.656522) + (xy 149.367187 96.658756) + (xy 149.377852 96.660445) + (xy 149.386713 96.66252) + (xy 149.426696 96.675012) + (xy 149.426699 96.675012) + (xy 149.430948 96.67634) + (xy 149.480069 96.677241) + (xy 149.488683 96.677999) + (xy 149.49514 96.679022) + (xy 149.495144 96.679022) + (xy 149.5 96.679791) + (xy 149.506947 96.67869) + (xy 149.517853 96.677933) + (xy 149.553383 96.678584) + (xy 149.613532 96.662185) + (xy 149.62019 96.660755) + (xy 149.622147 96.660445) + (xy 149.632813 96.658756) + (xy 149.637196 96.656522) + (xy 149.641875 96.655002) + (xy 149.641878 96.65501) + (xy 149.646727 96.653136) + (xy 149.671527 96.646375) + (xy 149.680706 96.640739) + (xy 149.713409 96.6315) + (xy 153.783939 96.6315) + (xy 153.810635 96.63754) + (xy 153.814064 96.639823) + (xy 153.818316 96.641151) + (xy 153.818317 96.641152) + (xy 153.850296 96.651143) + (xy 153.860027 96.655109) + (xy 153.862799 96.656521) + (xy 153.862803 96.656522) + (xy 153.867187 96.658756) + (xy 153.877852 96.660445) + (xy 153.886713 96.66252) + (xy 153.926696 96.675012) + (xy 153.926699 96.675012) + (xy 153.930948 96.67634) + (xy 153.980069 96.677241) + (xy 153.988683 96.677999) + (xy 153.99514 96.679022) + (xy 153.995144 96.679022) + (xy 154 96.679791) + (xy 154.006947 96.67869) + (xy 154.017853 96.677933) + (xy 154.053383 96.678584) + (xy 154.113532 96.662185) + (xy 154.12019 96.660755) + (xy 154.122147 96.660445) + (xy 154.132813 96.658756) + (xy 154.137196 96.656522) + (xy 154.141875 96.655002) + (xy 154.141878 96.65501) + (xy 154.146727 96.653136) + (xy 154.171527 96.646375) + (xy 154.180706 96.640739) + (xy 154.213409 96.6315) + (xy 159.783939 96.6315) + (xy 159.810635 96.63754) + (xy 159.814064 96.639823) + (xy 159.818316 96.641151) + (xy 159.818317 96.641152) + (xy 159.850296 96.651143) + (xy 159.860027 96.655109) + (xy 159.862799 96.656521) + (xy 159.862803 96.656522) + (xy 159.867187 96.658756) + (xy 159.877852 96.660445) + (xy 159.886713 96.66252) + (xy 159.926696 96.675012) + (xy 159.926699 96.675012) + (xy 159.930948 96.67634) + (xy 159.980069 96.677241) + (xy 159.988683 96.677999) + (xy 159.99514 96.679022) + (xy 159.995144 96.679022) + (xy 160 96.679791) + (xy 160.006947 96.67869) + (xy 160.017853 96.677933) + (xy 160.053383 96.678584) + (xy 160.113532 96.662185) + (xy 160.12019 96.660755) + (xy 160.122147 96.660445) + (xy 160.132813 96.658756) + (xy 160.137196 96.656522) + (xy 160.141875 96.655002) + (xy 160.141878 96.65501) + (xy 160.146727 96.653136) + (xy 160.171527 96.646375) + (xy 160.180706 96.640739) + (xy 160.213409 96.6315) + (xy 162.283939 96.6315) + (xy 162.310635 96.63754) + (xy 162.314064 96.639823) + (xy 162.318316 96.641151) + (xy 162.318317 96.641152) + (xy 162.350296 96.651143) + (xy 162.360027 96.655109) + (xy 162.362799 96.656521) + (xy 162.362803 96.656522) + (xy 162.367187 96.658756) + (xy 162.377852 96.660445) + (xy 162.386713 96.66252) + (xy 162.426696 96.675012) + (xy 162.426699 96.675012) + (xy 162.430948 96.67634) + (xy 162.480069 96.677241) + (xy 162.488683 96.677999) + (xy 162.49514 96.679022) + (xy 162.495144 96.679022) + (xy 162.5 96.679791) + (xy 162.506947 96.67869) + (xy 162.517853 96.677933) + (xy 162.553383 96.678584) + (xy 162.613532 96.662185) + (xy 162.62019 96.660755) + (xy 162.622147 96.660445) + (xy 162.632813 96.658756) + (xy 162.637196 96.656522) + (xy 162.641875 96.655002) + (xy 162.641878 96.65501) + (xy 162.646727 96.653136) + (xy 162.671527 96.646375) + (xy 162.680706 96.640739) + (xy 162.713409 96.6315) + (xy 166.783939 96.6315) + (xy 166.810635 96.63754) + (xy 166.814064 96.639823) + (xy 166.818316 96.641151) + (xy 166.818317 96.641152) + (xy 166.850296 96.651143) + (xy 166.860027 96.655109) + (xy 166.862799 96.656521) + (xy 166.862803 96.656522) + (xy 166.867187 96.658756) + (xy 166.877852 96.660445) + (xy 166.886713 96.66252) + (xy 166.926696 96.675012) + (xy 166.926699 96.675012) + (xy 166.930948 96.67634) + (xy 166.980069 96.677241) + (xy 166.988683 96.677999) + (xy 166.99514 96.679022) + (xy 166.995144 96.679022) + (xy 167 96.679791) + (xy 167.006947 96.67869) + (xy 167.017853 96.677933) + (xy 167.053383 96.678584) + (xy 167.113532 96.662185) + (xy 167.12019 96.660755) + (xy 167.122147 96.660445) + (xy 167.132813 96.658756) + (xy 167.137196 96.656522) + (xy 167.141875 96.655002) + (xy 167.141878 96.65501) + (xy 167.146727 96.653136) + (xy 167.171527 96.646375) + (xy 167.180034 96.641152) + (xy 167.239709 96.604511) + (xy 167.244037 96.602085) + (xy 167.24824 96.599944) + (xy 167.248248 96.599938) + (xy 167.252625 96.597708) + (xy 167.255427 96.594906) + (xy 167.256264 96.594346) + (xy 167.258891 96.592733) + (xy 167.275881 96.582301) + (xy 167.358058 96.491513) + (xy 167.365454 96.476248) + (xy 167.401198 96.444458) + (xy 167.4217 96.441) + (xy 168.045881 96.441) + (xy 168.090075 96.459306) + (xy 168.108381 96.5035) + (xy 168.097847 96.538223) + (xy 168.059249 96.595988) + (xy 168.058048 96.602024) + (xy 168.058048 96.602025) + (xy 168.048746 96.648793) + (xy 168.0475 96.655056) + (xy 168.0475 96.844944) + (xy 168.048099 96.847954) + (xy 168.048099 96.847957) + (xy 168.057954 96.8975) + (xy 168.059249 96.904012) + (xy 168.100186 96.965278) + (xy 168.109518 97.012193) + (xy 168.100187 97.034721) + (xy 168.059249 97.095988) + (xy 168.058048 97.102024) + (xy 168.058048 97.102025) + (xy 168.048582 97.149618) + (xy 168.0475 97.155056) + (xy 168.0475 97.344944) + (xy 168.048099 97.347954) + (xy 168.048099 97.347957) + (xy 168.05712 97.393309) + (xy 168.059249 97.404012) + (xy 168.100186 97.465278) + (xy 168.109518 97.512193) + (xy 168.100187 97.534721) + (xy 168.059249 97.595988) + (xy 168.058048 97.602024) + (xy 168.058048 97.602025) + (xy 168.048494 97.65006) + (xy 168.0475 97.655056) + (xy 168.0475 97.844944) + (xy 168.048099 97.847954) + (xy 168.048099 97.847957) + (xy 168.056976 97.892586) + (xy 168.059249 97.904012) + (xy 168.100186 97.965278) + (xy 168.109518 98.012193) + (xy 168.100187 98.034721) + (xy 168.059249 98.095988) + (xy 168.058048 98.102024) + (xy 168.058048 98.102025) + (xy 168.048994 98.147546) + (xy 168.0475 98.155056) + (xy 168.0475 98.344944) + (xy 168.048099 98.347954) + (xy 168.048099 98.347957) + (xy 168.056691 98.391151) + (xy 168.059249 98.404012) + (xy 168.100186 98.465278) + (xy 168.109518 98.512193) + (xy 168.100187 98.534721) + (xy 168.059249 98.595988) + (xy 168.058048 98.602024) + (xy 168.058048 98.602025) + (xy 168.048937 98.647833) + (xy 168.0475 98.655056) + (xy 168.0475 98.844944) + (xy 168.048099 98.847954) + (xy 168.048099 98.847957) + (xy 168.058007 98.897767) + (xy 168.059249 98.904012) + (xy 168.096197 98.959306) + (xy 168.097847 98.961776) + (xy 168.10718 99.008692) + (xy 168.080605 99.048466) + (xy 168.045881 99.059) + (xy 167.423049 99.059) + (xy 167.378855 99.040694) + (xy 167.366156 99.022373) + (xy 167.365695 99.02136) + (xy 167.365693 99.021357) + (xy 167.363852 99.017307) + (xy 167.349199 99.000302) + (xy 167.347708 98.997375) + (xy 167.3419 98.991567) + (xy 167.338746 98.98817) + (xy 167.286826 98.927914) + (xy 167.283918 98.924539) + (xy 167.263741 98.911461) + (xy 167.260155 98.908559) + (xy 167.260081 98.908661) + (xy 167.256106 98.905773) + (xy 167.252625 98.902292) + (xy 167.241343 98.896543) + (xy 167.235736 98.893309) + (xy 167.235399 98.89309) + (xy 167.20708 98.874735) + (xy 167.184893 98.860354) + (xy 167.18489 98.860353) + (xy 167.18116 98.857935) + (xy 167.176901 98.856661) + (xy 167.176898 98.85666) + (xy 167.155275 98.850194) + (xy 167.148839 98.848269) + (xy 167.138377 98.844079) + (xy 167.132813 98.841244) + (xy 167.121067 98.839384) + (xy 167.112951 98.837536) + (xy 167.094781 98.832102) + (xy 167.063838 98.822848) + (xy 167.019496 98.822577) + (xy 167.010103 98.821809) + (xy 167.004857 98.820978) + (xy 167.004856 98.820978) + (xy 167 98.820209) + (xy 166.991373 98.821576) + (xy 166.98122 98.822344) + (xy 166.962836 98.822231) + (xy 166.945838 98.822127) + (xy 166.945836 98.822127) + (xy 166.941385 98.8221) + (xy 166.887011 98.837641) + (xy 166.879623 98.839275) + (xy 166.867187 98.841244) + (xy 166.862804 98.843477) + (xy 166.86187 98.843781) + (xy 166.852155 98.847603) + (xy 166.827929 98.854526) + (xy 166.827927 98.854527) + (xy 166.823644 98.855751) + (xy 166.819875 98.858129) + (xy 166.815624 98.860811) + (xy 166.76352 98.893687) + (xy 166.762819 98.894129) + (xy 166.757842 98.896959) + (xy 166.751757 98.900059) + (xy 166.751756 98.90006) + (xy 166.747375 98.902292) + (xy 166.743897 98.90577) + (xy 166.742445 98.906825) + (xy 166.739058 98.90912) + (xy 166.72008 98.921095) + (xy 166.717137 98.924427) + (xy 166.717135 98.924429) + (xy 166.657156 98.992342) + (xy 166.656032 98.993538) + (xy 166.655775 98.993892) + (xy 166.655554 98.994113) + (xy 166.655405 98.994204) + (xy 166.654504 98.995163) + (xy 166.652292 98.997375) + (xy 166.651509 98.996592) + (xy 166.650362 98.997296) + (xy 166.651721 98.998496) + (xy 166.642476 99.008964) + (xy 166.639018 99.012879) + (xy 166.630798 99.030388) + (xy 166.588868 99.119695) + (xy 166.588867 99.119698) + (xy 166.586976 99.123726) + (xy 166.568136 99.244724) + (xy 166.568713 99.249137) + (xy 166.568713 99.249138) + (xy 166.583436 99.361726) + (xy 166.584014 99.366145) + (xy 166.591185 99.382443) + (xy 166.591244 99.382813) + (xy 166.592007 99.384311) + (xy 166.594031 99.38891) + (xy 166.594031 99.388911) + (xy 166.62992 99.470473) + (xy 166.633333 99.47823) + (xy 166.647014 99.494506) + (xy 166.648029 99.495713) + (xy 166.650157 99.498434) + (xy 166.652292 99.502625) + (xy 166.660081 99.510414) + (xy 166.66373 99.514392) + (xy 166.70807 99.567141) + (xy 166.712127 99.571968) + (xy 166.735399 99.587459) + (xy 166.741836 99.592733) + (xy 166.743899 99.594232) + (xy 166.747375 99.597708) + (xy 166.751753 99.599939) + (xy 166.751756 99.599941) + (xy 166.75873 99.603494) + (xy 166.764977 99.607148) + (xy 166.814064 99.639823) + (xy 166.818313 99.641151) + (xy 166.818314 99.641151) + (xy 166.850296 99.651143) + (xy 166.860027 99.655109) + (xy 166.862799 99.656521) + (xy 166.862803 99.656522) + (xy 166.867187 99.658756) + (xy 166.877852 99.660445) + (xy 166.886713 99.66252) + (xy 166.926696 99.675012) + (xy 166.926699 99.675012) + (xy 166.930948 99.67634) + (xy 166.980069 99.677241) + (xy 166.988683 99.677999) + (xy 166.99514 99.679022) + (xy 166.995144 99.679022) + (xy 167 99.679791) + (xy 167.006947 99.67869) + (xy 167.017853 99.677933) + (xy 167.053383 99.678584) + (xy 167.113532 99.662185) + (xy 167.12019 99.660755) + (xy 167.122147 99.660445) + (xy 167.132813 99.658756) + (xy 167.137196 99.656522) + (xy 167.141875 99.655002) + (xy 167.141878 99.65501) + (xy 167.146727 99.653136) + (xy 167.171527 99.646375) + (xy 167.239709 99.604511) + (xy 167.244037 99.602085) + (xy 167.24824 99.599944) + (xy 167.248248 99.599938) + (xy 167.252625 99.597708) + (xy 167.255427 99.594906) + (xy 167.256264 99.594346) + (xy 167.261606 99.591066) + (xy 167.275881 99.582301) + (xy 167.358058 99.491513) + (xy 167.365454 99.476248) + (xy 167.401198 99.444458) + (xy 167.4217 99.441) + (xy 168.046482 99.441) + (xy 168.090676 99.459306) + (xy 168.108982 99.5035) + (xy 168.098449 99.538223) + (xy 168.063141 99.591067) + (xy 168.05852 99.602221) + (xy 168.048599 99.652096) + (xy 168.048 99.658177) + (xy 168.048 99.674069) + (xy 168.051641 99.682859) + (xy 168.060431 99.6865) + (xy 169.764568 99.6865) + (xy 169.773358 99.682859) + (xy 169.776999 99.674069) + (xy 169.776999 99.658179) + (xy 169.7764 99.652094) + (xy 169.76648 99.602224) + (xy 169.761858 99.591066) + (xy 169.724513 99.535173) + (xy 169.715181 99.488256) + (xy 169.724514 99.465726) + (xy 169.744043 99.4365) + (xy 169.765751 99.404012) + (xy 169.768914 99.388112) + (xy 169.776901 99.347957) + (xy 169.776901 99.347954) + (xy 169.7775 99.344944) + (xy 169.7775 99.155056) + (xy 169.776507 99.15006) + (xy 169.766952 99.102025) + (xy 169.766952 99.102024) + (xy 169.765751 99.095988) + (xy 169.727153 99.038223) + (xy 169.71782 98.991308) + (xy 169.744395 98.951534) + (xy 169.779119 98.941) + (xy 170.220848 98.941) + (xy 170.228187 98.94225) + (xy 170.22827 98.941523) + (xy 170.235261 98.94232) + (xy 170.241902 98.944652) + (xy 170.248895 98.943874) + (xy 170.248896 98.943874) + (xy 170.271277 98.941383) + (xy 170.27819 98.941) + (xy 170.285613 98.941) + (xy 170.292545 98.939419) + (xy 170.299498 98.938243) + (xy 170.328509 98.935014) + (xy 170.334473 98.931281) + (xy 170.338421 98.929906) + (xy 170.34218 98.928097) + (xy 170.349039 98.926532) + (xy 170.35458 98.922117) + (xy 170.371853 98.908352) + (xy 170.377639 98.904256) + (xy 170.380795 98.90228) + (xy 170.380797 98.902279) + (xy 170.383791 98.900404) + (xy 170.389004 98.895191) + (xy 170.394248 98.890506) + (xy 170.411684 98.876612) + (xy 170.411684 98.876611) + (xy 170.417189 98.872225) + (xy 170.420247 98.865886) + (xy 170.424638 98.860385) + (xy 170.425172 98.860811) + (xy 170.429548 98.854647) + (xy 171.310809 97.973386) + (xy 171.355003 97.95508) + (xy 172.123918 97.95508) + (xy 172.168112 97.973386) + (xy 172.186418 98.01758) + (xy 172.168112 98.061774) + (xy 171.174598 99.055287) + (xy 170.189191 100.040694) + (xy 170.144997 100.059) + (xy 169.778518 100.059) + (xy 169.734324 100.040694) + (xy 169.716018 99.9965) + (xy 169.726551 99.961777) + (xy 169.761859 99.908933) + (xy 169.76648 99.897779) + (xy 169.776401 99.847904) + (xy 169.777 99.841823) + (xy 169.777 99.825931) + (xy 169.773359 99.817141) + (xy 169.764569 99.8135) + (xy 168.060432 99.8135) + (xy 168.051642 99.817141) + (xy 168.048001 99.825931) + (xy 168.048001 99.841821) + (xy 168.0486 99.847906) + (xy 168.05852 99.897776) + (xy 168.063142 99.908934) + (xy 168.100487 99.964827) + (xy 168.109819 100.011744) + (xy 168.100486 100.034274) + (xy 168.059249 100.095988) + (xy 168.058048 100.102024) + (xy 168.058048 100.102025) + (xy 168.052857 100.128126) + (xy 168.0475 100.155056) + (xy 168.0475 100.344944) + (xy 168.048099 100.347954) + (xy 168.048099 100.347957) + (xy 168.056086 100.388112) + (xy 168.059249 100.404012) + (xy 168.100186 100.465278) + (xy 168.109518 100.512193) + (xy 168.100187 100.534721) + (xy 168.059249 100.595988) + (xy 168.058048 100.602024) + (xy 168.058048 100.602025) + (xy 168.048543 100.649814) + (xy 168.0475 100.655056) + (xy 168.0475 100.844944) + (xy 168.048099 100.847954) + (xy 168.048099 100.847957) + (xy 168.056905 100.892227) + (xy 168.059249 100.904012) + (xy 168.100186 100.965278) + (xy 168.109518 101.012193) + (xy 168.100187 101.034721) + (xy 168.059249 101.095988) + (xy 168.058048 101.102024) + (xy 168.058048 101.102025) + (xy 168.056563 101.109494) + (xy 168.0475 101.155056) + (xy 168.0475 101.344944) + (xy 168.048099 101.347954) + (xy 168.048099 101.347957) + (xy 168.056976 101.392586) + (xy 168.059249 101.404012) + (xy 168.100186 101.465278) + (xy 168.109518 101.512193) + (xy 168.100187 101.534721) + (xy 168.059249 101.595988) + (xy 168.058048 101.602024) + (xy 168.058048 101.602025) + (xy 168.050516 101.639895) + (xy 168.0475 101.655056) + (xy 168.0475 101.844944) + (xy 168.048099 101.847954) + (xy 168.048099 101.847957) + (xy 168.058009 101.897779) + (xy 168.059249 101.904012) + (xy 168.100186 101.965278) + (xy 168.109518 102.012193) + (xy 168.100187 102.034721) + (xy 168.059249 102.095988) + (xy 168.058048 102.102024) + (xy 168.058048 102.102025) + (xy 168.052857 102.128126) + (xy 168.0475 102.155056) + (xy 168.0475 102.344944) + (xy 168.048099 102.347954) + (xy 168.048099 102.347957) + (xy 168.058009 102.397779) + (xy 168.059249 102.404012) + (xy 168.100186 102.465278) + (xy 168.109518 102.512193) + (xy 168.100187 102.534721) + (xy 168.059249 102.595988) + (xy 168.058048 102.602024) + (xy 168.058048 102.602025) + (xy 168.048494 102.65006) + (xy 168.0475 102.655056) + (xy 168.0475 102.844944) + (xy 168.059249 102.904012) + (xy 168.100186 102.965278) + (xy 168.109518 103.012193) + (xy 168.100187 103.034721) + (xy 168.059249 103.095988) + (xy 168.058048 103.102024) + (xy 168.058048 103.102025) + (xy 168.051588 103.134506) + (xy 168.0475 103.155056) + (xy 168.0475 103.344944) + (xy 168.048099 103.347954) + (xy 168.048099 103.347957) + (xy 168.058009 103.397779) + (xy 168.059249 103.404012) + (xy 168.100186 103.465278) + (xy 168.109518 103.512193) + (xy 168.100187 103.534721) + (xy 168.059249 103.595988) + (xy 168.058048 103.602024) + (xy 168.058048 103.602025) + (xy 168.048494 103.65006) + (xy 168.0475 103.655056) + (xy 168.0475 103.844944) + (xy 168.048099 103.847954) + (xy 168.048099 103.847957) + (xy 168.058009 103.897779) + (xy 168.059249 103.904012) + (xy 168.100186 103.965278) + (xy 168.109518 104.012193) + (xy 168.100187 104.034721) + (xy 168.059249 104.095988) + (xy 168.058048 104.102024) + (xy 168.058048 104.102025) + (xy 168.048994 104.147546) + (xy 168.0475 104.155056) + (xy 168.0475 104.344944) + (xy 168.048099 104.347954) + (xy 168.048099 104.347957) + (xy 168.057283 104.394129) + (xy 168.059249 104.404012) + (xy 168.100186 104.465278) + (xy 168.109518 104.512193) + (xy 168.100187 104.534721) + (xy 168.059249 104.595988) + (xy 168.058048 104.602024) + (xy 168.058048 104.602025) + (xy 168.050656 104.639191) + (xy 168.0475 104.655056) + (xy 168.0475 104.844944) + (xy 168.048099 104.847954) + (xy 168.048099 104.847957) + (xy 168.058009 104.897779) + (xy 168.059249 104.904012) + (xy 168.09031 104.950497) + (xy 168.100486 104.965726) + (xy 168.109819 105.012642) + (xy 168.100487 105.035173) + (xy 168.063141 105.091067) + (xy 168.05852 105.102221) + (xy 168.048599 105.152096) + (xy 168.048 105.158177) + (xy 168.048 105.174069) + (xy 168.051641 105.182859) + (xy 168.060431 105.1865) + (xy 169.764568 105.1865) + (xy 169.773358 105.182859) + (xy 169.776999 105.174069) + (xy 169.776999 105.158179) + (xy 169.7764 105.152094) + (xy 169.76648 105.102224) + (xy 169.761858 105.091066) + (xy 169.726551 105.038223) + (xy 169.717219 104.991306) + (xy 169.743795 104.951533) + (xy 169.778518 104.941) + (xy 170.206768 104.941) + (xy 170.214107 104.94225) + (xy 170.21419 104.941523) + (xy 170.221181 104.94232) + (xy 170.227822 104.944652) + (xy 170.234815 104.943874) + (xy 170.234816 104.943874) + (xy 170.257197 104.941383) + (xy 170.26411 104.941) + (xy 170.271533 104.941) + (xy 170.278465 104.939419) + (xy 170.285418 104.938243) + (xy 170.314429 104.935014) + (xy 170.320393 104.931281) + (xy 170.324341 104.929906) + (xy 170.3281 104.928097) + (xy 170.334959 104.926532) + (xy 170.357774 104.908351) + (xy 170.363559 104.904256) + (xy 170.366715 104.90228) + (xy 170.366717 104.902279) + (xy 170.369711 104.900404) + (xy 170.374924 104.895191) + (xy 170.380168 104.890506) + (xy 170.397604 104.876612) + (xy 170.397604 104.876611) + (xy 170.403109 104.872225) + (xy 170.406167 104.865886) + (xy 170.410558 104.860385) + (xy 170.411092 104.860811) + (xy 170.415468 104.854647) + (xy 174.761529 100.508586) + (xy 174.805723 100.49028) + (xy 178.844277 100.49028) + (xy 178.888471 100.508586) + (xy 179.440694 101.060809) + (xy 179.459 101.105003) + (xy 179.459 101.306176) + (xy 179.440694 101.35037) + (xy 179.408104 101.365799) + (xy 179.408442 101.3675) + (xy 179.377287 101.373697) + (xy 179.377285 101.373698) + (xy 179.371252 101.374898) + (xy 179.329078 101.403078) + (xy 179.325659 101.408195) + (xy 179.301967 101.443652) + (xy 179.262193 101.470228) + (xy 179.215277 101.460896) + (xy 179.198033 101.443652) + (xy 179.174341 101.408195) + (xy 179.170922 101.403078) + (xy 179.128748 101.374898) + (xy 179.122715 101.373698) + (xy 179.122713 101.373697) + (xy 179.094569 101.368099) + (xy 179.091558 101.3675) + (xy 178.608442 101.3675) + (xy 178.605431 101.368099) + (xy 178.577287 101.373697) + (xy 178.577285 101.373698) + (xy 178.571252 101.374898) + (xy 178.529078 101.403078) + (xy 178.525659 101.408195) + (xy 178.501967 101.443652) + (xy 178.462193 101.470228) + (xy 178.415277 101.460896) + (xy 178.398033 101.443652) + (xy 178.374341 101.408195) + (xy 178.370922 101.403078) + (xy 178.328748 101.374898) + (xy 178.322715 101.373698) + (xy 178.322713 101.373697) + (xy 178.294569 101.368099) + (xy 178.291558 101.3675) + (xy 177.808442 101.3675) + (xy 177.805431 101.368099) + (xy 177.777287 101.373697) + (xy 177.777285 101.373698) + (xy 177.771252 101.374898) + (xy 177.729078 101.403078) + (xy 177.725659 101.408195) + (xy 177.701967 101.443652) + (xy 177.662193 101.470228) + (xy 177.615277 101.460896) + (xy 177.598033 101.443652) + (xy 177.574341 101.408195) + (xy 177.570922 101.403078) + (xy 177.528748 101.374898) + (xy 177.522715 101.373698) + (xy 177.522713 101.373697) + (xy 177.494569 101.368099) + (xy 177.491558 101.3675) + (xy 177.008442 101.3675) + (xy 177.005431 101.368099) + (xy 176.977287 101.373697) + (xy 176.977285 101.373698) + (xy 176.971252 101.374898) + (xy 176.929078 101.403078) + (xy 176.925659 101.408195) + (xy 176.901967 101.443652) + (xy 176.862193 101.470228) + (xy 176.815277 101.460896) + (xy 176.798033 101.443652) + (xy 176.774341 101.408195) + (xy 176.770922 101.403078) + (xy 176.728748 101.374898) + (xy 176.722715 101.373698) + (xy 176.722713 101.373697) + (xy 176.694569 101.368099) + (xy 176.691558 101.3675) + (xy 176.208442 101.3675) + (xy 176.205431 101.368099) + (xy 176.177287 101.373697) + (xy 176.177285 101.373698) + (xy 176.171252 101.374898) + (xy 176.129078 101.403078) + (xy 176.125659 101.408195) + (xy 176.101967 101.443652) + (xy 176.062193 101.470228) + (xy 176.015277 101.460896) + (xy 175.998033 101.443652) + (xy 175.974341 101.408195) + (xy 175.970922 101.403078) + (xy 175.928748 101.374898) + (xy 175.922715 101.373698) + (xy 175.922713 101.373697) + (xy 175.894569 101.368099) + (xy 175.891558 101.3675) + (xy 175.408442 101.3675) + (xy 175.405431 101.368099) + (xy 175.377287 101.373697) + (xy 175.377285 101.373698) + (xy 175.371252 101.374898) + (xy 175.329078 101.403078) + (xy 175.325659 101.408195) + (xy 175.301967 101.443652) + (xy 175.262193 101.470228) + (xy 175.215277 101.460896) + (xy 175.198033 101.443652) + (xy 175.174341 101.408195) + (xy 175.170922 101.403078) + (xy 175.128748 101.374898) + (xy 175.122715 101.373698) + (xy 175.122713 101.373697) + (xy 175.094569 101.368099) + (xy 175.091558 101.3675) + (xy 174.608442 101.3675) + (xy 174.605431 101.368099) + (xy 174.577287 101.373697) + (xy 174.577285 101.373698) + (xy 174.571252 101.374898) + (xy 174.529078 101.403078) + (xy 174.500898 101.445252) + (xy 174.499698 101.451285) + (xy 174.499697 101.451287) + (xy 174.497611 101.461776) + (xy 174.4935 101.482442) + (xy 174.4935 103.017558) + (xy 174.494099 103.020569) + (xy 174.497697 103.038655) + (xy 174.500898 103.054748) + (xy 174.529078 103.096922) + (xy 174.571252 103.125102) + (xy 174.577285 103.126302) + (xy 174.577287 103.126303) + (xy 174.608442 103.1325) + (xy 174.608043 103.134506) + (xy 174.644841 103.154209) + (xy 174.659 103.193824) + (xy 174.659 103.580525) + (xy 174.640694 103.624719) + (xy 174.629855 103.63338) + (xy 174.61281 103.644135) + (xy 174.607842 103.646959) + (xy 174.601757 103.650059) + (xy 174.601756 103.65006) + (xy 174.597375 103.652292) + (xy 174.593897 103.65577) + (xy 174.592445 103.656825) + (xy 174.589058 103.65912) + (xy 174.57008 103.671095) + (xy 174.567137 103.674427) + (xy 174.567135 103.674429) + (xy 174.507156 103.742342) + (xy 174.506032 103.743538) + (xy 174.505775 103.743892) + (xy 174.505554 103.744113) + (xy 174.505405 103.744204) + (xy 174.504504 103.745163) + (xy 174.502292 103.747375) + (xy 174.501509 103.746592) + (xy 174.500362 103.747296) + (xy 174.501721 103.748496) + (xy 174.489018 103.762879) + (xy 174.487127 103.766907) + (xy 174.438868 103.869695) + (xy 174.438867 103.869698) + (xy 174.436976 103.873726) + (xy 174.418136 103.994724) + (xy 174.418713 103.999137) + (xy 174.418713 103.999138) + (xy 174.432575 104.105139) + (xy 174.434014 104.116145) + (xy 174.441185 104.132443) + (xy 174.441244 104.132813) + (xy 174.442007 104.134311) + (xy 174.444031 104.13891) + (xy 174.444031 104.138911) + (xy 174.461681 104.179022) + (xy 174.483333 104.22823) + (xy 174.497272 104.244813) + (xy 174.498029 104.245713) + (xy 174.500157 104.248434) + (xy 174.502292 104.252625) + (xy 174.510081 104.260414) + (xy 174.513729 104.264391) + (xy 174.562127 104.321968) + (xy 174.585399 104.337459) + (xy 174.591836 104.342733) + (xy 174.593899 104.344232) + (xy 174.597375 104.347708) + (xy 174.601753 104.349939) + (xy 174.601756 104.349941) + (xy 174.60873 104.353494) + (xy 174.614977 104.357148) + (xy 174.664064 104.389823) + (xy 174.668313 104.391151) + (xy 174.668314 104.391151) + (xy 174.700296 104.401143) + (xy 174.710027 104.405109) + (xy 174.712799 104.406521) + (xy 174.712803 104.406522) + (xy 174.717187 104.408756) + (xy 174.727852 104.410445) + (xy 174.736713 104.41252) + (xy 174.776696 104.425012) + (xy 174.776699 104.425012) + (xy 174.780948 104.42634) + (xy 174.830069 104.427241) + (xy 174.838683 104.427999) + (xy 174.84514 104.429022) + (xy 174.845144 104.429022) + (xy 174.85 104.429791) + (xy 174.856947 104.42869) + (xy 174.867853 104.427933) + (xy 174.903383 104.428584) + (xy 174.963532 104.412185) + (xy 174.97019 104.410755) + (xy 174.972147 104.410445) + (xy 174.982813 104.408756) + (xy 174.987196 104.406522) + (xy 174.991875 104.405002) + (xy 174.991878 104.40501) + (xy 174.996727 104.403136) + (xy 175.021527 104.396375) + (xy 175.026521 104.393309) + (xy 175.089709 104.354511) + (xy 175.094037 104.352085) + (xy 175.09824 104.349944) + (xy 175.098248 104.349938) + (xy 175.102625 104.347708) + (xy 175.105427 104.344906) + (xy 175.106264 104.344346) + (xy 175.108891 104.342733) + (xy 175.125881 104.332301) + (xy 175.208058 104.241513) + (xy 175.261451 104.131311) + (xy 175.263317 104.120224) + (xy 175.275388 104.048467) + (xy 175.281767 104.010552) + (xy 175.281896 104) + (xy 175.264536 103.878781) + (xy 175.213852 103.767307) + (xy 175.199199 103.750302) + (xy 175.197708 103.747375) + (xy 175.1919 103.741567) + (xy 175.188746 103.73817) + (xy 175.136826 103.677914) + (xy 175.133918 103.674539) + (xy 175.113741 103.661461) + (xy 175.110155 103.658559) + (xy 175.110081 103.658661) + (xy 175.106106 103.655773) + (xy 175.102625 103.652292) + (xy 175.091343 103.646543) + (xy 175.085735 103.643308) + (xy 175.069505 103.632788) + (xy 175.042377 103.593389) + (xy 175.041 103.580342) + (xy 175.041 103.193824) + (xy 175.059306 103.14963) + (xy 175.091896 103.134201) + (xy 175.091558 103.1325) + (xy 175.094569 103.131901) + (xy 175.122713 103.126303) + (xy 175.122715 103.126302) + (xy 175.128748 103.125102) + (xy 175.170922 103.096922) + (xy 175.198033 103.056348) + (xy 175.237807 103.029772) + (xy 175.284723 103.039104) + (xy 175.301967 103.056348) + (xy 175.329078 103.096922) + (xy 175.371252 103.125102) + (xy 175.377285 103.126302) + (xy 175.377287 103.126303) + (xy 175.408442 103.1325) + (xy 175.408043 103.134506) + (xy 175.444841 103.154209) + (xy 175.459 103.193824) + (xy 175.459 105.494997) + (xy 175.440694 105.539191) + (xy 173.953271 107.026614) + (xy 173.909077 107.04492) + (xy 171.840923 107.04492) + (xy 171.796729 107.026614) + (xy 170.415628 105.645513) + (xy 170.411323 105.639439) + (xy 170.41075 105.639895) + (xy 170.406369 105.634387) + (xy 170.403322 105.628042) + (xy 170.387368 105.615283) + (xy 170.380239 105.609582) + (xy 170.37508 105.604965) + (xy 170.369831 105.599716) + (xy 170.363828 105.595943) + (xy 170.358052 105.591838) + (xy 170.335267 105.573617) + (xy 170.328407 105.57204) + (xy 170.324647 105.570222) + (xy 170.320711 105.568844) + (xy 170.314752 105.565098) + (xy 170.307758 105.564307) + (xy 170.307757 105.564307) + (xy 170.285764 105.561821) + (xy 170.278787 105.560629) + (xy 170.271703 105.559) + (xy 170.264329 105.559) + (xy 170.257308 105.558604) + (xy 170.255627 105.558414) + (xy 170.228162 105.555309) + (xy 170.221517 105.55763) + (xy 170.214523 105.558414) + (xy 170.214447 105.557736) + (xy 170.206995 105.559) + (xy 169.778518 105.559) + (xy 169.734324 105.540694) + (xy 169.716018 105.4965) + (xy 169.726551 105.461777) + (xy 169.761859 105.408933) + (xy 169.76648 105.397779) + (xy 169.776401 105.347904) + (xy 169.777 105.341823) + (xy 169.777 105.325931) + (xy 169.773359 105.317141) + (xy 169.764569 105.3135) + (xy 168.060432 105.3135) + (xy 168.051642 105.317141) + (xy 168.048001 105.325931) + (xy 168.048001 105.341821) + (xy 168.0486 105.347906) + (xy 168.05852 105.397776) + (xy 168.063142 105.408934) + (xy 168.100487 105.464827) + (xy 168.109819 105.511744) + (xy 168.100486 105.534274) + (xy 168.059249 105.595988) + (xy 168.058048 105.602024) + (xy 168.058048 105.602025) + (xy 168.048994 105.647546) + (xy 168.0475 105.655056) + (xy 168.0475 105.844944) + (xy 168.048099 105.847954) + (xy 168.048099 105.847957) + (xy 168.054959 105.882443) + (xy 168.059249 105.904012) + (xy 168.097093 105.960647) + (xy 168.097847 105.961776) + (xy 168.10718 106.008692) + (xy 168.080605 106.048466) + (xy 168.045881 106.059) + (xy 167.423049 106.059) + (xy 167.378855 106.040694) + (xy 167.366156 106.022373) + (xy 167.365695 106.02136) + (xy 167.365693 106.021357) + (xy 167.363852 106.017307) + (xy 167.349199 106.000302) + (xy 167.347708 105.997375) + (xy 167.3419 105.991567) + (xy 167.338746 105.98817) + (xy 167.307178 105.951534) + (xy 167.283918 105.924539) + (xy 167.263741 105.911461) + (xy 167.260155 105.908559) + (xy 167.260081 105.908661) + (xy 167.256106 105.905773) + (xy 167.252625 105.902292) + (xy 167.241343 105.896543) + (xy 167.235736 105.893309) + (xy 167.235735 105.893308) + (xy 167.193827 105.866145) + (xy 167.184893 105.860354) + (xy 167.18489 105.860353) + (xy 167.18116 105.857935) + (xy 167.176901 105.856661) + (xy 167.176898 105.85666) + (xy 167.158345 105.851112) + (xy 167.148839 105.848269) + (xy 167.138377 105.844079) + (xy 167.132813 105.841244) + (xy 167.121067 105.839384) + (xy 167.112951 105.837536) + (xy 167.088896 105.830342) + (xy 167.063838 105.822848) + (xy 167.019496 105.822577) + (xy 167.010103 105.821809) + (xy 167.004857 105.820978) + (xy 167.004856 105.820978) + (xy 167 105.820209) + (xy 166.991373 105.821576) + (xy 166.98122 105.822344) + (xy 166.962836 105.822231) + (xy 166.945838 105.822127) + (xy 166.945836 105.822127) + (xy 166.941385 105.8221) + (xy 166.887011 105.837641) + (xy 166.879623 105.839275) + (xy 166.867187 105.841244) + (xy 166.862804 105.843477) + (xy 166.86187 105.843781) + (xy 166.852155 105.847603) + (xy 166.827929 105.854526) + (xy 166.827927 105.854527) + (xy 166.823644 105.855751) + (xy 166.764119 105.893309) + (xy 166.762819 105.894129) + (xy 166.757842 105.896959) + (xy 166.751757 105.900059) + (xy 166.751756 105.90006) + (xy 166.747375 105.902292) + (xy 166.743897 105.90577) + (xy 166.742445 105.906825) + (xy 166.739059 105.90912) + (xy 166.739043 105.90913) + (xy 166.72008 105.921095) + (xy 166.717137 105.924427) + (xy 166.717135 105.924429) + (xy 166.657156 105.992342) + (xy 166.656032 105.993538) + (xy 166.655775 105.993892) + (xy 166.655554 105.994113) + (xy 166.655405 105.994204) + (xy 166.654504 105.995163) + (xy 166.652292 105.997375) + (xy 166.651509 105.996592) + (xy 166.650362 105.997296) + (xy 166.651721 105.998496) + (xy 166.645002 106.006104) + (xy 166.639018 106.012879) + (xy 166.630951 106.030062) + (xy 166.588868 106.119695) + (xy 166.588867 106.119698) + (xy 166.586976 106.123726) + (xy 166.568136 106.244724) + (xy 166.568713 106.249137) + (xy 166.568713 106.249138) + (xy 166.582655 106.355751) + (xy 166.584014 106.366145) + (xy 166.585809 106.370224) + (xy 166.589286 106.378126) + (xy 166.591185 106.382443) + (xy 166.591244 106.382813) + (xy 166.592007 106.384311) + (xy 166.594031 106.38891) + (xy 166.594031 106.388911) + (xy 166.621448 106.451219) + (xy 166.633333 106.47823) + (xy 166.647198 106.494724) + (xy 166.648029 106.495713) + (xy 166.650157 106.498434) + (xy 166.652292 106.502625) + (xy 166.660081 106.510414) + (xy 166.66373 106.514392) + (xy 166.703546 106.561759) + (xy 166.712127 106.571968) + (xy 166.735399 106.587459) + (xy 166.741836 106.592733) + (xy 166.743899 106.594232) + (xy 166.747375 106.597708) + (xy 166.751753 106.599939) + (xy 166.751756 106.599941) + (xy 166.75873 106.603494) + (xy 166.764977 106.607148) + (xy 166.814064 106.639823) + (xy 166.818313 106.641151) + (xy 166.818314 106.641151) + (xy 166.850296 106.651143) + (xy 166.860027 106.655109) + (xy 166.862799 106.656521) + (xy 166.862803 106.656522) + (xy 166.867187 106.658756) + (xy 166.877852 106.660445) + (xy 166.886713 106.66252) + (xy 166.926696 106.675012) + (xy 166.926699 106.675012) + (xy 166.930948 106.67634) + (xy 166.980069 106.677241) + (xy 166.988683 106.677999) + (xy 166.99514 106.679022) + (xy 166.995144 106.679022) + (xy 167 106.679791) + (xy 167.006947 106.67869) + (xy 167.017853 106.677933) + (xy 167.053383 106.678584) + (xy 167.113532 106.662185) + (xy 167.12019 106.660755) + (xy 167.122147 106.660445) + (xy 167.132813 106.658756) + (xy 167.137196 106.656522) + (xy 167.141875 106.655002) + (xy 167.141878 106.65501) + (xy 167.146727 106.653136) + (xy 167.171527 106.646375) + (xy 167.182824 106.639439) + (xy 167.239709 106.604511) + (xy 167.244037 106.602085) + (xy 167.24824 106.599944) + (xy 167.248248 106.599938) + (xy 167.252625 106.597708) + (xy 167.255427 106.594906) + (xy 167.256264 106.594346) + (xy 167.258891 106.592733) + (xy 167.275881 106.582301) + (xy 167.358058 106.491513) + (xy 167.365454 106.476248) + (xy 167.401198 106.444458) + (xy 167.4217 106.441) + (xy 168.045881 106.441) + (xy 168.090075 106.459306) + (xy 168.108381 106.5035) + (xy 168.097847 106.538223) + (xy 168.059249 106.595988) + (xy 168.058048 106.602024) + (xy 168.058048 106.602025) + (xy 168.048261 106.651232) + (xy 168.0475 106.655056) + (xy 168.0475 106.844944) + (xy 168.048099 106.847954) + (xy 168.048099 106.847957) + (xy 168.058009 106.897779) + (xy 168.059249 106.904012) + (xy 168.100186 106.965278) + (xy 168.109518 107.012193) + (xy 168.100187 107.034721) + (xy 168.059249 107.095988) + (xy 168.058048 107.102024) + (xy 168.058048 107.102025) + (xy 168.048994 107.147546) + (xy 168.0475 107.155056) + (xy 168.0475 107.344944) + (xy 168.048099 107.347954) + (xy 168.048099 107.347957) + (xy 168.050518 107.360119) + (xy 168.059249 107.404012) + (xy 168.100186 107.465278) + (xy 168.109518 107.512193) + (xy 168.100187 107.534721) + (xy 168.059249 107.595988) + (xy 168.058048 107.602024) + (xy 168.058048 107.602025) + (xy 168.056563 107.609494) + (xy 168.0475 107.655056) + (xy 168.0475 107.844944) + (xy 168.048099 107.847954) + (xy 168.048099 107.847957) + (xy 168.058007 107.897767) + (xy 168.059249 107.904012) + (xy 168.100186 107.965278) + (xy 168.109518 108.012193) + (xy 168.100187 108.034721) + (xy 168.059249 108.095988) + (xy 168.058048 108.102024) + (xy 168.058048 108.102025) + (xy 168.049501 108.144998) + (xy 168.0475 108.155056) + (xy 168.0475 108.344944) + (xy 168.048099 108.347954) + (xy 168.048099 108.347957) + (xy 168.05676 108.391498) + (xy 168.059249 108.404012) + (xy 168.100186 108.465278) + (xy 168.109518 108.512193) + (xy 168.100187 108.534721) + (xy 168.059249 108.595988) + (xy 168.058048 108.602024) + (xy 168.058048 108.602025) + (xy 168.053158 108.626612) + (xy 168.0475 108.655056) + (xy 168.0475 108.844944) + (xy 168.048099 108.847954) + (xy 168.048099 108.847957) + (xy 168.05157 108.865405) + (xy 168.059249 108.904012) + (xy 168.100186 108.965278) + (xy 168.109518 109.012193) + (xy 168.100187 109.034721) + (xy 168.059249 109.095988) + (xy 168.058048 109.102024) + (xy 168.058048 109.102025) + (xy 168.053976 109.1225) + (xy 168.0475 109.155056) + (xy 168.0475 109.344944) + (xy 168.059249 109.404012) + (xy 168.100186 109.465278) + (xy 168.109518 109.512193) + (xy 168.100187 109.534721) + (xy 168.059249 109.595988) + (xy 168.058048 109.602024) + (xy 168.058048 109.602025) + (xy 168.048821 109.648417) + (xy 168.0475 109.655056) + (xy 168.0475 109.844944) + (xy 168.048099 109.847954) + (xy 168.048099 109.847957) + (xy 168.058034 109.897906) + (xy 168.059249 109.904012) + (xy 168.091002 109.951533) + (xy 168.100486 109.965726) + (xy 168.109819 110.012642) + (xy 168.100487 110.035173) + (xy 168.063141 110.091067) + (xy 168.05852 110.102221) + (xy 168.048599 110.152096) + (xy 168.048 110.158177) + (xy 168.048 110.174069) + (xy 168.051641 110.182859) + (xy 168.060431 110.1865) + (xy 169.764568 110.1865) + (xy 169.773358 110.182859) + (xy 169.776999 110.174069) + (xy 169.776999 110.158179) + (xy 169.7764 110.152094) + (xy 169.76648 110.102224) + (xy 169.761858 110.091066) + (xy 169.726551 110.038223) + (xy 169.717219 109.991306) + (xy 169.743795 109.951533) + (xy 169.778518 109.941) + (xy 170.206768 109.941) + (xy 170.214107 109.94225) + (xy 170.21419 109.941523) + (xy 170.221181 109.94232) + (xy 170.227822 109.944652) + (xy 170.234815 109.943874) + (xy 170.234816 109.943874) + (xy 170.257197 109.941383) + (xy 170.26411 109.941) + (xy 170.271533 109.941) + (xy 170.278465 109.939419) + (xy 170.285418 109.938243) + (xy 170.314429 109.935014) + (xy 170.320393 109.931281) + (xy 170.324341 109.929906) + (xy 170.3281 109.928097) + (xy 170.334959 109.926532) + (xy 170.357774 109.908351) + (xy 170.363559 109.904256) + (xy 170.366715 109.90228) + (xy 170.366717 109.902279) + (xy 170.369711 109.900404) + (xy 170.374923 109.895192) + (xy 170.380167 109.890507) + (xy 170.397604 109.876612) + (xy 170.397604 109.876611) + (xy 170.403109 109.872225) + (xy 170.406167 109.865886) + (xy 170.410558 109.860385) + (xy 170.411092 109.860811) + (xy 170.415468 109.854647) + (xy 170.731502 109.538613) + (xy 171.050248 109.219866) + (xy 171.094442 109.20156) + (xy 171.877437 109.20156) + (xy 171.921631 109.219866) + (xy 171.939937 109.26406) + (xy 171.921631 109.308254) + (xy 170.189191 111.040694) + (xy 170.144997 111.059) + (xy 169.779119 111.059) + (xy 169.734925 111.040694) + (xy 169.716619 110.9965) + (xy 169.727153 110.961776) + (xy 169.728448 110.959839) + (xy 169.765751 110.904012) + (xy 169.768914 110.888112) + (xy 169.776901 110.847957) + (xy 169.776901 110.847954) + (xy 169.7775 110.844944) + (xy 169.7775 110.655056) + (xy 169.776507 110.65006) + (xy 169.766952 110.602025) + (xy 169.766952 110.602024) + (xy 169.765751 110.595988) + (xy 169.724514 110.534274) + (xy 169.715181 110.487358) + (xy 169.724513 110.464827) + (xy 169.761859 110.408933) + (xy 169.76648 110.397779) + (xy 169.776401 110.347904) + (xy 169.777 110.341823) + (xy 169.777 110.325931) + (xy 169.773359 110.317141) + (xy 169.764569 110.3135) + (xy 168.060432 110.3135) + (xy 168.051642 110.317141) + (xy 168.048001 110.325931) + (xy 168.048001 110.341821) + (xy 168.0486 110.347906) + (xy 168.05852 110.397776) + (xy 168.063142 110.408934) + (xy 168.098449 110.461777) + (xy 168.107781 110.508694) + (xy 168.081205 110.548467) + (xy 168.046482 110.559) + (xy 167.423049 110.559) + (xy 167.378855 110.540694) + (xy 167.366156 110.522373) + (xy 167.365695 110.52136) + (xy 167.365693 110.521357) + (xy 167.363852 110.517307) + (xy 167.349199 110.500302) + (xy 167.347708 110.497375) + (xy 167.3419 110.491567) + (xy 167.338746 110.48817) + (xy 167.311743 110.456831) + (xy 167.283918 110.424539) + (xy 167.263741 110.411461) + (xy 167.260155 110.408559) + (xy 167.260081 110.408661) + (xy 167.256106 110.405773) + (xy 167.252625 110.402292) + (xy 167.241343 110.396543) + (xy 167.235736 110.393309) + (xy 167.184893 110.360354) + (xy 167.18489 110.360353) + (xy 167.18116 110.357935) + (xy 167.176901 110.356661) + (xy 167.176898 110.35666) + (xy 167.158345 110.351112) + (xy 167.148839 110.348269) + (xy 167.138377 110.344079) + (xy 167.132813 110.341244) + (xy 167.121067 110.339384) + (xy 167.112951 110.337536) + (xy 167.063838 110.322848) + (xy 167.019496 110.322577) + (xy 167.010103 110.321809) + (xy 167.004857 110.320978) + (xy 167.004856 110.320978) + (xy 167 110.320209) + (xy 166.991373 110.321576) + (xy 166.98122 110.322344) + (xy 166.962836 110.322231) + (xy 166.945838 110.322127) + (xy 166.945836 110.322127) + (xy 166.941385 110.3221) + (xy 166.887011 110.337641) + (xy 166.879623 110.339275) + (xy 166.867187 110.341244) + (xy 166.862804 110.343477) + (xy 166.86187 110.343781) + (xy 166.852156 110.347603) + (xy 166.849821 110.34827) + (xy 166.827929 110.354526) + (xy 166.827927 110.354527) + (xy 166.823644 110.355751) + (xy 166.764119 110.393309) + (xy 166.762819 110.394129) + (xy 166.757842 110.396959) + (xy 166.751757 110.400059) + (xy 166.751756 110.40006) + (xy 166.747375 110.402292) + (xy 166.743897 110.40577) + (xy 166.742445 110.406825) + (xy 166.739058 110.40912) + (xy 166.72008 110.421095) + (xy 166.717137 110.424427) + (xy 166.717135 110.424429) + (xy 166.657156 110.492342) + (xy 166.656032 110.493538) + (xy 166.655775 110.493892) + (xy 166.655554 110.494113) + (xy 166.655405 110.494204) + (xy 166.654504 110.495163) + (xy 166.652292 110.497375) + (xy 166.651509 110.496592) + (xy 166.650362 110.497296) + (xy 166.651721 110.498496) + (xy 166.639018 110.512879) + (xy 166.6302 110.53166) + (xy 166.588868 110.619695) + (xy 166.588867 110.619698) + (xy 166.586976 110.623726) + (xy 166.568136 110.744724) + (xy 166.568713 110.749137) + (xy 166.568713 110.749138) + (xy 166.582655 110.855751) + (xy 166.584014 110.866145) + (xy 166.585809 110.870224) + (xy 166.589286 110.878126) + (xy 166.591185 110.882443) + (xy 166.591244 110.882813) + (xy 166.592007 110.884311) + (xy 166.594031 110.88891) + (xy 166.594031 110.888911) + (xy 166.62991 110.97045) + (xy 166.633333 110.97823) + (xy 166.647198 110.994724) + (xy 166.648029 110.995713) + (xy 166.650157 110.998434) + (xy 166.652292 111.002625) + (xy 166.660081 111.010414) + (xy 166.66373 111.014392) + (xy 166.700282 111.057876) + (xy 166.712127 111.071968) + (xy 166.735399 111.087459) + (xy 166.741836 111.092733) + (xy 166.743899 111.094232) + (xy 166.747375 111.097708) + (xy 166.751753 111.099939) + (xy 166.751756 111.099941) + (xy 166.75873 111.103494) + (xy 166.764977 111.107148) + (xy 166.814064 111.139823) + (xy 166.818313 111.141151) + (xy 166.818314 111.141151) + (xy 166.850296 111.151143) + (xy 166.860027 111.155109) + (xy 166.862799 111.156521) + (xy 166.862803 111.156522) + (xy 166.867187 111.158756) + (xy 166.877852 111.160445) + (xy 166.886713 111.16252) + (xy 166.926696 111.175012) + (xy 166.926699 111.175012) + (xy 166.930948 111.17634) + (xy 166.980069 111.177241) + (xy 166.988683 111.177999) + (xy 166.99514 111.179022) + (xy 166.995144 111.179022) + (xy 167 111.179791) + (xy 167.006947 111.17869) + (xy 167.017853 111.177933) + (xy 167.053383 111.178584) + (xy 167.113532 111.162185) + (xy 167.12019 111.160755) + (xy 167.122147 111.160445) + (xy 167.132813 111.158756) + (xy 167.137196 111.156522) + (xy 167.141875 111.155002) + (xy 167.141878 111.15501) + (xy 167.146727 111.153136) + (xy 167.171527 111.146375) + (xy 167.17621 111.1435) + (xy 167.239709 111.104511) + (xy 167.244037 111.102085) + (xy 167.24824 111.099944) + (xy 167.248248 111.099938) + (xy 167.252625 111.097708) + (xy 167.255427 111.094906) + (xy 167.256264 111.094346) + (xy 167.259316 111.092472) + (xy 167.275881 111.082301) + (xy 167.358058 110.991513) + (xy 167.365454 110.976248) + (xy 167.401198 110.944458) + (xy 167.4217 110.941) + (xy 168.045881 110.941) + (xy 168.090075 110.959306) + (xy 168.108381 111.0035) + (xy 168.097847 111.038223) + (xy 168.059249 111.095988) + (xy 168.058048 111.102024) + (xy 168.058048 111.102025) + (xy 168.048994 111.147546) + (xy 168.0475 111.155056) + (xy 168.0475 111.344944) + (xy 168.048099 111.347954) + (xy 168.048099 111.347957) + (xy 168.05773 111.396375) + (xy 168.059249 111.404012) + (xy 168.100186 111.465278) + (xy 168.109518 111.512193) + (xy 168.100187 111.534721) + (xy 168.059249 111.595988) + (xy 168.058048 111.602024) + (xy 168.058048 111.602025) + (xy 168.048494 111.65006) + (xy 168.0475 111.655056) + (xy 168.0475 111.844944) + (xy 168.048099 111.847954) + (xy 168.048099 111.847957) + (xy 168.054983 111.882567) + (xy 168.059249 111.904012) + (xy 168.100186 111.965278) + (xy 168.109518 112.012193) + (xy 168.100187 112.034721) + (xy 168.059249 112.095988) + (xy 168.058048 112.102024) + (xy 168.058048 112.102025) + (xy 168.048477 112.150146) + (xy 168.0475 112.155056) + (xy 168.0475 112.344944) + (xy 168.048099 112.347954) + (xy 168.048099 112.347957) + (xy 168.057456 112.394997) + (xy 168.059249 112.404012) + (xy 168.100186 112.465278) + (xy 168.109518 112.512193) + (xy 168.100187 112.534721) + (xy 168.059249 112.595988) + (xy 168.058048 112.602024) + (xy 168.058048 112.602025) + (xy 168.048456 112.650252) + (xy 168.0475 112.655056) + (xy 168.0475 112.844944) + (xy 168.048099 112.847954) + (xy 168.048099 112.847957) + (xy 168.058007 112.897767) + (xy 168.059249 112.904012) + (xy 168.100186 112.965278) + (xy 168.109518 113.012193) + (xy 168.100187 113.034721) + (xy 168.059249 113.095988) + (xy 168.058048 113.102024) + (xy 168.058048 113.102025) + (xy 168.049022 113.147406) + (xy 168.0475 113.155056) + (xy 168.0475 113.344944) + (xy 168.048099 113.347954) + (xy 168.048099 113.347957) + (xy 168.056999 113.392699) + (xy 168.059249 113.404012) + (xy 168.100186 113.465278) + (xy 168.109518 113.512193) + (xy 168.100187 113.534721) + (xy 168.059249 113.595988) + (xy 168.058048 113.602024) + (xy 168.058048 113.602025) + (xy 168.056164 113.6115) + (xy 168.0475 113.655056) + (xy 168.0475 113.844944) + (xy 168.048099 113.847954) + (xy 168.048099 113.847957) + (xy 168.057283 113.89413) + (xy 168.059249 113.904012) + (xy 168.104006 113.970994) + (xy 168.170988 114.015751) + (xy 168.177024 114.016952) + (xy 168.177025 114.016952) + (xy 168.227043 114.026901) + (xy 168.227046 114.026901) + (xy 168.230056 114.0275) + (xy 169.594944 114.0275) + (xy 169.597954 114.026901) + (xy 169.597957 114.026901) + (xy 169.647975 114.016952) + (xy 169.647976 114.016952) + (xy 169.654012 114.015751) + (xy 169.720994 113.970994) + (xy 169.724414 113.965876) + (xy 169.728766 113.961524) + (xy 169.730594 113.963352) + (xy 169.762248 113.942201) + (xy 169.774442 113.941) + (xy 170.206768 113.941) + (xy 170.214107 113.94225) + (xy 170.21419 113.941523) + (xy 170.221181 113.94232) + (xy 170.227822 113.944652) + (xy 170.234815 113.943874) + (xy 170.234816 113.943874) + (xy 170.257197 113.941383) + (xy 170.26411 113.941) + (xy 170.271533 113.941) + (xy 170.278465 113.939419) + (xy 170.285418 113.938243) + (xy 170.314429 113.935014) + (xy 170.320393 113.931281) + (xy 170.324341 113.929906) + (xy 170.3281 113.928097) + (xy 170.334959 113.926532) + (xy 170.3405 113.922117) + (xy 170.353872 113.911461) + (xy 170.357774 113.908351) + (xy 170.363559 113.904256) + (xy 170.366715 113.90228) + (xy 170.366717 113.902279) + (xy 170.369711 113.900404) + (xy 170.374924 113.895191) + (xy 170.380168 113.890506) + (xy 170.397604 113.876612) + (xy 170.397604 113.876611) + (xy 170.403109 113.872225) + (xy 170.406167 113.865886) + (xy 170.410558 113.860385) + (xy 170.411092 113.860811) + (xy 170.415468 113.854647) + (xy 170.596046 113.674069) + (xy 174.494 113.674069) + (xy 174.497641 113.682859) + (xy 174.506431 113.6865) + (xy 174.774069 113.6865) + (xy 174.782859 113.682859) + (xy 174.7865 113.674069) + (xy 174.7865 112.880431) + (xy 174.782859 112.871641) + (xy 174.774069 112.868) + (xy 174.611562 112.868) + (xy 174.605481 112.868599) + (xy 174.577482 112.874169) + (xy 174.56633 112.878788) + (xy 174.534555 112.900019) + (xy 174.526019 112.908555) + (xy 174.504788 112.94033) + (xy 174.500169 112.951482) + (xy 174.494599 112.979481) + (xy 174.494 112.985562) + (xy 174.494 113.674069) + (xy 170.596046 113.674069) + (xy 173.529129 110.740986) + (xy 173.573323 110.72268) + (xy 181.576677 110.72268) + (xy 181.620871 110.740986) + (xy 183.440694 112.560809) + (xy 183.459 112.605003) + (xy 183.459 112.806176) + (xy 183.440694 112.85037) + (xy 183.408104 112.865799) + (xy 183.408442 112.8675) + (xy 183.377287 112.873697) + (xy 183.377285 112.873698) + (xy 183.371252 112.874898) + (xy 183.329078 112.903078) + (xy 183.325659 112.908195) + (xy 183.301967 112.943652) + (xy 183.262193 112.970228) + (xy 183.215277 112.960896) + (xy 183.198033 112.943652) + (xy 183.174341 112.908195) + (xy 183.170922 112.903078) + (xy 183.128748 112.874898) + (xy 183.122715 112.873698) + (xy 183.122713 112.873697) + (xy 183.094569 112.868099) + (xy 183.091558 112.8675) + (xy 182.608442 112.8675) + (xy 182.605431 112.868099) + (xy 182.577287 112.873697) + (xy 182.577285 112.873698) + (xy 182.571252 112.874898) + (xy 182.529078 112.903078) + (xy 182.525659 112.908195) + (xy 182.501967 112.943652) + (xy 182.462193 112.970228) + (xy 182.415277 112.960896) + (xy 182.398033 112.943652) + (xy 182.374341 112.908195) + (xy 182.370922 112.903078) + (xy 182.328748 112.874898) + (xy 182.322715 112.873698) + (xy 182.322713 112.873697) + (xy 182.291558 112.8675) + (xy 182.291957 112.865494) + (xy 182.255159 112.845791) + (xy 182.241 112.806176) + (xy 182.241 112.419384) + (xy 182.259306 112.37519) + (xy 182.270797 112.366123) + (xy 182.289712 112.354509) + (xy 182.294034 112.352085) + (xy 182.302625 112.347708) + (xy 182.305427 112.344906) + (xy 182.306264 112.344346) + (xy 182.306342 112.344298) + (xy 182.325881 112.332301) + (xy 182.408058 112.241513) + (xy 182.461451 112.131311) + (xy 182.46285 112.123) + (xy 182.475518 112.047694) + (xy 182.481767 112.010552) + (xy 182.481896 112) + (xy 182.464536 111.878781) + (xy 182.413852 111.767307) + (xy 182.399199 111.750302) + (xy 182.397708 111.747375) + (xy 182.3919 111.741567) + (xy 182.388746 111.73817) + (xy 182.346543 111.689191) + (xy 182.333918 111.674539) + (xy 182.313741 111.661461) + (xy 182.310155 111.658559) + (xy 182.310081 111.658661) + (xy 182.306106 111.655773) + (xy 182.302625 111.652292) + (xy 182.291343 111.646543) + (xy 182.285736 111.643309) + (xy 182.234893 111.610354) + (xy 182.23489 111.610353) + (xy 182.23116 111.607935) + (xy 182.226901 111.606661) + (xy 182.226898 111.60666) + (xy 182.208345 111.601112) + (xy 182.198839 111.598269) + (xy 182.188377 111.594079) + (xy 182.182813 111.591244) + (xy 182.171067 111.589384) + (xy 182.162951 111.587536) + (xy 182.13058 111.577855) + (xy 182.113838 111.572848) + (xy 182.069496 111.572577) + (xy 182.060103 111.571809) + (xy 182.054857 111.570978) + (xy 182.054856 111.570978) + (xy 182.05 111.570209) + (xy 182.041373 111.571576) + (xy 182.03122 111.572344) + (xy 182.012836 111.572231) + (xy 181.995838 111.572127) + (xy 181.995836 111.572127) + (xy 181.991385 111.5721) + (xy 181.937011 111.587641) + (xy 181.929623 111.589275) + (xy 181.917187 111.591244) + (xy 181.912804 111.593477) + (xy 181.91187 111.593781) + (xy 181.902156 111.597603) + (xy 181.899821 111.59827) + (xy 181.877929 111.604526) + (xy 181.877927 111.604527) + (xy 181.873644 111.605751) + (xy 181.814119 111.643309) + (xy 181.812819 111.644129) + (xy 181.807842 111.646959) + (xy 181.801757 111.650059) + (xy 181.801756 111.65006) + (xy 181.797375 111.652292) + (xy 181.793897 111.65577) + (xy 181.792445 111.656825) + (xy 181.789058 111.65912) + (xy 181.77008 111.671095) + (xy 181.767137 111.674427) + (xy 181.767135 111.674429) + (xy 181.707156 111.742342) + (xy 181.706032 111.743538) + (xy 181.705775 111.743892) + (xy 181.705554 111.744113) + (xy 181.705405 111.744204) + (xy 181.704504 111.745163) + (xy 181.702292 111.747375) + (xy 181.701509 111.746592) + (xy 181.700362 111.747296) + (xy 181.701721 111.748496) + (xy 181.689018 111.762879) + (xy 181.687127 111.766907) + (xy 181.638868 111.869695) + (xy 181.638867 111.869698) + (xy 181.636976 111.873726) + (xy 181.618136 111.994724) + (xy 181.618713 111.999137) + (xy 181.618713 111.999138) + (xy 181.632552 112.104965) + (xy 181.634014 112.116145) + (xy 181.635809 112.120224) + (xy 181.639286 112.128126) + (xy 181.641185 112.132443) + (xy 181.641244 112.132813) + (xy 181.642007 112.134311) + (xy 181.644031 112.13891) + (xy 181.644031 112.138911) + (xy 181.678351 112.216907) + (xy 181.683333 112.22823) + (xy 181.69479 112.24186) + (xy 181.698029 112.245713) + (xy 181.700157 112.248434) + (xy 181.702292 112.252625) + (xy 181.710081 112.260414) + (xy 181.71373 112.264392) + (xy 181.758191 112.317285) + (xy 181.762127 112.321968) + (xy 181.785399 112.337459) + (xy 181.791836 112.342733) + (xy 181.793899 112.344232) + (xy 181.797375 112.347708) + (xy 181.801753 112.349939) + (xy 181.801756 112.349941) + (xy 181.80873 112.353494) + (xy 181.814983 112.357152) + (xy 181.82027 112.360671) + (xy 181.831134 112.367903) + (xy 181.857778 112.407631) + (xy 181.859 112.419929) + (xy 181.859 112.806176) + (xy 181.840694 112.85037) + (xy 181.808104 112.865799) + (xy 181.808442 112.8675) + (xy 181.777287 112.873697) + (xy 181.777285 112.873698) + (xy 181.771252 112.874898) + (xy 181.729078 112.903078) + (xy 181.725659 112.908195) + (xy 181.701967 112.943652) + (xy 181.662193 112.970228) + (xy 181.615277 112.960896) + (xy 181.598033 112.943652) + (xy 181.574341 112.908195) + (xy 181.570922 112.903078) + (xy 181.528748 112.874898) + (xy 181.522715 112.873698) + (xy 181.522713 112.873697) + (xy 181.494569 112.868099) + (xy 181.491558 112.8675) + (xy 181.008442 112.8675) + (xy 181.005431 112.868099) + (xy 180.977287 112.873697) + (xy 180.977285 112.873698) + (xy 180.971252 112.874898) + (xy 180.929078 112.903078) + (xy 180.925659 112.908195) + (xy 180.901967 112.943652) + (xy 180.862193 112.970228) + (xy 180.815277 112.960896) + (xy 180.798033 112.943652) + (xy 180.774341 112.908195) + (xy 180.770922 112.903078) + (xy 180.728748 112.874898) + (xy 180.722715 112.873698) + (xy 180.722713 112.873697) + (xy 180.694569 112.868099) + (xy 180.691558 112.8675) + (xy 180.208442 112.8675) + (xy 180.205431 112.868099) + (xy 180.177287 112.873697) + (xy 180.177285 112.873698) + (xy 180.171252 112.874898) + (xy 180.129078 112.903078) + (xy 180.125659 112.908195) + (xy 180.101967 112.943652) + (xy 180.062193 112.970228) + (xy 180.015277 112.960896) + (xy 179.998033 112.943652) + (xy 179.974341 112.908195) + (xy 179.970922 112.903078) + (xy 179.928748 112.874898) + (xy 179.922715 112.873698) + (xy 179.922713 112.873697) + (xy 179.894569 112.868099) + (xy 179.891558 112.8675) + (xy 179.408442 112.8675) + (xy 179.405431 112.868099) + (xy 179.377287 112.873697) + (xy 179.377285 112.873698) + (xy 179.371252 112.874898) + (xy 179.329078 112.903078) + (xy 179.325659 112.908195) + (xy 179.301967 112.943652) + (xy 179.262193 112.970228) + (xy 179.215277 112.960896) + (xy 179.198033 112.943652) + (xy 179.174341 112.908195) + (xy 179.170922 112.903078) + (xy 179.128748 112.874898) + (xy 179.122715 112.873698) + (xy 179.122713 112.873697) + (xy 179.094569 112.868099) + (xy 179.091558 112.8675) + (xy 178.608442 112.8675) + (xy 178.605431 112.868099) + (xy 178.577287 112.873697) + (xy 178.577285 112.873698) + (xy 178.571252 112.874898) + (xy 178.529078 112.903078) + (xy 178.525659 112.908195) + (xy 178.501967 112.943652) + (xy 178.462193 112.970228) + (xy 178.415277 112.960896) + (xy 178.398033 112.943652) + (xy 178.374341 112.908195) + (xy 178.370922 112.903078) + (xy 178.328748 112.874898) + (xy 178.322715 112.873698) + (xy 178.322713 112.873697) + (xy 178.294569 112.868099) + (xy 178.291558 112.8675) + (xy 177.808442 112.8675) + (xy 177.805431 112.868099) + (xy 177.777287 112.873697) + (xy 177.777285 112.873698) + (xy 177.771252 112.874898) + (xy 177.729078 112.903078) + (xy 177.725659 112.908195) + (xy 177.701967 112.943652) + (xy 177.662193 112.970228) + (xy 177.615277 112.960896) + (xy 177.598033 112.943652) + (xy 177.574341 112.908195) + (xy 177.570922 112.903078) + (xy 177.528748 112.874898) + (xy 177.522715 112.873698) + (xy 177.522713 112.873697) + (xy 177.494569 112.868099) + (xy 177.491558 112.8675) + (xy 177.008442 112.8675) + (xy 177.005431 112.868099) + (xy 176.977287 112.873697) + (xy 176.977285 112.873698) + (xy 176.971252 112.874898) + (xy 176.929078 112.903078) + (xy 176.925659 112.908195) + (xy 176.901967 112.943652) + (xy 176.862193 112.970228) + (xy 176.815277 112.960896) + (xy 176.798033 112.943652) + (xy 176.774341 112.908195) + (xy 176.770922 112.903078) + (xy 176.728748 112.874898) + (xy 176.722715 112.873698) + (xy 176.722713 112.873697) + (xy 176.694569 112.868099) + (xy 176.691558 112.8675) + (xy 176.208442 112.8675) + (xy 176.205431 112.868099) + (xy 176.177287 112.873697) + (xy 176.177285 112.873698) + (xy 176.171252 112.874898) + (xy 176.129078 112.903078) + (xy 176.125659 112.908195) + (xy 176.101967 112.943652) + (xy 176.062193 112.970228) + (xy 176.015277 112.960896) + (xy 175.998033 112.943652) + (xy 175.974341 112.908195) + (xy 175.970922 112.903078) + (xy 175.928748 112.874898) + (xy 175.922715 112.873698) + (xy 175.922713 112.873697) + (xy 175.894569 112.868099) + (xy 175.891558 112.8675) + (xy 175.408442 112.8675) + (xy 175.405431 112.868099) + (xy 175.377287 112.873697) + (xy 175.377285 112.873698) + (xy 175.371252 112.874898) + (xy 175.329078 112.903078) + (xy 175.325659 112.908195) + (xy 175.325658 112.908196) + (xy 175.301665 112.944103) + (xy 175.261891 112.970678) + (xy 175.214975 112.961345) + (xy 175.197732 112.944101) + (xy 175.173983 112.908557) + (xy 175.165445 112.900019) + (xy 175.13367 112.878788) + (xy 175.122518 112.874169) + (xy 175.094519 112.868599) + (xy 175.088438 112.868) + (xy 174.925931 112.868) + (xy 174.917141 112.871641) + (xy 174.9135 112.880431) + (xy 174.9135 114.619569) + (xy 174.917141 114.628359) + (xy 174.925931 114.632) + (xy 175.088438 114.632) + (xy 175.094519 114.631401) + (xy 175.122518 114.625831) + (xy 175.13367 114.621212) + (xy 175.165445 114.599981) + (xy 175.173983 114.591443) + (xy 175.197732 114.555899) + (xy 175.237505 114.529322) + (xy 175.284421 114.538654) + (xy 175.301665 114.555897) + (xy 175.324795 114.590512) + (xy 175.329078 114.596922) + (xy 175.371252 114.625102) + (xy 175.377285 114.626302) + (xy 175.377287 114.626303) + (xy 175.408442 114.6325) + (xy 175.408043 114.634506) + (xy 175.444841 114.654209) + (xy 175.459 114.693824) + (xy 175.459 114.894997) + (xy 175.440694 114.939191) + (xy 172.867351 117.512534) + (xy 172.823157 117.53084) + (xy 166.826843 117.53084) + (xy 166.782649 117.512534) + (xy 166.709306 117.439191) + (xy 166.691 117.394997) + (xy 166.691 116.529119) + (xy 166.709306 116.484925) + (xy 166.7535 116.466619) + (xy 166.788223 116.477153) + (xy 166.845988 116.515751) + (xy 166.852024 116.516952) + (xy 166.852025 116.516952) + (xy 166.902043 116.526901) + (xy 166.902046 116.526901) + (xy 166.905056 116.5275) + (xy 167.094944 116.5275) + (xy 167.097954 116.526901) + (xy 167.097957 116.526901) + (xy 167.147975 116.516952) + (xy 167.147976 116.516952) + (xy 167.154012 116.515751) + (xy 167.220994 116.470994) + (xy 167.265751 116.404012) + (xy 167.266993 116.397767) + (xy 167.276901 116.347957) + (xy 167.276901 116.347954) + (xy 167.2775 116.344944) + (xy 167.2775 114.980056) + (xy 167.275022 114.967595) + (xy 167.266952 114.927025) + (xy 167.266952 114.927024) + (xy 167.265751 114.920988) + (xy 167.220994 114.854006) + (xy 167.215876 114.850586) + (xy 167.211524 114.846234) + (xy 167.213352 114.844406) + (xy 167.192201 114.812752) + (xy 167.191 114.800558) + (xy 167.191 114.514438) + (xy 174.494 114.514438) + (xy 174.494599 114.520519) + (xy 174.500169 114.548518) + (xy 174.504788 114.55967) + (xy 174.526019 114.591445) + (xy 174.534555 114.599981) + (xy 174.56633 114.621212) + (xy 174.577482 114.625831) + (xy 174.605481 114.631401) + (xy 174.611562 114.632) + (xy 174.774069 114.632) + (xy 174.782859 114.628359) + (xy 174.7865 114.619569) + (xy 174.7865 113.825931) + (xy 174.782859 113.817141) + (xy 174.774069 113.8135) + (xy 174.506431 113.8135) + (xy 174.497641 113.817141) + (xy 174.494 113.825931) + (xy 174.494 114.514438) + (xy 167.191 114.514438) + (xy 167.191 114.169384) + (xy 167.209306 114.12519) + (xy 167.220797 114.116123) + (xy 167.239712 114.104509) + (xy 167.244034 114.102085) + (xy 167.252625 114.097708) + (xy 167.255427 114.094906) + (xy 167.256264 114.094346) + (xy 167.258891 114.092733) + (xy 167.275881 114.082301) + (xy 167.358058 113.991513) + (xy 167.411451 113.881311) + (xy 167.413542 113.868885) + (xy 167.431367 113.762928) + (xy 167.431767 113.760552) + (xy 167.431896 113.75) + (xy 167.414536 113.628781) + (xy 167.363852 113.517307) + (xy 167.349199 113.500302) + (xy 167.347708 113.497375) + (xy 167.3419 113.491567) + (xy 167.338746 113.48817) + (xy 167.286826 113.427914) + (xy 167.283918 113.424539) + (xy 167.263741 113.411461) + (xy 167.260155 113.408559) + (xy 167.260081 113.408661) + (xy 167.256106 113.405773) + (xy 167.252625 113.402292) + (xy 167.241343 113.396543) + (xy 167.235736 113.393309) + (xy 167.232972 113.391517) + (xy 167.209976 113.376612) + (xy 167.184893 113.360354) + (xy 167.18489 113.360353) + (xy 167.18116 113.357935) + (xy 167.176901 113.356661) + (xy 167.176898 113.35666) + (xy 167.158345 113.351112) + (xy 167.148839 113.348269) + (xy 167.138377 113.344079) + (xy 167.132813 113.341244) + (xy 167.121067 113.339384) + (xy 167.112951 113.337536) + (xy 167.091414 113.331095) + (xy 167.063838 113.322848) + (xy 167.019496 113.322577) + (xy 167.010103 113.321809) + (xy 167.004857 113.320978) + (xy 167.004856 113.320978) + (xy 167 113.320209) + (xy 166.991373 113.321576) + (xy 166.98122 113.322344) + (xy 166.962836 113.322231) + (xy 166.945838 113.322127) + (xy 166.945836 113.322127) + (xy 166.941385 113.3221) + (xy 166.887011 113.337641) + (xy 166.879623 113.339275) + (xy 166.867187 113.341244) + (xy 166.862804 113.343477) + (xy 166.86187 113.343781) + (xy 166.852155 113.347603) + (xy 166.827929 113.354526) + (xy 166.827927 113.354527) + (xy 166.823644 113.355751) + (xy 166.819874 113.35813) + (xy 166.818721 113.358857) + (xy 166.817382 113.359244) + (xy 166.815813 113.359946) + (xy 166.815715 113.359726) + (xy 166.785369 113.3685) + (xy 164.215944 113.3685) + (xy 164.189634 113.36072) + (xy 164.188939 113.362224) + (xy 164.184892 113.360354) + (xy 164.18116 113.357935) + (xy 164.176901 113.356661) + (xy 164.176898 113.35666) + (xy 164.158345 113.351112) + (xy 164.148839 113.348269) + (xy 164.138377 113.344079) + (xy 164.132813 113.341244) + (xy 164.121067 113.339384) + (xy 164.112951 113.337536) + (xy 164.091414 113.331095) + (xy 164.063838 113.322848) + (xy 164.019496 113.322577) + (xy 164.010103 113.321809) + (xy 164.004857 113.320978) + (xy 164.004856 113.320978) + (xy 164 113.320209) + (xy 163.991373 113.321576) + (xy 163.98122 113.322344) + (xy 163.962836 113.322231) + (xy 163.945838 113.322127) + (xy 163.945836 113.322127) + (xy 163.941385 113.3221) + (xy 163.887011 113.337641) + (xy 163.879623 113.339275) + (xy 163.867187 113.341244) + (xy 163.862804 113.343477) + (xy 163.86187 113.343781) + (xy 163.852155 113.347603) + (xy 163.827929 113.354526) + (xy 163.827927 113.354527) + (xy 163.823644 113.355751) + (xy 163.819874 113.35813) + (xy 163.818721 113.358857) + (xy 163.817382 113.359244) + (xy 163.815813 113.359946) + (xy 163.815715 113.359726) + (xy 163.785369 113.3685) + (xy 156.715944 113.3685) + (xy 156.689634 113.36072) + (xy 156.688939 113.362224) + (xy 156.684892 113.360354) + (xy 156.68116 113.357935) + (xy 156.676901 113.356661) + (xy 156.676898 113.35666) + (xy 156.658345 113.351112) + (xy 156.648839 113.348269) + (xy 156.638377 113.344079) + (xy 156.632813 113.341244) + (xy 156.621067 113.339384) + (xy 156.612951 113.337536) + (xy 156.591414 113.331095) + (xy 156.563838 113.322848) + (xy 156.519496 113.322577) + (xy 156.510103 113.321809) + (xy 156.504857 113.320978) + (xy 156.504856 113.320978) + (xy 156.5 113.320209) + (xy 156.491373 113.321576) + (xy 156.48122 113.322344) + (xy 156.462836 113.322231) + (xy 156.445838 113.322127) + (xy 156.445836 113.322127) + (xy 156.441385 113.3221) + (xy 156.387011 113.337641) + (xy 156.379623 113.339275) + (xy 156.367187 113.341244) + (xy 156.362804 113.343477) + (xy 156.36187 113.343781) + (xy 156.352155 113.347603) + (xy 156.327929 113.354526) + (xy 156.327927 113.354527) + (xy 156.323644 113.355751) + (xy 156.319874 113.35813) + (xy 156.318721 113.358857) + (xy 156.317382 113.359244) + (xy 156.315813 113.359946) + (xy 156.315715 113.359726) + (xy 156.285369 113.3685) + (xy 149.715944 113.3685) + (xy 149.689634 113.36072) + (xy 149.688939 113.362224) + (xy 149.684892 113.360354) + (xy 149.68116 113.357935) + (xy 149.676901 113.356661) + (xy 149.676898 113.35666) + (xy 149.658345 113.351112) + (xy 149.648839 113.348269) + (xy 149.638377 113.344079) + (xy 149.632813 113.341244) + (xy 149.621067 113.339384) + (xy 149.612951 113.337536) + (xy 149.591414 113.331095) + (xy 149.563838 113.322848) + (xy 149.519496 113.322577) + (xy 149.510103 113.321809) + (xy 149.504857 113.320978) + (xy 149.504856 113.320978) + (xy 149.5 113.320209) + (xy 149.491373 113.321576) + (xy 149.48122 113.322344) + (xy 149.462836 113.322231) + (xy 149.445838 113.322127) + (xy 149.445836 113.322127) + (xy 149.441385 113.3221) + (xy 149.387011 113.337641) + (xy 149.379623 113.339275) + (xy 149.367187 113.341244) + (xy 149.362804 113.343477) + (xy 149.36187 113.343781) + (xy 149.352155 113.347603) + (xy 149.327929 113.354526) + (xy 149.327927 113.354527) + (xy 149.323644 113.355751) + (xy 149.319875 113.358129) + (xy 149.31604 113.360549) + (xy 149.264119 113.393309) + (xy 149.262819 113.394129) + (xy 149.257842 113.396959) + (xy 149.251757 113.400059) + (xy 149.251756 113.40006) + (xy 149.247375 113.402292) + (xy 149.243897 113.40577) + (xy 149.242445 113.406825) + (xy 149.239059 113.40912) + (xy 149.237794 113.409918) + (xy 149.22008 113.421095) + (xy 149.217137 113.424427) + (xy 149.217135 113.424429) + (xy 149.157156 113.492342) + (xy 149.156032 113.493538) + (xy 149.155775 113.493892) + (xy 149.155554 113.494113) + (xy 149.155405 113.494204) + (xy 149.154504 113.495163) + (xy 149.152292 113.497375) + (xy 149.151509 113.496592) + (xy 149.150362 113.497296) + (xy 149.151721 113.498496) + (xy 149.139018 113.512879) + (xy 149.137127 113.516906) + (xy 149.137126 113.516908) + (xy 149.134237 113.523062) + (xy 149.098884 113.555287) + (xy 149.077662 113.559) + (xy 148.830191 113.559) + (xy 148.785997 113.540694) + (xy 148.767691 113.4965) + (xy 148.785997 113.452306) + (xy 148.80964 113.437475) + (xy 148.814471 113.435793) + (xy 148.821469 113.435014) + (xy 148.827436 113.431279) + (xy 148.831381 113.429905) + (xy 148.835137 113.428098) + (xy 148.841999 113.426532) + (xy 148.84754 113.422117) + (xy 148.864813 113.408352) + (xy 148.870599 113.404256) + (xy 148.873755 113.40228) + (xy 148.873757 113.402279) + (xy 148.876751 113.400404) + (xy 148.881964 113.395191) + (xy 148.887208 113.390506) + (xy 148.904644 113.376612) + (xy 148.904644 113.376611) + (xy 148.910149 113.372225) + (xy 148.913207 113.365886) + (xy 148.917598 113.360385) + (xy 148.918132 113.360811) + (xy 148.922508 113.354647) + (xy 149.810809 112.466346) + (xy 149.855003 112.44804) + (xy 153.449728 112.44804) + (xy 153.457067 112.44929) + (xy 153.45715 112.448563) + (xy 153.464141 112.44936) + (xy 153.470782 112.451692) + (xy 153.477775 112.450914) + (xy 153.477776 112.450914) + (xy 153.500157 112.448423) + (xy 153.50707 112.44804) + (xy 153.514493 112.44804) + (xy 153.521425 112.446459) + (xy 153.528378 112.445283) + (xy 153.557389 112.442054) + (xy 153.563353 112.438321) + (xy 153.567301 112.436946) + (xy 153.57106 112.435137) + (xy 153.577919 112.433572) + (xy 153.584179 112.428584) + (xy 153.58752 112.425921) + (xy 153.63349 112.412694) + (xy 153.645109 112.415143) + (xy 153.65427 112.418005) + (xy 153.680948 112.42634) + (xy 153.730069 112.427241) + (xy 153.738683 112.427999) + (xy 153.74514 112.429022) + (xy 153.745144 112.429022) + (xy 153.75 112.429791) + (xy 153.756947 112.42869) + (xy 153.767853 112.427933) + (xy 153.803383 112.428584) + (xy 153.863532 112.412185) + (xy 153.87019 112.410755) + (xy 153.871945 112.410477) + (xy 153.882813 112.408756) + (xy 153.887196 112.406522) + (xy 153.891875 112.405002) + (xy 153.891878 112.40501) + (xy 153.896727 112.403136) + (xy 153.921527 112.396375) + (xy 153.92828 112.392229) + (xy 153.989709 112.354511) + (xy 153.994037 112.352085) + (xy 153.99824 112.349944) + (xy 153.998248 112.349938) + (xy 154.002625 112.347708) + (xy 154.005427 112.344906) + (xy 154.006264 112.344346) + (xy 154.006342 112.344298) + (xy 154.025881 112.332301) + (xy 154.108058 112.241513) + (xy 154.161451 112.131311) + (xy 154.16285 112.123) + (xy 154.175518 112.047694) + (xy 154.181767 112.010552) + (xy 154.181896 112) + (xy 154.164536 111.878781) + (xy 154.113852 111.767307) + (xy 154.099199 111.750302) + (xy 154.097708 111.747375) + (xy 154.0919 111.741567) + (xy 154.088746 111.73817) + (xy 154.046543 111.689191) + (xy 154.033918 111.674539) + (xy 154.013741 111.661461) + (xy 154.010155 111.658559) + (xy 154.010081 111.658661) + (xy 154.006106 111.655773) + (xy 154.002625 111.652292) + (xy 153.991343 111.646543) + (xy 153.985736 111.643309) + (xy 153.934893 111.610354) + (xy 153.93489 111.610353) + (xy 153.93116 111.607935) + (xy 153.926901 111.606661) + (xy 153.926898 111.60666) + (xy 153.908345 111.601112) + (xy 153.898839 111.598269) + (xy 153.888377 111.594079) + (xy 153.882813 111.591244) + (xy 153.871067 111.589384) + (xy 153.86295 111.587535) + (xy 153.824452 111.576021) + (xy 153.787357 111.54582) + (xy 153.782482 111.498233) + (xy 153.798167 111.471948) + (xy 153.837788 111.432327) + (xy 153.881982 111.414021) + (xy 153.90062 111.416865) + (xy 153.926696 111.425012) + (xy 153.926699 111.425012) + (xy 153.930948 111.42634) + (xy 153.980069 111.427241) + (xy 153.988683 111.427999) + (xy 153.99514 111.429022) + (xy 153.995144 111.429022) + (xy 154 111.429791) + (xy 154.006947 111.42869) + (xy 154.017853 111.427933) + (xy 154.053383 111.428584) + (xy 154.113532 111.412185) + (xy 154.12019 111.410755) + (xy 154.1217 111.410516) + (xy 154.132813 111.408756) + (xy 154.137196 111.406522) + (xy 154.141875 111.405002) + (xy 154.141878 111.40501) + (xy 154.146727 111.403136) + (xy 154.171527 111.396375) + (xy 154.194218 111.382443) + (xy 154.239709 111.354511) + (xy 154.244037 111.352085) + (xy 154.24824 111.349944) + (xy 154.248248 111.349938) + (xy 154.252625 111.347708) + (xy 154.255427 111.344906) + (xy 154.256264 111.344346) + (xy 154.275881 111.332301) + (xy 154.358058 111.241513) + (xy 154.411451 111.131311) + (xy 154.413406 111.119695) + (xy 154.424237 111.055309) + (xy 154.431767 111.010552) + (xy 154.431896 111) + (xy 154.414536 110.878781) + (xy 154.363852 110.767307) + (xy 154.349199 110.750302) + (xy 154.347708 110.747375) + (xy 154.3419 110.741567) + (xy 154.338746 110.73817) + (xy 154.286826 110.677914) + (xy 154.283918 110.674539) + (xy 154.263741 110.661461) + (xy 154.260155 110.658559) + (xy 154.260081 110.658661) + (xy 154.256106 110.655773) + (xy 154.252625 110.652292) + (xy 154.241343 110.646543) + (xy 154.235736 110.643309) + (xy 154.184893 110.610354) + (xy 154.18489 110.610353) + (xy 154.18116 110.607935) + (xy 154.176901 110.606661) + (xy 154.176898 110.60666) + (xy 154.158345 110.601112) + (xy 154.148839 110.598269) + (xy 154.138377 110.594079) + (xy 154.132813 110.591244) + (xy 154.121067 110.589384) + (xy 154.112951 110.587536) + (xy 154.063838 110.572848) + (xy 154.019496 110.572577) + (xy 154.010103 110.571809) + (xy 154.004857 110.570978) + (xy 154.004856 110.570978) + (xy 154 110.570209) + (xy 153.991373 110.571576) + (xy 153.98122 110.572344) + (xy 153.962836 110.572231) + (xy 153.945838 110.572127) + (xy 153.945836 110.572127) + (xy 153.941385 110.5721) + (xy 153.887011 110.587641) + (xy 153.879623 110.589275) + (xy 153.867187 110.591244) + (xy 153.862804 110.593477) + (xy 153.86187 110.593781) + (xy 153.852155 110.597603) + (xy 153.827929 110.604526) + (xy 153.827927 110.604527) + (xy 153.823644 110.605751) + (xy 153.764119 110.643309) + (xy 153.762819 110.644129) + (xy 153.757842 110.646959) + (xy 153.751757 110.650059) + (xy 153.751756 110.65006) + (xy 153.747375 110.652292) + (xy 153.743897 110.65577) + (xy 153.742445 110.656825) + (xy 153.739058 110.65912) + (xy 153.72008 110.671095) + (xy 153.717137 110.674427) + (xy 153.717135 110.674429) + (xy 153.657156 110.742342) + (xy 153.656032 110.743538) + (xy 153.655775 110.743892) + (xy 153.655554 110.744113) + (xy 153.655405 110.744204) + (xy 153.654504 110.745163) + (xy 153.652292 110.747375) + (xy 153.651509 110.746592) + (xy 153.650362 110.747296) + (xy 153.651721 110.748496) + (xy 153.639018 110.762879) + (xy 153.634151 110.773246) + (xy 153.588868 110.869695) + (xy 153.588867 110.869698) + (xy 153.586976 110.873726) + (xy 153.568136 110.994724) + (xy 153.568713 110.999137) + (xy 153.568713 110.999138) + (xy 153.570535 111.013072) + (xy 153.583145 111.109494) + (xy 153.58346 111.111905) + (xy 153.57104 111.158099) + (xy 153.565682 111.164203) + (xy 153.526258 111.203627) + (xy 153.482064 111.221933) + (xy 153.43787 111.203627) + (xy 153.420195 111.168294) + (xy 153.416439 111.14207) + (xy 153.414536 111.128781) + (xy 153.363852 111.017307) + (xy 153.349199 111.000302) + (xy 153.347708 110.997375) + (xy 153.3419 110.991567) + (xy 153.338746 110.98817) + (xy 153.286826 110.927914) + (xy 153.283918 110.924539) + (xy 153.263741 110.911461) + (xy 153.260155 110.908559) + (xy 153.260081 110.908661) + (xy 153.256106 110.905773) + (xy 153.252625 110.902292) + (xy 153.241343 110.896543) + (xy 153.235736 110.893309) + (xy 153.223408 110.885318) + (xy 153.213322 110.878781) + (xy 153.184893 110.860354) + (xy 153.18489 110.860353) + (xy 153.18116 110.857935) + (xy 153.176901 110.856661) + (xy 153.176898 110.85666) + (xy 153.155412 110.850235) + (xy 153.148839 110.848269) + (xy 153.138377 110.844079) + (xy 153.132813 110.841244) + (xy 153.121067 110.839384) + (xy 153.112951 110.837536) + (xy 153.063838 110.822848) + (xy 153.019496 110.822577) + (xy 153.010103 110.821809) + (xy 153.004857 110.820978) + (xy 153.004856 110.820978) + (xy 153 110.820209) + (xy 152.991373 110.821576) + (xy 152.98122 110.822344) + (xy 152.962836 110.822231) + (xy 152.945838 110.822127) + (xy 152.945836 110.822127) + (xy 152.941385 110.8221) + (xy 152.887011 110.837641) + (xy 152.879623 110.839275) + (xy 152.867187 110.841244) + (xy 152.862804 110.843477) + (xy 152.86187 110.843781) + (xy 152.852155 110.847603) + (xy 152.827929 110.854526) + (xy 152.827927 110.854527) + (xy 152.823644 110.855751) + (xy 152.764119 110.893309) + (xy 152.762819 110.894129) + (xy 152.757842 110.896959) + (xy 152.751757 110.900059) + (xy 152.751756 110.90006) + (xy 152.747375 110.902292) + (xy 152.743897 110.90577) + (xy 152.742445 110.906825) + (xy 152.739058 110.90912) + (xy 152.72008 110.921095) + (xy 152.717137 110.924427) + (xy 152.717135 110.924429) + (xy 152.657156 110.992342) + (xy 152.656032 110.993538) + (xy 152.655775 110.993892) + (xy 152.655554 110.994113) + (xy 152.655405 110.994204) + (xy 152.654504 110.995163) + (xy 152.652292 110.997375) + (xy 152.651509 110.996592) + (xy 152.650362 110.997296) + (xy 152.651721 110.998496) + (xy 152.644398 111.006788) + (xy 152.639018 111.012879) + (xy 152.630692 111.030613) + (xy 152.588868 111.119695) + (xy 152.588867 111.119698) + (xy 152.586976 111.123726) + (xy 152.568136 111.244724) + (xy 152.568713 111.249137) + (xy 152.568713 111.249138) + (xy 152.570995 111.266589) + (xy 152.582323 111.353208) + (xy 152.58346 111.361905) + (xy 152.57104 111.408099) + (xy 152.565682 111.414203) + (xy 152.439191 111.540694) + (xy 152.394997 111.559) + (xy 149.605003 111.559) + (xy 149.560809 111.540694) + (xy 149.269493 111.249378) + (xy 149.251187 111.205184) + (xy 149.269493 111.16099) + (xy 149.313687 111.142684) + (xy 149.332321 111.145527) + (xy 149.3503 111.151144) + (xy 149.360027 111.155109) + (xy 149.362799 111.156521) + (xy 149.362803 111.156522) + (xy 149.367187 111.158756) + (xy 149.377852 111.160445) + (xy 149.386713 111.16252) + (xy 149.426696 111.175012) + (xy 149.426699 111.175012) + (xy 149.430948 111.17634) + (xy 149.480069 111.177241) + (xy 149.488683 111.177999) + (xy 149.49514 111.179022) + (xy 149.495144 111.179022) + (xy 149.5 111.179791) + (xy 149.506947 111.17869) + (xy 149.517853 111.177933) + (xy 149.553383 111.178584) + (xy 149.613532 111.162185) + (xy 149.62019 111.160755) + (xy 149.622147 111.160445) + (xy 149.632813 111.158756) + (xy 149.637196 111.156522) + (xy 149.641875 111.155002) + (xy 149.641878 111.15501) + (xy 149.646727 111.153136) + (xy 149.671527 111.146375) + (xy 149.67621 111.1435) + (xy 149.739709 111.104511) + (xy 149.744037 111.102085) + (xy 149.74824 111.099944) + (xy 149.748248 111.099938) + (xy 149.752625 111.097708) + (xy 149.755427 111.094906) + (xy 149.756264 111.094346) + (xy 149.759316 111.092472) + (xy 149.775881 111.082301) + (xy 149.858058 110.991513) + (xy 149.911451 110.881311) + (xy 149.912278 110.876399) + (xy 149.929949 110.771357) + (xy 149.931767 110.760552) + (xy 149.931896 110.75) + (xy 149.914536 110.628781) + (xy 149.863852 110.517307) + (xy 149.849199 110.500302) + (xy 149.847708 110.497375) + (xy 149.8419 110.491567) + (xy 149.838746 110.48817) + (xy 149.811743 110.456831) + (xy 149.783918 110.424539) + (xy 149.763741 110.411461) + (xy 149.760155 110.408559) + (xy 149.760081 110.408661) + (xy 149.756106 110.405773) + (xy 149.752625 110.402292) + (xy 149.741343 110.396543) + (xy 149.735736 110.393309) + (xy 149.684893 110.360354) + (xy 149.68489 110.360353) + (xy 149.68116 110.357935) + (xy 149.676901 110.356661) + (xy 149.676898 110.35666) + (xy 149.658345 110.351112) + (xy 149.648839 110.348269) + (xy 149.638377 110.344079) + (xy 149.632813 110.341244) + (xy 149.621067 110.339384) + (xy 149.612951 110.337536) + (xy 149.563838 110.322848) + (xy 149.519496 110.322577) + (xy 149.510103 110.321809) + (xy 149.504857 110.320978) + (xy 149.504856 110.320978) + (xy 149.5 110.320209) + (xy 149.491373 110.321576) + (xy 149.48122 110.322344) + (xy 149.462836 110.322231) + (xy 149.445838 110.322127) + (xy 149.445836 110.322127) + (xy 149.441385 110.3221) + (xy 149.387011 110.337641) + (xy 149.379623 110.339275) + (xy 149.367187 110.341244) + (xy 149.362804 110.343477) + (xy 149.36187 110.343781) + (xy 149.352156 110.347603) + (xy 149.349821 110.34827) + (xy 149.327929 110.354526) + (xy 149.327927 110.354527) + (xy 149.323644 110.355751) + (xy 149.264119 110.393309) + (xy 149.262819 110.394129) + (xy 149.257842 110.396959) + (xy 149.251757 110.400059) + (xy 149.251756 110.40006) + (xy 149.247375 110.402292) + (xy 149.243897 110.40577) + (xy 149.242445 110.406825) + (xy 149.239058 110.40912) + (xy 149.22008 110.421095) + (xy 149.217137 110.424427) + (xy 149.217135 110.424429) + (xy 149.157156 110.492342) + (xy 149.156032 110.493538) + (xy 149.155775 110.493892) + (xy 149.155554 110.494113) + (xy 149.155405 110.494204) + (xy 149.154504 110.495163) + (xy 149.152292 110.497375) + (xy 149.151509 110.496592) + (xy 149.150362 110.497296) + (xy 149.151721 110.498496) + (xy 149.139018 110.512879) + (xy 149.137127 110.516906) + (xy 149.137126 110.516908) + (xy 149.134237 110.523062) + (xy 149.098884 110.555287) + (xy 149.077662 110.559) + (xy 148.453518 110.559) + (xy 148.409324 110.540694) + (xy 148.391018 110.4965) + (xy 148.401551 110.461777) + (xy 148.436859 110.408933) + (xy 148.44148 110.397779) + (xy 148.451401 110.347904) + (xy 148.452 110.341823) + (xy 148.452 110.325931) + (xy 148.448359 110.317141) + (xy 148.439569 110.3135) + (xy 146.735432 110.3135) + (xy 146.726642 110.317141) + (xy 146.723001 110.325931) + (xy 146.723001 110.341821) + (xy 146.7236 110.347906) + (xy 146.73352 110.397776) + (xy 146.738142 110.408934) + (xy 146.775487 110.464827) + (xy 146.784819 110.511744) + (xy 146.775486 110.534274) + (xy 146.734249 110.595988) + (xy 146.733048 110.602024) + (xy 146.733048 110.602025) + (xy 146.723494 110.65006) + (xy 146.7225 110.655056) + (xy 146.7225 110.844944) + (xy 146.723099 110.847954) + (xy 146.723099 110.847957) + (xy 146.731086 110.888112) + (xy 146.734249 110.904012) + (xy 146.771553 110.959839) + (xy 146.772847 110.961776) + (xy 146.78218 111.008692) + (xy 146.755605 111.048466) + (xy 146.720881 111.059) + (xy 145.043232 111.059) + (xy 145.035893 111.05775) + (xy 145.03581 111.058477) + (xy 145.028819 111.05768) + (xy 145.022178 111.055348) + (xy 145.015185 111.056126) + (xy 145.015184 111.056126) + (xy 144.9928 111.058617) + (xy 144.985888 111.059) + (xy 144.978467 111.059) + (xy 144.975044 111.059781) + (xy 144.975043 111.059781) + (xy 144.971552 111.060577) + (xy 144.964569 111.061758) + (xy 144.942562 111.064207) + (xy 144.935571 111.064985) + (xy 144.929608 111.068718) + (xy 144.925675 111.070088) + (xy 144.921905 111.071902) + (xy 144.915041 111.073468) + (xy 144.909535 111.077855) + (xy 144.909536 111.077855) + (xy 144.892229 111.091646) + (xy 144.886446 111.09574) + (xy 144.88329 111.097716) + (xy 144.883284 111.097721) + (xy 144.880289 111.099596) + (xy 144.875076 111.104809) + (xy 144.869832 111.109494) + (xy 144.857031 111.119695) + (xy 144.846891 111.127775) + (xy 144.843833 111.134114) + (xy 144.839442 111.139615) + (xy 144.838908 111.139189) + (xy 144.834532 111.145353) + (xy 144.530094 111.449791) + (xy 144.4859 111.468097) + (xy 144.441706 111.449791) + (xy 144.4234 111.405597) + (xy 144.4234 111.372603) + (xy 144.441706 111.328409) + (xy 145.810809 109.959306) + (xy 145.855003 109.941) + (xy 146.721482 109.941) + (xy 146.765676 109.959306) + (xy 146.783982 110.0035) + (xy 146.773449 110.038223) + (xy 146.738141 110.091067) + (xy 146.73352 110.102221) + (xy 146.723599 110.152096) + (xy 146.723 110.158177) + (xy 146.723 110.174069) + (xy 146.726641 110.182859) + (xy 146.735431 110.1865) + (xy 148.439568 110.1865) + (xy 148.448358 110.182859) + (xy 148.451999 110.174069) + (xy 148.451999 110.158179) + (xy 148.4514 110.152094) + (xy 148.44148 110.102224) + (xy 148.436858 110.091066) + (xy 148.399513 110.035173) + (xy 148.390181 109.988256) + (xy 148.399514 109.965726) + (xy 148.408998 109.951533) + (xy 148.440751 109.904012) + (xy 148.441966 109.897906) + (xy 148.451901 109.847957) + (xy 148.451901 109.847954) + (xy 148.4525 109.844944) + (xy 148.4525 109.655056) + (xy 148.45118 109.648417) + (xy 148.441952 109.602025) + (xy 148.441952 109.602024) + (xy 148.440751 109.595988) + (xy 148.399814 109.534722) + (xy 148.390482 109.487807) + (xy 148.399813 109.465279) + (xy 148.440751 109.404012) + (xy 148.4525 109.344944) + (xy 148.4525 109.155056) + (xy 148.446025 109.1225) + (xy 148.441952 109.102025) + (xy 148.441952 109.102024) + (xy 148.440751 109.095988) + (xy 148.399814 109.034722) + (xy 148.390482 108.987807) + (xy 148.399813 108.965279) + (xy 148.440751 108.904012) + (xy 148.44843 108.865405) + (xy 148.451901 108.847957) + (xy 148.451901 108.847954) + (xy 148.4525 108.844944) + (xy 148.4525 108.655056) + (xy 148.446843 108.626612) + (xy 148.441952 108.602025) + (xy 148.441952 108.602024) + (xy 148.440751 108.595988) + (xy 148.399814 108.534722) + (xy 148.390482 108.487807) + (xy 148.399813 108.465279) + (xy 148.440751 108.404012) + (xy 148.44324 108.391498) + (xy 148.451901 108.347957) + (xy 148.451901 108.347954) + (xy 148.4525 108.344944) + (xy 148.4525 108.155056) + (xy 148.4505 108.144998) + (xy 148.441952 108.102025) + (xy 148.441952 108.102024) + (xy 148.440751 108.095988) + (xy 148.399814 108.034722) + (xy 148.390482 107.987807) + (xy 148.399813 107.965279) + (xy 148.440751 107.904012) + (xy 148.441993 107.897767) + (xy 148.451901 107.847957) + (xy 148.451901 107.847954) + (xy 148.4525 107.844944) + (xy 148.4525 107.655056) + (xy 148.443438 107.609494) + (xy 148.441952 107.602025) + (xy 148.441952 107.602024) + (xy 148.440751 107.595988) + (xy 148.399814 107.534722) + (xy 148.390482 107.487807) + (xy 148.399813 107.465279) + (xy 148.440751 107.404012) + (xy 148.449482 107.360119) + (xy 148.451901 107.347957) + (xy 148.451901 107.347954) + (xy 148.4525 107.344944) + (xy 148.4525 107.155056) + (xy 148.451007 107.147546) + (xy 148.441952 107.102025) + (xy 148.441952 107.102024) + (xy 148.440751 107.095988) + (xy 148.399814 107.034722) + (xy 148.390482 106.987807) + (xy 148.399813 106.965279) + (xy 148.440751 106.904012) + (xy 148.441991 106.897779) + (xy 148.451901 106.847957) + (xy 148.451901 106.847954) + (xy 148.4525 106.844944) + (xy 148.4525 106.655056) + (xy 148.45174 106.651232) + (xy 148.441952 106.602025) + (xy 148.441952 106.602024) + (xy 148.440751 106.595988) + (xy 148.399814 106.534722) + (xy 148.390482 106.487807) + (xy 148.399813 106.465278) + (xy 148.440751 106.404012) + (xy 148.441991 106.397779) + (xy 148.451901 106.347957) + (xy 148.451901 106.347954) + (xy 148.4525 106.344944) + (xy 148.4525 106.155056) + (xy 148.451507 106.15006) + (xy 148.441952 106.102025) + (xy 148.441952 106.102024) + (xy 148.440751 106.095988) + (xy 148.399814 106.034722) + (xy 148.390482 105.987807) + (xy 148.399813 105.965279) + (xy 148.440751 105.904012) + (xy 148.445041 105.882443) + (xy 148.451901 105.847957) + (xy 148.451901 105.847954) + (xy 148.4525 105.844944) + (xy 148.4525 105.655056) + (xy 148.451007 105.647546) + (xy 148.441952 105.602025) + (xy 148.441952 105.602024) + (xy 148.440751 105.595988) + (xy 148.399814 105.534722) + (xy 148.390482 105.487807) + (xy 148.399813 105.465279) + (xy 148.440751 105.404012) + (xy 148.441991 105.397779) + (xy 148.451901 105.347957) + (xy 148.451901 105.347954) + (xy 148.4525 105.344944) + (xy 148.4525 105.155056) + (xy 148.451007 105.147546) + (xy 148.441952 105.102025) + (xy 148.441952 105.102024) + (xy 148.440751 105.095988) + (xy 148.399514 105.034274) + (xy 148.390181 104.987358) + (xy 148.399513 104.964827) + (xy 148.436859 104.908933) + (xy 148.44148 104.897779) + (xy 148.451401 104.847904) + (xy 148.452 104.841823) + (xy 148.452 104.825931) + (xy 148.448359 104.817141) + (xy 148.439569 104.8135) + (xy 146.735432 104.8135) + (xy 146.726642 104.817141) + (xy 146.723001 104.825931) + (xy 146.723001 104.841821) + (xy 146.7236 104.847906) + (xy 146.73352 104.897776) + (xy 146.738142 104.908934) + (xy 146.773449 104.961777) + (xy 146.782781 105.008694) + (xy 146.756205 105.048467) + (xy 146.721482 105.059) + (xy 145.823151 105.059) + (xy 145.778957 105.040694) + (xy 145.760651 104.9965) + (xy 145.778957 104.952306) + (xy 145.8026 104.937475) + (xy 145.807431 104.935793) + (xy 145.814429 104.935014) + (xy 145.820396 104.931279) + (xy 145.824341 104.929905) + (xy 145.828097 104.928098) + (xy 145.834959 104.926532) + (xy 145.857774 104.908351) + (xy 145.863559 104.904256) + (xy 145.866715 104.90228) + (xy 145.866717 104.902279) + (xy 145.869711 104.900404) + (xy 145.874924 104.895191) + (xy 145.880168 104.890506) + (xy 145.897604 104.876612) + (xy 145.897604 104.876611) + (xy 145.903109 104.872225) + (xy 145.906167 104.865886) + (xy 145.910558 104.860385) + (xy 145.911092 104.860811) + (xy 145.915468 104.854647) + (xy 146.310809 104.459306) + (xy 146.355003 104.441) + (xy 146.721482 104.441) + (xy 146.765676 104.459306) + (xy 146.783982 104.5035) + (xy 146.773449 104.538223) + (xy 146.738141 104.591067) + (xy 146.73352 104.602221) + (xy 146.723599 104.652096) + (xy 146.723 104.658177) + (xy 146.723 104.674069) + (xy 146.726641 104.682859) + (xy 146.735431 104.6865) + (xy 148.439568 104.6865) + (xy 148.448358 104.682859) + (xy 148.451999 104.674069) + (xy 148.451999 104.658179) + (xy 148.4514 104.652094) + (xy 148.44148 104.602224) + (xy 148.436858 104.591066) + (xy 148.399513 104.535173) + (xy 148.390181 104.488256) + (xy 148.399514 104.465726) + (xy 148.403804 104.459306) + (xy 148.440751 104.404012) + (xy 148.442717 104.394129) + (xy 148.451901 104.347957) + (xy 148.451901 104.347954) + (xy 148.4525 104.344944) + (xy 148.4525 104.155056) + (xy 148.451007 104.147546) + (xy 148.441952 104.102025) + (xy 148.441952 104.102024) + (xy 148.440751 104.095988) + (xy 148.402153 104.038223) + (xy 148.39282 103.991308) + (xy 148.419395 103.951534) + (xy 148.454119 103.941) + (xy 149.076187 103.941) + (xy 149.120381 103.959306) + (xy 149.129248 103.970473) + (xy 149.13154 103.974155) + (xy 149.133333 103.97823) + (xy 149.147198 103.994724) + (xy 149.148029 103.995713) + (xy 149.150157 103.998434) + (xy 149.152292 104.002625) + (xy 149.160081 104.010414) + (xy 149.16373 104.014392) + (xy 149.207685 104.066683) + (xy 149.212127 104.071968) + (xy 149.235399 104.087459) + (xy 149.241836 104.092733) + (xy 149.243899 104.094232) + (xy 149.247375 104.097708) + (xy 149.251753 104.099939) + (xy 149.251756 104.099941) + (xy 149.25873 104.103494) + (xy 149.264977 104.107148) + (xy 149.314064 104.139823) + (xy 149.318313 104.141151) + (xy 149.318314 104.141151) + (xy 149.350296 104.151143) + (xy 149.360027 104.155109) + (xy 149.362799 104.156521) + (xy 149.362803 104.156522) + (xy 149.367187 104.158756) + (xy 149.377852 104.160445) + (xy 149.386713 104.16252) + (xy 149.426696 104.175012) + (xy 149.426699 104.175012) + (xy 149.430948 104.17634) + (xy 149.480069 104.177241) + (xy 149.488683 104.177999) + (xy 149.49514 104.179022) + (xy 149.495144 104.179022) + (xy 149.5 104.179791) + (xy 149.506947 104.17869) + (xy 149.517853 104.177933) + (xy 149.553383 104.178584) + (xy 149.613532 104.162185) + (xy 149.62019 104.160755) + (xy 149.622147 104.160445) + (xy 149.632813 104.158756) + (xy 149.637196 104.156522) + (xy 149.641875 104.155002) + (xy 149.641878 104.15501) + (xy 149.646727 104.153136) + (xy 149.671527 104.146375) + (xy 149.683231 104.139189) + (xy 149.739709 104.104511) + (xy 149.744037 104.102085) + (xy 149.74824 104.099944) + (xy 149.748248 104.099938) + (xy 149.752625 104.097708) + (xy 149.755427 104.094906) + (xy 149.756264 104.094346) + (xy 149.76065 104.091653) + (xy 149.775881 104.082301) + (xy 149.858058 103.991513) + (xy 149.911451 103.881311) + (xy 149.913406 103.869695) + (xy 149.929949 103.771357) + (xy 149.931767 103.760552) + (xy 149.931896 103.75) + (xy 149.914536 103.628781) + (xy 149.863852 103.517307) + (xy 149.849199 103.500302) + (xy 149.847708 103.497375) + (xy 149.8419 103.491567) + (xy 149.838746 103.48817) + (xy 149.786826 103.427914) + (xy 149.783918 103.424539) + (xy 149.763741 103.411461) + (xy 149.760155 103.408559) + (xy 149.760081 103.408661) + (xy 149.756106 103.405773) + (xy 149.752625 103.402292) + (xy 149.741343 103.396543) + (xy 149.735736 103.393309) + (xy 149.684893 103.360354) + (xy 149.68489 103.360353) + (xy 149.68116 103.357935) + (xy 149.676901 103.356661) + (xy 149.676898 103.35666) + (xy 149.654429 103.349941) + (xy 149.648839 103.348269) + (xy 149.638377 103.344079) + (xy 149.632813 103.341244) + (xy 149.621067 103.339384) + (xy 149.612951 103.337536) + (xy 149.563838 103.322848) + (xy 149.519496 103.322577) + (xy 149.510103 103.321809) + (xy 149.504857 103.320978) + (xy 149.504856 103.320978) + (xy 149.5 103.320209) + (xy 149.491373 103.321576) + (xy 149.48122 103.322344) + (xy 149.462836 103.322231) + (xy 149.445838 103.322127) + (xy 149.445836 103.322127) + (xy 149.441385 103.3221) + (xy 149.387011 103.337641) + (xy 149.379623 103.339275) + (xy 149.367187 103.341244) + (xy 149.362804 103.343477) + (xy 149.36187 103.343781) + (xy 149.352156 103.347603) + (xy 149.350916 103.347957) + (xy 149.327929 103.354526) + (xy 149.327927 103.354527) + (xy 149.323644 103.355751) + (xy 149.319875 103.358129) + (xy 149.303622 103.368384) + (xy 149.264119 103.393309) + (xy 149.262819 103.394129) + (xy 149.257842 103.396959) + (xy 149.251757 103.400059) + (xy 149.251756 103.40006) + (xy 149.247375 103.402292) + (xy 149.243897 103.40577) + (xy 149.242445 103.406825) + (xy 149.239059 103.40912) + (xy 149.239043 103.40913) + (xy 149.22008 103.421095) + (xy 149.217137 103.424427) + (xy 149.217135 103.424429) + (xy 149.157156 103.492342) + (xy 149.156032 103.493538) + (xy 149.155775 103.493892) + (xy 149.155554 103.494113) + (xy 149.155405 103.494204) + (xy 149.154504 103.495163) + (xy 149.152292 103.497375) + (xy 149.151509 103.496592) + (xy 149.150362 103.497296) + (xy 149.151721 103.498496) + (xy 149.139018 103.512879) + (xy 149.137127 103.516906) + (xy 149.137126 103.516908) + (xy 149.134237 103.523062) + (xy 149.098884 103.555287) + (xy 149.077662 103.559) + (xy 148.454119 103.559) + (xy 148.409925 103.540694) + (xy 148.391619 103.4965) + (xy 148.402153 103.461776) + (xy 148.402216 103.461683) + (xy 148.440751 103.404012) + (xy 148.441991 103.397779) + (xy 148.451901 103.347957) + (xy 148.451901 103.347954) + (xy 148.4525 103.344944) + (xy 148.4525 103.155056) + (xy 148.448413 103.134506) + (xy 148.441952 103.102025) + (xy 148.441952 103.102024) + (xy 148.440751 103.095988) + (xy 148.399814 103.034722) + (xy 148.390482 102.987807) + (xy 148.399813 102.965279) + (xy 148.440751 102.904012) + (xy 148.4525 102.844944) + (xy 148.4525 102.655056) + (xy 148.451507 102.65006) + (xy 148.441952 102.602025) + (xy 148.441952 102.602024) + (xy 148.440751 102.595988) + (xy 148.399814 102.534722) + (xy 148.390482 102.487807) + (xy 148.399813 102.465279) + (xy 148.440751 102.404012) + (xy 148.441991 102.397779) + (xy 148.451901 102.347957) + (xy 148.451901 102.347954) + (xy 148.4525 102.344944) + (xy 148.4525 102.155056) + (xy 148.447144 102.128126) + (xy 148.441952 102.102025) + (xy 148.441952 102.102024) + (xy 148.440751 102.095988) + (xy 148.399814 102.034722) + (xy 148.390482 101.987807) + (xy 148.399813 101.965278) + (xy 148.440751 101.904012) + (xy 148.441991 101.897779) + (xy 148.451901 101.847957) + (xy 148.451901 101.847954) + (xy 148.4525 101.844944) + (xy 148.4525 101.655056) + (xy 148.449485 101.639895) + (xy 148.441952 101.602025) + (xy 148.441952 101.602024) + (xy 148.440751 101.595988) + (xy 148.399814 101.534722) + (xy 148.390482 101.487807) + (xy 148.399813 101.465279) + (xy 148.440751 101.404012) + (xy 148.443024 101.392586) + (xy 148.451901 101.347957) + (xy 148.451901 101.347954) + (xy 148.4525 101.344944) + (xy 148.4525 101.155056) + (xy 148.443438 101.109494) + (xy 148.441952 101.102025) + (xy 148.441952 101.102024) + (xy 148.440751 101.095988) + (xy 148.399814 101.034722) + (xy 148.390482 100.987807) + (xy 148.399813 100.965279) + (xy 148.440751 100.904012) + (xy 148.443095 100.892227) + (xy 148.451901 100.847957) + (xy 148.451901 100.847954) + (xy 148.4525 100.844944) + (xy 148.4525 100.655056) + (xy 148.451458 100.649814) + (xy 148.441952 100.602025) + (xy 148.441952 100.602024) + (xy 148.440751 100.595988) + (xy 148.399814 100.534722) + (xy 148.390482 100.487807) + (xy 148.399813 100.465279) + (xy 148.440751 100.404012) + (xy 148.443914 100.388112) + (xy 148.451901 100.347957) + (xy 148.451901 100.347954) + (xy 148.4525 100.344944) + (xy 148.4525 100.155056) + (xy 148.447144 100.128126) + (xy 148.441952 100.102025) + (xy 148.441952 100.102024) + (xy 148.440751 100.095988) + (xy 148.399514 100.034274) + (xy 148.390181 99.987358) + (xy 148.399513 99.964827) + (xy 148.436859 99.908933) + (xy 148.44148 99.897779) + (xy 148.451401 99.847904) + (xy 148.452 99.841823) + (xy 148.452 99.825931) + (xy 148.448359 99.817141) + (xy 148.439569 99.8135) + (xy 146.735432 99.8135) + (xy 146.726642 99.817141) + (xy 146.723001 99.825931) + (xy 146.723001 99.841821) + (xy 146.7236 99.847906) + (xy 146.73352 99.897776) + (xy 146.738142 99.908934) + (xy 146.773449 99.961777) + (xy 146.782781 100.008694) + (xy 146.756205 100.048467) + (xy 146.721482 100.059) + (xy 143.605003 100.059) + (xy 143.560809 100.040694) + (xy 142.567809 99.047694) + (xy 142.549503 99.0035) + (xy 142.567809 98.959306) + (xy 142.612003 98.941) + (xy 146.720881 98.941) + (xy 146.765075 98.959306) + (xy 146.783381 99.0035) + (xy 146.772847 99.038223) + (xy 146.734249 99.095988) + (xy 146.733048 99.102024) + (xy 146.733048 99.102025) + (xy 146.723494 99.15006) + (xy 146.7225 99.155056) + (xy 146.7225 99.344944) + (xy 146.723099 99.347954) + (xy 146.723099 99.347957) + (xy 146.731086 99.388112) + (xy 146.734249 99.404012) + (xy 146.755957 99.4365) + (xy 146.775486 99.465726) + (xy 146.784819 99.512642) + (xy 146.775487 99.535173) + (xy 146.738141 99.591067) + (xy 146.73352 99.602221) + (xy 146.723599 99.652096) + (xy 146.723 99.658177) + (xy 146.723 99.674069) + (xy 146.726641 99.682859) + (xy 146.735431 99.6865) + (xy 148.439568 99.6865) + (xy 148.448358 99.682859) + (xy 148.451999 99.674069) + (xy 148.451999 99.658179) + (xy 148.4514 99.652094) + (xy 148.44148 99.602224) + (xy 148.436858 99.591066) + (xy 148.401551 99.538223) + (xy 148.392219 99.491306) + (xy 148.418795 99.451533) + (xy 148.453518 99.441) + (xy 149.076187 99.441) + (xy 149.120381 99.459306) + (xy 149.129248 99.470473) + (xy 149.13154 99.474155) + (xy 149.133333 99.47823) + (xy 149.147014 99.494506) + (xy 149.148029 99.495713) + (xy 149.150157 99.498434) + (xy 149.152292 99.502625) + (xy 149.160081 99.510414) + (xy 149.16373 99.514392) + (xy 149.20807 99.567141) + (xy 149.212127 99.571968) + (xy 149.235399 99.587459) + (xy 149.241836 99.592733) + (xy 149.243899 99.594232) + (xy 149.247375 99.597708) + (xy 149.251753 99.599939) + (xy 149.251756 99.599941) + (xy 149.25873 99.603494) + (xy 149.264977 99.607148) + (xy 149.314064 99.639823) + (xy 149.318313 99.641151) + (xy 149.318314 99.641151) + (xy 149.350296 99.651143) + (xy 149.360027 99.655109) + (xy 149.362799 99.656521) + (xy 149.362803 99.656522) + (xy 149.367187 99.658756) + (xy 149.377852 99.660445) + (xy 149.386713 99.66252) + (xy 149.426696 99.675012) + (xy 149.426699 99.675012) + (xy 149.430948 99.67634) + (xy 149.480069 99.677241) + (xy 149.488683 99.677999) + (xy 149.49514 99.679022) + (xy 149.495144 99.679022) + (xy 149.5 99.679791) + (xy 149.506947 99.67869) + (xy 149.517853 99.677933) + (xy 149.553383 99.678584) + (xy 149.613532 99.662185) + (xy 149.62019 99.660755) + (xy 149.622147 99.660445) + (xy 149.632813 99.658756) + (xy 149.637196 99.656522) + (xy 149.641875 99.655002) + (xy 149.641878 99.65501) + (xy 149.646727 99.653136) + (xy 149.671527 99.646375) + (xy 149.739709 99.604511) + (xy 149.744037 99.602085) + (xy 149.74824 99.599944) + (xy 149.748248 99.599938) + (xy 149.752625 99.597708) + (xy 149.755427 99.594906) + (xy 149.756264 99.594346) + (xy 149.761606 99.591066) + (xy 149.775881 99.582301) + (xy 149.858058 99.491513) + (xy 149.911451 99.381311) + (xy 149.913317 99.370224) + (xy 149.929949 99.271357) + (xy 149.931767 99.260552) + (xy 149.931896 99.25) + (xy 149.914536 99.128781) + (xy 149.863852 99.017307) + (xy 149.849199 99.000302) + (xy 149.847708 98.997375) + (xy 149.8419 98.991567) + (xy 149.838746 98.98817) + (xy 149.786826 98.927914) + (xy 149.783918 98.924539) + (xy 149.763741 98.911461) + (xy 149.760155 98.908559) + (xy 149.760081 98.908661) + (xy 149.756106 98.905773) + (xy 149.752625 98.902292) + (xy 149.741343 98.896543) + (xy 149.735736 98.893309) + (xy 149.735399 98.89309) + (xy 149.70708 98.874735) + (xy 149.684893 98.860354) + (xy 149.68489 98.860353) + (xy 149.68116 98.857935) + (xy 149.676901 98.856661) + (xy 149.676898 98.85666) + (xy 149.655275 98.850194) + (xy 149.648839 98.848269) + (xy 149.638377 98.844079) + (xy 149.632813 98.841244) + (xy 149.621067 98.839384) + (xy 149.612951 98.837536) + (xy 149.594781 98.832102) + (xy 149.563838 98.822848) + (xy 149.519496 98.822577) + (xy 149.510103 98.821809) + (xy 149.504857 98.820978) + (xy 149.504856 98.820978) + (xy 149.5 98.820209) + (xy 149.491373 98.821576) + (xy 149.48122 98.822344) + (xy 149.462836 98.822231) + (xy 149.445838 98.822127) + (xy 149.445836 98.822127) + (xy 149.441385 98.8221) + (xy 149.387011 98.837641) + (xy 149.379623 98.839275) + (xy 149.367187 98.841244) + (xy 149.362804 98.843477) + (xy 149.36187 98.843781) + (xy 149.352155 98.847603) + (xy 149.327929 98.854526) + (xy 149.327927 98.854527) + (xy 149.323644 98.855751) + (xy 149.319875 98.858129) + (xy 149.315624 98.860811) + (xy 149.26352 98.893687) + (xy 149.262819 98.894129) + (xy 149.257842 98.896959) + (xy 149.251757 98.900059) + (xy 149.251756 98.90006) + (xy 149.247375 98.902292) + (xy 149.243897 98.90577) + (xy 149.242445 98.906825) + (xy 149.239058 98.90912) + (xy 149.22008 98.921095) + (xy 149.217137 98.924427) + (xy 149.217135 98.924429) + (xy 149.157156 98.992342) + (xy 149.156032 98.993538) + (xy 149.155775 98.993892) + (xy 149.155554 98.994113) + (xy 149.155405 98.994204) + (xy 149.154504 98.995163) + (xy 149.152292 98.997375) + (xy 149.151509 98.996592) + (xy 149.150362 98.997296) + (xy 149.151721 98.998496) + (xy 149.142476 99.008964) + (xy 149.139018 99.012879) + (xy 149.137127 99.016906) + (xy 149.137126 99.016908) + (xy 149.134237 99.023062) + (xy 149.098884 99.055287) + (xy 149.077662 99.059) + (xy 148.454119 99.059) + (xy 148.409925 99.040694) + (xy 148.391619 98.9965) + (xy 148.402153 98.961776) + (xy 148.403804 98.959306) + (xy 148.440751 98.904012) + (xy 148.441993 98.897767) + (xy 148.451901 98.847957) + (xy 148.451901 98.847954) + (xy 148.4525 98.844944) + (xy 148.4525 98.655056) + (xy 148.451064 98.647833) + (xy 148.441952 98.602025) + (xy 148.441952 98.602024) + (xy 148.440751 98.595988) + (xy 148.399814 98.534722) + (xy 148.390482 98.487807) + (xy 148.399813 98.465279) + (xy 148.440751 98.404012) + (xy 148.443309 98.391151) + (xy 148.451901 98.347957) + (xy 148.451901 98.347954) + (xy 148.4525 98.344944) + (xy 148.4525 98.155056) + (xy 148.451007 98.147546) + (xy 148.441952 98.102025) + (xy 148.441952 98.102024) + (xy 148.440751 98.095988) + (xy 148.399814 98.034722) + (xy 148.390482 97.987807) + (xy 148.399813 97.965279) + (xy 148.440751 97.904012) + (xy 148.443024 97.892586) + (xy 148.451901 97.847957) + (xy 148.451901 97.847954) + (xy 148.4525 97.844944) + (xy 148.4525 97.655056) + (xy 148.451507 97.65006) + (xy 148.441952 97.602025) + (xy 148.441952 97.602024) + (xy 148.440751 97.595988) + (xy 148.399814 97.534722) + (xy 148.390482 97.487807) + (xy 148.399813 97.465279) + (xy 148.440751 97.404012) + (xy 148.44288 97.393309) + (xy 148.451901 97.347957) + (xy 148.451901 97.347954) + (xy 148.4525 97.344944) + (xy 148.4525 97.155056) + (xy 148.451419 97.149618) + (xy 148.441952 97.102025) + (xy 148.441952 97.102024) + (xy 148.440751 97.095988) + (xy 148.399814 97.034722) + (xy 148.390482 96.987807) + (xy 148.399813 96.965279) + (xy 148.440751 96.904012) + (xy 148.442046 96.8975) + (xy 148.451901 96.847957) + (xy 148.451901 96.847954) + (xy 148.4525 96.844944) + (xy 148.4525 96.655056) + (xy 148.451255 96.648793) + (xy 148.441952 96.602025) + (xy 148.441952 96.602024) + (xy 148.440751 96.595988) + (xy 148.399814 96.534722) + (xy 148.390482 96.487807) + (xy 148.399813 96.465279) + (xy 148.440751 96.404012) + (xy 148.445041 96.382443) + (xy 148.451901 96.347957) + (xy 148.451901 96.347954) + (xy 148.4525 96.344944) + (xy 148.4525 96.155056) + (xy 148.450973 96.147376) + (xy 148.441952 96.102025) + (xy 148.441952 96.102024) + (xy 148.440751 96.095988) + (xy 148.395994 96.029006) + (xy 148.329012 95.984249) + (xy 148.322976 95.983048) + (xy 148.322975 95.983048) + (xy 148.272957 95.973099) + (xy 148.272954 95.973099) + (xy 148.269944 95.9725) + (xy 147.841 95.9725) + (xy 147.796806 95.954194) + (xy 147.7785 95.91) + (xy 147.7785 93.880734) + (xy 147.779753 93.873393) + (xy 147.779024 93.87331) + (xy 147.779821 93.866319) + (xy 147.782153 93.859678) + (xy 147.781321 93.852196) + (xy 147.778883 93.830295) + (xy 147.7785 93.823382) + (xy 147.7785 93.815967) + (xy 147.77692 93.809038) + (xy 147.775745 93.802095) + (xy 147.772515 93.773072) + (xy 147.76878 93.767106) + (xy 147.767408 93.763167) + (xy 147.765597 93.759404) + (xy 147.764032 93.752541) + (xy 147.745851 93.729726) + (xy 147.741756 93.723941) + (xy 147.73978 93.720785) + (xy 147.739779 93.720783) + (xy 147.737904 93.717789) + (xy 147.732691 93.712576) + (xy 147.728006 93.707332) + (xy 147.714112 93.689896) + (xy 147.714111 93.689896) + (xy 147.709725 93.684391) + (xy 147.703386 93.681333) + (xy 147.697885 93.676942) + (xy 147.698311 93.676408) + (xy 147.692147 93.672032) + (xy 144.014839 89.994724) + (xy 147.818136 89.994724) + (xy 147.818713 89.999137) + (xy 147.818713 89.999138) + (xy 147.832774 90.10666) + (xy 147.834014 90.116145) + (xy 147.835809 90.120224) + (xy 147.839286 90.128126) + (xy 147.841185 90.132443) + (xy 147.841244 90.132813) + (xy 147.842007 90.134311) + (xy 147.844031 90.13891) + (xy 147.844031 90.138911) + (xy 147.87992 90.220473) + (xy 147.883333 90.22823) + (xy 147.897198 90.244724) + (xy 147.898029 90.245713) + (xy 147.900157 90.248434) + (xy 147.902292 90.252625) + (xy 147.910081 90.260414) + (xy 147.91373 90.264392) + (xy 147.949913 90.307437) + (xy 147.962127 90.321968) + (xy 147.985399 90.337459) + (xy 147.991836 90.342733) + (xy 147.993899 90.344232) + (xy 147.997375 90.347708) + (xy 148.001753 90.349939) + (xy 148.001756 90.349941) + (xy 148.00873 90.353494) + (xy 148.014977 90.357148) + (xy 148.064064 90.389823) + (xy 148.068313 90.391151) + (xy 148.068314 90.391151) + (xy 148.100296 90.401143) + (xy 148.110027 90.405109) + (xy 148.112799 90.406521) + (xy 148.112803 90.406522) + (xy 148.117187 90.408756) + (xy 148.127852 90.410445) + (xy 148.136713 90.41252) + (xy 148.176696 90.425012) + (xy 148.176699 90.425012) + (xy 148.180948 90.42634) + (xy 148.230069 90.427241) + (xy 148.238683 90.427999) + (xy 148.24514 90.429022) + (xy 148.245144 90.429022) + (xy 148.25 90.429791) + (xy 148.256947 90.42869) + (xy 148.267853 90.427933) + (xy 148.303383 90.428584) + (xy 148.363532 90.412185) + (xy 148.37019 90.410755) + (xy 148.372147 90.410445) + (xy 148.382813 90.408756) + (xy 148.387196 90.406522) + (xy 148.391875 90.405002) + (xy 148.391878 90.40501) + (xy 148.396727 90.403136) + (xy 148.421527 90.396375) + (xy 148.444218 90.382443) + (xy 148.489709 90.354511) + (xy 148.494037 90.352085) + (xy 148.49824 90.349944) + (xy 148.498248 90.349938) + (xy 148.502625 90.347708) + (xy 148.505427 90.344906) + (xy 148.506264 90.344346) + (xy 148.508891 90.342733) + (xy 148.525881 90.332301) + (xy 148.608058 90.241513) + (xy 148.661451 90.131311) + (xy 148.663317 90.120224) + (xy 148.674373 90.0545) + (xy 148.681767 90.010552) + (xy 148.681896 90) + (xy 148.664536 89.878781) + (xy 148.613852 89.767307) + (xy 148.610947 89.763935) + (xy 148.608547 89.760183) + (xy 148.609455 89.759602) + (xy 148.596 89.723377) + (xy 148.596 89.326097) + (xy 148.614306 89.281903) + (xy 148.633129 89.268978) + (xy 148.709628 89.234999) + (xy 148.709629 89.234998) + (xy 148.7149 89.232657) + (xy 148.787935 89.159494) + (xy 148.813317 89.102081) + (xy 148.827835 89.069244) + (xy 148.827836 89.069241) + (xy 148.829735 89.064945) + (xy 148.830279 89.060278) + (xy 148.83028 89.060275) + (xy 148.832288 89.043046) + (xy 148.8325 89.04123) + (xy 148.8325 87.65877) + (xy 148.832233 87.656521) + (xy 148.831025 87.646375) + (xy 148.829621 87.634576) + (xy 148.818862 87.610354) + (xy 148.789999 87.545372) + (xy 148.789998 87.545371) + (xy 148.787657 87.5401) + (xy 148.773039 87.525507) + (xy 148.729767 87.482311) + (xy 148.714494 87.467065) + (xy 148.659371 87.442695) + (xy 148.624244 87.427165) + (xy 148.624241 87.427164) + (xy 148.619945 87.425265) + (xy 148.615278 87.424721) + (xy 148.615275 87.42472) + (xy 148.603962 87.423401) + (xy 148.59623 87.4225) + (xy 148.21377 87.4225) + (xy 148.21194 87.422718) + (xy 148.211936 87.422718) + (xy 148.205353 87.423502) + (xy 148.189576 87.425379) + (xy 148.18529 87.427283) + (xy 148.185289 87.427283) + (xy 148.100372 87.465001) + (xy 148.100371 87.465002) + (xy 148.0951 87.467343) + (xy 148.091024 87.471426) + (xy 148.063881 87.498617) + (xy 148.022065 87.540506) + (xy 148.002557 87.584631) + (xy 147.982165 87.630756) + (xy 147.982164 87.630759) + (xy 147.980265 87.635055) + (xy 147.979721 87.639722) + (xy 147.97972 87.639725) + (xy 147.978401 87.651038) + (xy 147.9775 87.65877) + (xy 147.9775 89.04123) + (xy 147.980379 89.065424) + (xy 147.982283 89.06971) + (xy 147.982283 89.069711) + (xy 148.019565 89.153645) + (xy 148.022343 89.1599) + (xy 148.026426 89.163976) + (xy 148.037621 89.175151) + (xy 148.095506 89.232935) + (xy 148.113041 89.240687) + (xy 148.176772 89.268863) + (xy 148.20979 89.303476) + (xy 148.214 89.326026) + (xy 148.214 89.518497) + (xy 148.195694 89.562691) + (xy 148.168678 89.57859) + (xy 148.137011 89.587641) + (xy 148.129623 89.589275) + (xy 148.117187 89.591244) + (xy 148.112804 89.593477) + (xy 148.11187 89.593781) + (xy 148.102155 89.597603) + (xy 148.077929 89.604526) + (xy 148.077927 89.604527) + (xy 148.073644 89.605751) + (xy 148.069875 89.608129) + (xy 148.065624 89.610811) + (xy 148.014119 89.643309) + (xy 148.012819 89.644129) + (xy 148.007842 89.646959) + (xy 148.001757 89.650059) + (xy 148.001756 89.65006) + (xy 147.997375 89.652292) + (xy 147.993897 89.65577) + (xy 147.992445 89.656825) + (xy 147.989058 89.65912) + (xy 147.97008 89.671095) + (xy 147.967137 89.674427) + (xy 147.967135 89.674429) + (xy 147.907156 89.742342) + (xy 147.906032 89.743538) + (xy 147.905775 89.743892) + (xy 147.905554 89.744113) + (xy 147.905405 89.744204) + (xy 147.904504 89.745163) + (xy 147.902292 89.747375) + (xy 147.901509 89.746592) + (xy 147.900362 89.747296) + (xy 147.901721 89.748496) + (xy 147.889018 89.762879) + (xy 147.886939 89.767307) + (xy 147.838868 89.869695) + (xy 147.838867 89.869698) + (xy 147.836976 89.873726) + (xy 147.818136 89.994724) + (xy 144.014839 89.994724) + (xy 143.915628 89.895513) + (xy 143.911323 89.889439) + (xy 143.91075 89.889895) + (xy 143.906369 89.884387) + (xy 143.903322 89.878042) + (xy 143.880239 89.859582) + (xy 143.87508 89.854965) + (xy 143.869831 89.849716) + (xy 143.863825 89.845941) + (xy 143.858052 89.841838) + (xy 143.835267 89.823617) + (xy 143.828407 89.82204) + (xy 143.824647 89.820222) + (xy 143.820711 89.818844) + (xy 143.814752 89.815098) + (xy 143.807758 89.814307) + (xy 143.807757 89.814307) + (xy 143.785764 89.811821) + (xy 143.778787 89.810629) + (xy 143.771703 89.809) + (xy 143.764329 89.809) + (xy 143.757308 89.808604) + (xy 143.755627 89.808414) + (xy 143.728162 89.805309) + (xy 143.721517 89.80763) + (xy 143.714523 89.808414) + (xy 143.714447 89.807736) + (xy 143.706995 89.809) + (xy 140.423049 89.809) + (xy 140.378855 89.790694) + (xy 140.366156 89.772373) + (xy 140.365695 89.77136) + (xy 140.365693 89.771357) + (xy 140.363852 89.767307) + (xy 140.349199 89.750302) + (xy 140.347708 89.747375) + (xy 140.3419 89.741567) + (xy 140.338746 89.73817) + (xy 140.313875 89.709306) + (xy 140.283918 89.674539) + (xy 140.263741 89.661461) + (xy 140.260155 89.658559) + (xy 140.260081 89.658661) + (xy 140.256106 89.655773) + (xy 140.252625 89.652292) + (xy 140.241343 89.646543) + (xy 140.235736 89.643309) + (xy 140.233597 89.641922) + (xy 140.209976 89.626612) + (xy 140.184893 89.610354) + (xy 140.18489 89.610353) + (xy 140.18116 89.607935) + (xy 140.176901 89.606661) + (xy 140.176898 89.60666) + (xy 140.153784 89.599748) + (xy 140.148839 89.598269) + (xy 140.138377 89.594079) + (xy 140.132813 89.591244) + (xy 140.121067 89.589384) + (xy 140.112951 89.587536) + (xy 140.083041 89.578591) + (xy 140.063838 89.572848) + (xy 140.019496 89.572577) + (xy 140.010103 89.571809) + (xy 140.004857 89.570978) + (xy 140.004856 89.570978) + (xy 140 89.570209) + (xy 139.991373 89.571576) + (xy 139.98122 89.572344) + (xy 139.962836 89.572231) + (xy 139.945838 89.572127) + (xy 139.945836 89.572127) + (xy 139.941385 89.5721) + (xy 139.887011 89.587641) + (xy 139.879623 89.589275) + (xy 139.867187 89.591244) + (xy 139.862804 89.593477) + (xy 139.86187 89.593781) + (xy 139.852155 89.597603) + (xy 139.827929 89.604526) + (xy 139.827927 89.604527) + (xy 139.823644 89.605751) + (xy 139.819875 89.608129) + (xy 139.815624 89.610811) + (xy 139.764119 89.643309) + (xy 139.762819 89.644129) + (xy 139.757842 89.646959) + (xy 139.751757 89.650059) + (xy 139.751756 89.65006) + (xy 139.747375 89.652292) + (xy 139.743897 89.65577) + (xy 139.742445 89.656825) + (xy 139.739058 89.65912) + (xy 139.72008 89.671095) + (xy 139.717137 89.674427) + (xy 139.717135 89.674429) + (xy 139.657156 89.742342) + (xy 139.656032 89.743538) + (xy 139.655775 89.743892) + (xy 139.655554 89.744113) + (xy 139.655405 89.744204) + (xy 139.654504 89.745163) + (xy 139.652292 89.747375) + (xy 139.651509 89.746592) + (xy 139.650362 89.747296) + (xy 139.651721 89.748496) + (xy 139.639018 89.762879) + (xy 139.636939 89.767307) + (xy 139.588868 89.869695) + (xy 139.588867 89.869698) + (xy 139.586976 89.873726) + (xy 139.568136 89.994724) + (xy 139.568713 89.999137) + (xy 139.568713 89.999138) + (xy 139.582774 90.10666) + (xy 139.584014 90.116145) + (xy 139.585809 90.120224) + (xy 139.589286 90.128126) + (xy 139.591185 90.132443) + (xy 139.591244 90.132813) + (xy 139.592007 90.134311) + (xy 139.594031 90.13891) + (xy 139.594031 90.138911) + (xy 139.62992 90.220473) + (xy 139.633333 90.22823) + (xy 139.647198 90.244724) + (xy 139.648029 90.245713) + (xy 139.650157 90.248434) + (xy 139.652292 90.252625) + (xy 139.660081 90.260414) + (xy 139.66373 90.264392) + (xy 139.699913 90.307437) + (xy 139.712127 90.321968) + (xy 139.735399 90.337459) + (xy 139.741836 90.342733) + (xy 139.743899 90.344232) + (xy 139.747375 90.347708) + (xy 139.751753 90.349939) + (xy 139.751756 90.349941) + (xy 139.75873 90.353494) + (xy 139.764977 90.357148) + (xy 139.814064 90.389823) + (xy 139.818313 90.391151) + (xy 139.818314 90.391151) + (xy 139.850296 90.401143) + (xy 139.860027 90.405109) + (xy 139.862799 90.406521) + (xy 139.862803 90.406522) + (xy 139.867187 90.408756) + (xy 139.877852 90.410445) + (xy 139.886713 90.41252) + (xy 139.926696 90.425012) + (xy 139.926699 90.425012) + (xy 139.930948 90.42634) + (xy 139.980069 90.427241) + (xy 139.988683 90.427999) + (xy 139.99514 90.429022) + (xy 139.995144 90.429022) + (xy 140 90.429791) + (xy 140.006947 90.42869) + (xy 140.017853 90.427933) + (xy 140.053383 90.428584) + (xy 140.113532 90.412185) + (xy 140.12019 90.410755) + (xy 140.122147 90.410445) + (xy 140.132813 90.408756) + (xy 140.137196 90.406522) + (xy 140.141875 90.405002) + (xy 140.141878 90.40501) + (xy 140.146727 90.403136) + (xy 140.171527 90.396375) + (xy 140.194218 90.382443) + (xy 140.239709 90.354511) + (xy 140.244037 90.352085) + (xy 140.24824 90.349944) + (xy 140.248248 90.349938) + (xy 140.252625 90.347708) + (xy 140.255427 90.344906) + (xy 140.256264 90.344346) + (xy 140.258891 90.342733) + (xy 140.275881 90.332301) + (xy 140.358058 90.241513) + (xy 140.365454 90.226248) + (xy 140.401198 90.194458) + (xy 140.4217 90.191) + (xy 143.644997 90.191) + (xy 143.689191 90.209306) + (xy 147.378194 93.898309) + (xy 147.3965 93.942503) + (xy 147.3965 95.91) + (xy 147.378194 95.954194) + (xy 147.334 95.9725) + (xy 146.905056 95.9725) + (xy 146.902046 95.973099) + (xy 146.902043 95.973099) + (xy 146.852025 95.983048) + (xy 146.852024 95.983048) + (xy 146.845988 95.984249) + (xy 146.779006 96.029006) + (xy 146.734249 96.095988) + (xy 146.733048 96.102024) + (xy 146.733048 96.102025) + (xy 146.724028 96.147376) + (xy 146.7225 96.155056) + (xy 146.7225 96.344944) + (xy 146.723099 96.347954) + (xy 146.723099 96.347957) + (xy 146.729959 96.382443) + (xy 146.734249 96.404012) + (xy 146.771197 96.459306) + (xy 146.772847 96.461776) + (xy 146.78218 96.508692) + (xy 146.755605 96.548466) + (xy 146.720881 96.559) + (xy 146.173049 96.559) + (xy 146.128855 96.540694) + (xy 146.116156 96.522373) + (xy 146.115695 96.52136) + (xy 146.115693 96.521357) + (xy 146.113852 96.517307) + (xy 146.099199 96.500302) + (xy 146.097708 96.497375) + (xy 146.0919 96.491567) + (xy 146.088746 96.48817) + (xy 146.036826 96.427914) + (xy 146.033918 96.424539) + (xy 146.013741 96.411461) + (xy 146.010155 96.408559) + (xy 146.010081 96.408661) + (xy 146.006106 96.405773) + (xy 146.002625 96.402292) + (xy 145.991343 96.396543) + (xy 145.985736 96.393309) + (xy 145.934893 96.360354) + (xy 145.93489 96.360353) + (xy 145.93116 96.357935) + (xy 145.926901 96.356661) + (xy 145.926898 96.35666) + (xy 145.908345 96.351112) + (xy 145.898839 96.348269) + (xy 145.888377 96.344079) + (xy 145.882813 96.341244) + (xy 145.871067 96.339384) + (xy 145.862951 96.337536) + (xy 145.858163 96.336104) + (xy 145.813838 96.322848) + (xy 145.769496 96.322577) + (xy 145.760103 96.321809) + (xy 145.754857 96.320978) + (xy 145.754856 96.320978) + (xy 145.75 96.320209) + (xy 145.741373 96.321576) + (xy 145.73122 96.322344) + (xy 145.712836 96.322231) + (xy 145.695838 96.322127) + (xy 145.695836 96.322127) + (xy 145.691385 96.3221) + (xy 145.637011 96.337641) + (xy 145.629623 96.339275) + (xy 145.617187 96.341244) + (xy 145.612804 96.343477) + (xy 145.61187 96.343781) + (xy 145.602155 96.347603) + (xy 145.577929 96.354526) + (xy 145.577927 96.354527) + (xy 145.573644 96.355751) + (xy 145.514119 96.393309) + (xy 145.512819 96.394129) + (xy 145.507842 96.396959) + (xy 145.501757 96.400059) + (xy 145.501756 96.40006) + (xy 145.497375 96.402292) + (xy 145.493897 96.40577) + (xy 145.492445 96.406825) + (xy 145.489059 96.40912) + (xy 145.489043 96.40913) + (xy 145.47008 96.421095) + (xy 145.467137 96.424427) + (xy 145.467135 96.424429) + (xy 145.407156 96.492342) + (xy 145.406032 96.493538) + (xy 145.405775 96.493892) + (xy 145.405554 96.494113) + (xy 145.405405 96.494204) + (xy 145.404504 96.495163) + (xy 145.402292 96.497375) + (xy 145.401509 96.496592) + (xy 145.400362 96.497296) + (xy 145.401721 96.498496) + (xy 145.395002 96.506104) + (xy 145.389018 96.512879) + (xy 145.378995 96.534228) + (xy 145.338868 96.619695) + (xy 145.338867 96.619698) + (xy 145.336976 96.623726) + (xy 145.318136 96.744724) + (xy 145.318713 96.749137) + (xy 145.318713 96.749138) + (xy 145.332919 96.857773) + (xy 145.334014 96.866145) + (xy 145.341185 96.882443) + (xy 145.341244 96.882813) + (xy 145.342007 96.884311) + (xy 145.344031 96.88891) + (xy 145.344031 96.888911) + (xy 145.380296 96.971328) + (xy 145.38134 97.019152) + (xy 145.348261 97.053707) + (xy 145.323089 97.059) + (xy 144.855003 97.059) + (xy 144.810809 97.040694) + (xy 144.459306 96.689191) + (xy 144.441 96.644997) + (xy 144.441 93.293232) + (xy 144.44225 93.285893) + (xy 144.441523 93.28581) + (xy 144.44232 93.278819) + (xy 144.444652 93.272178) + (xy 144.443759 93.264147) + (xy 144.441383 93.242803) + (xy 144.441 93.23589) + (xy 144.441 93.228467) + (xy 144.439419 93.221535) + (xy 144.438243 93.214582) + (xy 144.435014 93.185571) + (xy 144.431281 93.179607) + (xy 144.429906 93.175659) + (xy 144.428097 93.1719) + (xy 144.426532 93.165041) + (xy 144.408351 93.142226) + (xy 144.404256 93.136441) + (xy 144.40228 93.133285) + (xy 144.402279 93.133283) + (xy 144.400404 93.130289) + (xy 144.395191 93.125076) + (xy 144.390506 93.119832) + (xy 144.376612 93.102396) + (xy 144.376611 93.102396) + (xy 144.372225 93.096891) + (xy 144.365886 93.093833) + (xy 144.360385 93.089442) + (xy 144.360811 93.088908) + (xy 144.354647 93.084532) + (xy 143.965628 92.695513) + (xy 143.961323 92.689439) + (xy 143.96075 92.689895) + (xy 143.956369 92.684387) + (xy 143.953322 92.678042) + (xy 143.930239 92.659582) + (xy 143.92508 92.654965) + (xy 143.919831 92.649716) + (xy 143.913828 92.645943) + (xy 143.908052 92.641838) + (xy 143.885267 92.623617) + (xy 143.878407 92.62204) + (xy 143.874647 92.620222) + (xy 143.870711 92.618844) + (xy 143.864752 92.615098) + (xy 143.857758 92.614307) + (xy 143.857757 92.614307) + (xy 143.835764 92.611821) + (xy 143.828787 92.610629) + (xy 143.821703 92.609) + (xy 143.814329 92.609) + (xy 143.807308 92.608604) + (xy 143.805627 92.608414) + (xy 143.778162 92.605309) + (xy 143.771517 92.60763) + (xy 143.764523 92.608414) + (xy 143.764447 92.607736) + (xy 143.756995 92.609) + (xy 142.09 92.609) + (xy 142.045806 92.590694) + (xy 142.0275 92.5465) + (xy 142.0275 92.187442) + (xy 142.025505 92.177412) + (xy 142.021303 92.156287) + (xy 142.021302 92.156285) + (xy 142.020102 92.150252) + (xy 141.991922 92.108078) + (xy 141.949748 92.079898) + (xy 141.943715 92.078698) + (xy 141.943713 92.078697) + (xy 141.915569 92.073099) + (xy 141.912558 92.0725) + (xy 140.487442 92.0725) + (xy 140.484431 92.073099) + (xy 140.456287 92.078697) + (xy 140.456285 92.078698) + (xy 140.450252 92.079898) + (xy 140.408078 92.108078) + (xy 140.379898 92.150252) + (xy 140.378698 92.156285) + (xy 140.378697 92.156287) + (xy 140.374495 92.177412) + (xy 140.3725 92.187442) + (xy 140.3725 93.412558) + (xy 140.373099 93.415569) + (xy 140.378262 93.441523) + (xy 140.379898 93.449748) + (xy 140.408078 93.491922) + (xy 140.450252 93.520102) + (xy 140.456285 93.521302) + (xy 140.456287 93.521303) + (xy 140.477825 93.525587) + (xy 140.487442 93.5275) + (xy 141.912558 93.5275) + (xy 141.922175 93.525587) + (xy 141.943713 93.521303) + (xy 141.943715 93.521302) + (xy 141.949748 93.520102) + (xy 141.991922 93.491922) + (xy 142.020102 93.449748) + (xy 142.021739 93.441523) + (xy 142.026901 93.415569) + (xy 142.0275 93.412558) + (xy 142.0275 93.0535) + (xy 142.045806 93.009306) + (xy 142.09 92.991) + (xy 143.694997 92.991) + (xy 143.739191 93.009306) + (xy 144.040694 93.310808) + (xy 144.059 93.355002) + (xy 144.059 96.706768) + (xy 144.05775 96.714107) + (xy 144.058477 96.71419) + (xy 144.05768 96.721181) + (xy 144.055348 96.727822) + (xy 144.056126 96.734815) + (xy 144.056126 96.734816) + (xy 144.058617 96.757197) + (xy 144.059 96.76411) + (xy 144.059 96.771533) + (xy 144.060581 96.778465) + (xy 144.061757 96.785418) + (xy 144.064986 96.814429) + (xy 144.068719 96.820393) + (xy 144.070094 96.824341) + (xy 144.071903 96.8281) + (xy 144.073468 96.834959) + (xy 144.077853 96.840461) + (xy 144.077853 96.840462) + (xy 144.091648 96.857773) + (xy 144.095744 96.863559) + (xy 144.099596 96.869711) + (xy 144.104809 96.874924) + (xy 144.109494 96.880168) + (xy 144.115051 96.887141) + (xy 144.127775 96.903109) + (xy 144.134114 96.906167) + (xy 144.139615 96.910558) + (xy 144.139189 96.911092) + (xy 144.145353 96.915468) + (xy 144.584372 97.354487) + (xy 144.588677 97.360561) + (xy 144.58925 97.360105) + (xy 144.593631 97.365613) + (xy 144.596678 97.371958) + (xy 144.602175 97.376354) + (xy 144.619761 97.390418) + (xy 144.62492 97.395035) + (xy 144.630169 97.400284) + (xy 144.633143 97.402153) + (xy 144.636172 97.404057) + (xy 144.641948 97.408162) + (xy 144.664733 97.426383) + (xy 144.671593 97.42796) + (xy 144.675353 97.429778) + (xy 144.679288 97.431156) + (xy 144.685248 97.434902) + (xy 144.692243 97.435693) + (xy 144.697438 97.437512) + (xy 144.733099 97.469396) + (xy 144.73577 97.517156) + (xy 144.703886 97.552817) + (xy 144.676782 97.559) + (xy 142.626331 97.559) + (xy 142.582137 97.540694) + (xy 142.068018 97.026574) + (xy 142.049712 96.98238) + (xy 142.068018 96.938186) + (xy 142.112212 96.91988) + (xy 142.316876 96.91988) + (xy 142.36107 96.938186) + (xy 142.374083 96.957208) + (xy 142.376845 96.963484) + (xy 142.383333 96.97823) + (xy 142.398029 96.995713) + (xy 142.400157 96.998434) + (xy 142.402292 97.002625) + (xy 142.410081 97.010414) + (xy 142.41373 97.014392) + (xy 142.453546 97.061759) + (xy 142.462127 97.071968) + (xy 142.485399 97.087459) + (xy 142.491836 97.092733) + (xy 142.493899 97.094232) + (xy 142.497375 97.097708) + (xy 142.501753 97.099939) + (xy 142.501756 97.099941) + (xy 142.50873 97.103494) + (xy 142.514977 97.107148) + (xy 142.564064 97.139823) + (xy 142.568313 97.141151) + (xy 142.568314 97.141151) + (xy 142.600296 97.151143) + (xy 142.610027 97.155109) + (xy 142.612799 97.156521) + (xy 142.612803 97.156522) + (xy 142.617187 97.158756) + (xy 142.627852 97.160445) + (xy 142.636713 97.16252) + (xy 142.676696 97.175012) + (xy 142.676699 97.175012) + (xy 142.680948 97.17634) + (xy 142.730069 97.177241) + (xy 142.738683 97.177999) + (xy 142.74514 97.179022) + (xy 142.745144 97.179022) + (xy 142.75 97.179791) + (xy 142.756947 97.17869) + (xy 142.767853 97.177933) + (xy 142.803383 97.178584) + (xy 142.863532 97.162185) + (xy 142.87019 97.160755) + (xy 142.872147 97.160445) + (xy 142.882813 97.158756) + (xy 142.887196 97.156522) + (xy 142.891875 97.155002) + (xy 142.891878 97.15501) + (xy 142.896727 97.153136) + (xy 142.921527 97.146375) + (xy 142.932824 97.139439) + (xy 142.989709 97.104511) + (xy 142.994037 97.102085) + (xy 142.99824 97.099944) + (xy 142.998248 97.099938) + (xy 143.002625 97.097708) + (xy 143.005427 97.094906) + (xy 143.006264 97.094346) + (xy 143.008891 97.092733) + (xy 143.025881 97.082301) + (xy 143.108058 96.991513) + (xy 143.161451 96.881311) + (xy 143.162983 96.872209) + (xy 143.17758 96.78544) + (xy 143.181767 96.760552) + (xy 143.181896 96.75) + (xy 143.164536 96.628781) + (xy 143.113852 96.517307) + (xy 143.099199 96.500302) + (xy 143.097708 96.497375) + (xy 143.0919 96.491567) + (xy 143.088746 96.48817) + (xy 143.036826 96.427914) + (xy 143.033918 96.424539) + (xy 143.013741 96.411461) + (xy 143.010155 96.408559) + (xy 143.010081 96.408661) + (xy 143.006106 96.405773) + (xy 143.002625 96.402292) + (xy 142.991343 96.396543) + (xy 142.985736 96.393309) + (xy 142.934893 96.360354) + (xy 142.93489 96.360353) + (xy 142.93116 96.357935) + (xy 142.926901 96.356661) + (xy 142.926898 96.35666) + (xy 142.908345 96.351112) + (xy 142.898839 96.348269) + (xy 142.888377 96.344079) + (xy 142.882813 96.341244) + (xy 142.871067 96.339384) + (xy 142.862951 96.337536) + (xy 142.858163 96.336104) + (xy 142.813838 96.322848) + (xy 142.769496 96.322577) + (xy 142.760103 96.321809) + (xy 142.754857 96.320978) + (xy 142.754856 96.320978) + (xy 142.75 96.320209) + (xy 142.741373 96.321576) + (xy 142.73122 96.322344) + (xy 142.712836 96.322231) + (xy 142.695838 96.322127) + (xy 142.695836 96.322127) + (xy 142.691385 96.3221) + (xy 142.637011 96.337641) + (xy 142.629623 96.339275) + (xy 142.617187 96.341244) + (xy 142.612804 96.343477) + (xy 142.61187 96.343781) + (xy 142.602155 96.347603) + (xy 142.577929 96.354526) + (xy 142.577927 96.354527) + (xy 142.573644 96.355751) + (xy 142.514119 96.393309) + (xy 142.512819 96.394129) + (xy 142.507842 96.396959) + (xy 142.501757 96.400059) + (xy 142.501756 96.40006) + (xy 142.497375 96.402292) + (xy 142.493897 96.40577) + (xy 142.492445 96.406825) + (xy 142.489059 96.40912) + (xy 142.489043 96.40913) + (xy 142.47008 96.421095) + (xy 142.467137 96.424427) + (xy 142.467135 96.424429) + (xy 142.407156 96.492342) + (xy 142.406032 96.493538) + (xy 142.405775 96.493892) + (xy 142.405554 96.494113) + (xy 142.405405 96.494204) + (xy 142.404504 96.495163) + (xy 142.402292 96.497375) + (xy 142.401509 96.496592) + (xy 142.400362 96.497296) + (xy 142.401721 96.498496) + (xy 142.395002 96.506104) + (xy 142.389018 96.512879) + (xy 142.388217 96.512172) + (xy 142.352307 96.536581) + (xy 142.339628 96.53788) + (xy 134.064352 96.53788) + (xy 134.057013 96.53663) + (xy 134.05693 96.537357) + (xy 134.049939 96.53656) + (xy 134.043298 96.534228) + (xy 134.036305 96.535006) + (xy 134.036304 96.535006) + (xy 134.01392 96.537497) + (xy 134.007008 96.53788) + (xy 133.999587 96.53788) + (xy 133.996164 96.538661) + (xy 133.996163 96.538661) + (xy 133.992672 96.539457) + (xy 133.985689 96.540638) + (xy 133.963682 96.543087) + (xy 133.956691 96.543865) + (xy 133.950728 96.547598) + (xy 133.946795 96.548968) + (xy 133.943025 96.550782) + (xy 133.936161 96.552348) + (xy 133.920161 96.565098) + (xy 133.913349 96.570526) + (xy 133.907566 96.57462) + (xy 133.90441 96.576596) + (xy 133.904404 96.576601) + (xy 133.901409 96.578476) + (xy 133.896196 96.583689) + (xy 133.890952 96.588374) + (xy 133.873602 96.6022) + (xy 133.868011 96.606655) + (xy 133.864953 96.612994) + (xy 133.860562 96.618495) + (xy 133.860028 96.618069) + (xy 133.855652 96.624233) + (xy 132.294194 98.185691) + (xy 132.25 98.203997) + (xy 132.205806 98.185691) + (xy 132.182793 98.162678) + (xy 132.164487 98.118484) + (xy 132.165353 98.108115) + (xy 132.165515 98.107155) + (xy 132.181767 98.010552) + (xy 132.181896 98) + (xy 132.164536 97.878781) + (xy 132.113852 97.767307) + (xy 132.099199 97.750302) + (xy 132.097708 97.747375) + (xy 132.0919 97.741567) + (xy 132.088746 97.73817) + (xy 132.036826 97.677914) + (xy 132.033918 97.674539) + (xy 132.013741 97.661461) + (xy 132.010155 97.658559) + (xy 132.010081 97.658661) + (xy 132.006106 97.655773) + (xy 132.002625 97.652292) + (xy 131.991343 97.646543) + (xy 131.985736 97.643309) + (xy 131.979786 97.639452) + (xy 131.965649 97.630289) + (xy 131.934893 97.610354) + (xy 131.93489 97.610353) + (xy 131.93116 97.607935) + (xy 131.926901 97.606661) + (xy 131.926898 97.60666) + (xy 131.908345 97.601112) + (xy 131.898839 97.598269) + (xy 131.888377 97.594079) + (xy 131.882813 97.591244) + (xy 131.871067 97.589384) + (xy 131.862951 97.587536) + (xy 131.85303 97.584569) + (xy 131.813838 97.572848) + (xy 131.769496 97.572577) + (xy 131.760103 97.571809) + (xy 131.754857 97.570978) + (xy 131.754856 97.570978) + (xy 131.75 97.570209) + (xy 131.741373 97.571576) + (xy 131.73122 97.572344) + (xy 131.712836 97.572231) + (xy 131.695838 97.572127) + (xy 131.695836 97.572127) + (xy 131.691385 97.5721) + (xy 131.637011 97.587641) + (xy 131.629623 97.589275) + (xy 131.617187 97.591244) + (xy 131.612804 97.593477) + (xy 131.61187 97.593781) + (xy 131.602155 97.597603) + (xy 131.577929 97.604526) + (xy 131.577927 97.604527) + (xy 131.573644 97.605751) + (xy 131.569875 97.608129) + (xy 131.566349 97.610354) + (xy 131.514119 97.643309) + (xy 131.512819 97.644129) + (xy 131.507842 97.646959) + (xy 131.501757 97.650059) + (xy 131.501756 97.65006) + (xy 131.497375 97.652292) + (xy 131.493897 97.65577) + (xy 131.492445 97.656825) + (xy 131.489058 97.65912) + (xy 131.47008 97.671095) + (xy 131.467137 97.674427) + (xy 131.467135 97.674429) + (xy 131.407156 97.742342) + (xy 131.406032 97.743538) + (xy 131.405775 97.743892) + (xy 131.405554 97.744113) + (xy 131.405405 97.744204) + (xy 131.404504 97.745163) + (xy 131.402292 97.747375) + (xy 131.401509 97.746592) + (xy 131.400362 97.747296) + (xy 131.401721 97.748496) + (xy 131.389018 97.762879) + (xy 131.379378 97.783411) + (xy 131.338868 97.869695) + (xy 131.338867 97.869698) + (xy 131.336976 97.873726) + (xy 131.318136 97.994724) + (xy 131.318713 97.999137) + (xy 131.318713 97.999138) + (xy 131.332731 98.106331) + (xy 131.334014 98.116145) + (xy 131.341185 98.132443) + (xy 131.341244 98.132813) + (xy 131.342007 98.134311) + (xy 131.344031 98.13891) + (xy 131.344031 98.138911) + (xy 131.375658 98.210787) + (xy 131.383333 98.22823) + (xy 131.386197 98.231637) + (xy 131.398029 98.245713) + (xy 131.400157 98.248434) + (xy 131.402292 98.252625) + (xy 131.410081 98.260414) + (xy 131.413729 98.264391) + (xy 131.462127 98.321968) + (xy 131.485399 98.337459) + (xy 131.491836 98.342733) + (xy 131.493899 98.344232) + (xy 131.497375 98.347708) + (xy 131.501753 98.349939) + (xy 131.501756 98.349941) + (xy 131.50873 98.353494) + (xy 131.514977 98.357148) + (xy 131.564064 98.389823) + (xy 131.568313 98.391151) + (xy 131.568314 98.391151) + (xy 131.600296 98.401143) + (xy 131.610027 98.405109) + (xy 131.612799 98.406521) + (xy 131.612803 98.406522) + (xy 131.617187 98.408756) + (xy 131.627852 98.410445) + (xy 131.636713 98.41252) + (xy 131.676696 98.425012) + (xy 131.676699 98.425012) + (xy 131.680948 98.42634) + (xy 131.730069 98.427241) + (xy 131.738683 98.427999) + (xy 131.74514 98.429022) + (xy 131.745144 98.429022) + (xy 131.75 98.429791) + (xy 131.756947 98.42869) + (xy 131.767853 98.427933) + (xy 131.803383 98.428584) + (xy 131.808019 98.42732) + (xy 131.850968 98.415611) + (xy 131.898421 98.421648) + (xy 131.911601 98.431716) + (xy 132.040694 98.560809) + (xy 132.059 98.605003) + (xy 132.059 98.970881) + (xy 132.040694 99.015075) + (xy 131.9965 99.033381) + (xy 131.961777 99.022847) + (xy 131.904012 98.984249) + (xy 131.897976 98.983048) + (xy 131.897975 98.983048) + (xy 131.847957 98.973099) + (xy 131.847954 98.973099) + (xy 131.844944 98.9725) + (xy 131.655056 98.9725) + (xy 131.652046 98.973099) + (xy 131.652043 98.973099) + (xy 131.602025 98.983048) + (xy 131.602024 98.983048) + (xy 131.595988 98.984249) + (xy 131.534722 99.025186) + (xy 131.487807 99.034518) + (xy 131.465279 99.025187) + (xy 131.404012 98.984249) + (xy 131.397976 98.983048) + (xy 131.397975 98.983048) + (xy 131.347957 98.973099) + (xy 131.347954 98.973099) + (xy 131.344944 98.9725) + (xy 131.155056 98.9725) + (xy 131.152046 98.973099) + (xy 131.152043 98.973099) + (xy 131.102025 98.983048) + (xy 131.102024 98.983048) + (xy 131.095988 98.984249) + (xy 131.038223 99.022847) + (xy 130.991308 99.03218) + (xy 130.951534 99.005605) + (xy 130.941 98.970881) + (xy 130.941 97.793234) + (xy 130.942253 97.785893) + (xy 130.941524 97.78581) + (xy 130.942321 97.778819) + (xy 130.944653 97.772178) + (xy 130.94225 97.75058) + (xy 130.941383 97.742794) + (xy 130.941 97.735881) + (xy 130.941 97.728467) + (xy 130.939421 97.721544) + (xy 130.938245 97.714591) + (xy 130.935015 97.685571) + (xy 130.931281 97.679607) + (xy 130.92991 97.67567) + (xy 130.928097 97.671904) + (xy 130.926532 97.665041) + (xy 130.908354 97.642229) + (xy 130.90426 97.636446) + (xy 130.902284 97.63329) + (xy 130.902279 97.633284) + (xy 130.900404 97.630289) + (xy 130.895191 97.625076) + (xy 130.890506 97.619832) + (xy 130.876612 97.602396) + (xy 130.876611 97.602396) + (xy 130.872225 97.596891) + (xy 130.865886 97.593833) + (xy 130.860385 97.589442) + (xy 130.860811 97.588908) + (xy 130.854647 97.584532) + (xy 130.415628 97.145513) + (xy 130.411323 97.139439) + (xy 130.41075 97.139895) + (xy 130.406369 97.134387) + (xy 130.403322 97.128042) + (xy 130.380239 97.109582) + (xy 130.37508 97.104965) + (xy 130.369831 97.099716) + (xy 130.363828 97.095943) + (xy 130.358052 97.091838) + (xy 130.335267 97.073617) + (xy 130.328407 97.07204) + (xy 130.324647 97.070222) + (xy 130.320711 97.068844) + (xy 130.314752 97.065098) + (xy 130.307758 97.064307) + (xy 130.307757 97.064307) + (xy 130.285764 97.061821) + (xy 130.278787 97.060629) + (xy 130.271703 97.059) + (xy 130.264329 97.059) + (xy 130.257308 97.058604) + (xy 130.255627 97.058414) + (xy 130.228162 97.055309) + (xy 130.221517 97.05763) + (xy 130.214523 97.058414) + (xy 130.214447 97.057736) + (xy 130.206995 97.059) + (xy 129.293234 97.059) + (xy 129.285893 97.057747) + (xy 129.28581 97.058476) + (xy 129.278819 97.057679) + (xy 129.272178 97.055347) + (xy 129.265185 97.056125) + (xy 129.265184 97.056125) + (xy 129.245216 97.058347) + (xy 129.242911 97.058604) + (xy 129.242794 97.058617) + (xy 129.235881 97.059) + (xy 129.228467 97.059) + (xy 129.221544 97.060579) + (xy 129.214591 97.061755) + (xy 129.185571 97.064985) + (xy 129.179607 97.068719) + (xy 129.17567 97.07009) + (xy 129.171904 97.071903) + (xy 129.165041 97.073468) + (xy 129.158103 97.078997) + (xy 129.142229 97.091646) + (xy 129.136446 97.09574) + (xy 129.13329 97.097716) + (xy 129.133284 97.097721) + (xy 129.130289 97.099596) + (xy 129.125076 97.104809) + (xy 129.119832 97.109494) + (xy 129.109689 97.117577) + (xy 129.096891 97.127775) + (xy 129.093833 97.134114) + (xy 129.089442 97.139615) + (xy 129.088908 97.139189) + (xy 129.084532 97.145353) + (xy 128.689191 97.540694) + (xy 128.644997 97.559) + (xy 123.914999 97.559) + (xy 123.870805 97.540694) + (xy 123.852499 97.4965) + (xy 123.852499 97.436626) + (xy 123.852232 97.434593) + (xy 123.846845 97.393669) + (xy 123.846845 97.393668) + (xy 123.846221 97.388929) + (xy 123.830179 97.354526) + (xy 123.799723 97.289213) + (xy 123.799721 97.289211) + (xy 123.797411 97.284256) + (xy 123.715744 97.202589) + (xy 123.710789 97.200279) + (xy 123.710787 97.200277) + (xy 123.653148 97.1734) + (xy 123.611071 97.153779) + (xy 123.591048 97.151143) + (xy 123.565407 97.147767) + (xy 123.565399 97.147767) + (xy 123.563375 97.1475) + (xy 123.561328 97.1475) + (xy 123.324358 97.147501) + (xy 123.086626 97.147501) + (xy 123.084615 97.147766) + (xy 123.08461 97.147766) + (xy 123.043669 97.153155) + (xy 123.043668 97.153155) + (xy 123.038929 97.153779) + (xy 123.034595 97.1558) + (xy 122.939213 97.200277) + (xy 122.939211 97.200279) + (xy 122.934256 97.202589) + (xy 122.852589 97.284256) + (xy 122.850279 97.289211) + (xy 122.850277 97.289213) + (xy 122.834606 97.322821) + (xy 122.803779 97.388929) + (xy 122.802975 97.395035) + (xy 122.797767 97.434593) + (xy 122.797767 97.434601) + (xy 122.7975 97.436625) + (xy 122.797501 98.063374) + (xy 122.797766 98.065385) + (xy 122.797766 98.06539) + (xy 122.802998 98.105139) + (xy 122.803779 98.111071) + (xy 122.8058 98.115404) + (xy 122.8058 98.115405) + (xy 122.850277 98.210787) + (xy 122.850279 98.210789) + (xy 122.852589 98.215744) + (xy 122.934256 98.297411) + (xy 122.939211 98.299721) + (xy 122.939213 98.299723) + (xy 122.972606 98.315294) + (xy 123.038929 98.346221) + (xy 123.052116 98.347957) + (xy 123.084593 98.352233) + (xy 123.084601 98.352233) + (xy 123.086625 98.3525) + (xy 123.088672 98.3525) + (xy 123.325642 98.352499) + (xy 123.563374 98.352499) + (xy 123.565385 98.352234) + (xy 123.56539 98.352234) + (xy 123.606331 98.346845) + (xy 123.606332 98.346845) + (xy 123.611071 98.346221) + (xy 123.629868 98.337456) + (xy 123.710787 98.299723) + (xy 123.710789 98.299721) + (xy 123.715744 98.297411) + (xy 123.797411 98.215744) + (xy 123.799721 98.210789) + (xy 123.799723 98.210787) + (xy 123.825732 98.15501) + (xy 123.846221 98.111071) + (xy 123.848663 98.092522) + (xy 123.852233 98.065407) + (xy 123.852233 98.065399) + (xy 123.8525 98.063375) + (xy 123.8525 98.0035) + (xy 123.870806 97.959306) + (xy 123.915 97.941) + (xy 128.706768 97.941) + (xy 128.714107 97.94225) + (xy 128.71419 97.941523) + (xy 128.721181 97.94232) + (xy 128.727822 97.944652) + (xy 128.734815 97.943874) + (xy 128.734816 97.943874) + (xy 128.757197 97.941383) + (xy 128.76411 97.941) + (xy 128.771533 97.941) + (xy 128.778465 97.939419) + (xy 128.785418 97.938243) + (xy 128.814429 97.935014) + (xy 128.820393 97.931281) + (xy 128.824341 97.929906) + (xy 128.8281 97.928097) + (xy 128.834959 97.926532) + (xy 128.857774 97.908351) + (xy 128.863559 97.904256) + (xy 128.866715 97.90228) + (xy 128.866717 97.902279) + (xy 128.869711 97.900404) + (xy 128.874924 97.895191) + (xy 128.880168 97.890506) + (xy 128.897604 97.876612) + (xy 128.897604 97.876611) + (xy 128.903109 97.872225) + (xy 128.906167 97.865886) + (xy 128.910558 97.860385) + (xy 128.911092 97.860811) + (xy 128.915468 97.854647) + (xy 129.310809 97.459306) + (xy 129.355003 97.441) + (xy 130.144997 97.441) + (xy 130.189191 97.459306) + (xy 130.540694 97.810809) + (xy 130.559 97.855003) + (xy 130.559 98.971482) + (xy 130.540694 99.015676) + (xy 130.4965 99.033982) + (xy 130.461777 99.023449) + (xy 130.408933 98.988141) + (xy 130.397779 98.98352) + (xy 130.347904 98.973599) + (xy 130.341823 98.973) + (xy 130.325931 98.973) + (xy 130.317141 98.976641) + (xy 130.3135 98.985431) + (xy 130.3135 100.689568) + (xy 130.317141 100.698358) + (xy 130.325931 100.701999) + (xy 130.341821 100.701999) + (xy 130.347906 100.7014) + (xy 130.397776 100.69148) + (xy 130.408934 100.686858) + (xy 130.464827 100.649513) + (xy 130.511744 100.640181) + (xy 130.534272 100.649513) + (xy 130.595988 100.690751) + (xy 130.602024 100.691952) + (xy 130.602025 100.691952) + (xy 130.652043 100.701901) + (xy 130.652046 100.701901) + (xy 130.655056 100.7025) + (xy 130.844944 100.7025) + (xy 130.847954 100.701901) + (xy 130.847957 100.701901) + (xy 130.897975 100.691952) + (xy 130.897976 100.691952) + (xy 130.904012 100.690751) + (xy 130.965278 100.649814) + (xy 131.012193 100.640482) + (xy 131.034721 100.649813) + (xy 131.095988 100.690751) + (xy 131.102024 100.691952) + (xy 131.102025 100.691952) + (xy 131.152043 100.701901) + (xy 131.152046 100.701901) + (xy 131.155056 100.7025) + (xy 131.344944 100.7025) + (xy 131.347954 100.701901) + (xy 131.347957 100.701901) + (xy 131.397975 100.691952) + (xy 131.397976 100.691952) + (xy 131.404012 100.690751) + (xy 131.465278 100.649814) + (xy 131.512193 100.640482) + (xy 131.534721 100.649813) + (xy 131.595988 100.690751) + (xy 131.602024 100.691952) + (xy 131.602025 100.691952) + (xy 131.652043 100.701901) + (xy 131.652046 100.701901) + (xy 131.655056 100.7025) + (xy 131.844944 100.7025) + (xy 131.847954 100.701901) + (xy 131.847957 100.701901) + (xy 131.897975 100.691952) + (xy 131.897976 100.691952) + (xy 131.904012 100.690751) + (xy 131.965278 100.649814) + (xy 132.012193 100.640482) + (xy 132.034721 100.649813) + (xy 132.095988 100.690751) + (xy 132.102024 100.691952) + (xy 132.102025 100.691952) + (xy 132.152043 100.701901) + (xy 132.152046 100.701901) + (xy 132.155056 100.7025) + (xy 132.344944 100.7025) + (xy 132.347954 100.701901) + (xy 132.347957 100.701901) + (xy 132.397975 100.691952) + (xy 132.397976 100.691952) + (xy 132.404012 100.690751) + (xy 132.465278 100.649814) + (xy 132.512193 100.640482) + (xy 132.534721 100.649813) + (xy 132.595988 100.690751) + (xy 132.602024 100.691952) + (xy 132.602025 100.691952) + (xy 132.652043 100.701901) + (xy 132.652046 100.701901) + (xy 132.655056 100.7025) + (xy 132.844944 100.7025) + (xy 132.847954 100.701901) + (xy 132.847957 100.701901) + (xy 132.897975 100.691952) + (xy 132.897976 100.691952) + (xy 132.904012 100.690751) + (xy 132.965278 100.649814) + (xy 133.012193 100.640482) + (xy 133.034721 100.649813) + (xy 133.095988 100.690751) + (xy 133.102024 100.691952) + (xy 133.102025 100.691952) + (xy 133.152043 100.701901) + (xy 133.152046 100.701901) + (xy 133.155056 100.7025) + (xy 133.344944 100.7025) + (xy 133.347954 100.701901) + (xy 133.347957 100.701901) + (xy 133.397975 100.691952) + (xy 133.397976 100.691952) + (xy 133.404012 100.690751) + (xy 133.465278 100.649814) + (xy 133.512193 100.640482) + (xy 133.534721 100.649813) + (xy 133.595988 100.690751) + (xy 133.602024 100.691952) + (xy 133.602025 100.691952) + (xy 133.652043 100.701901) + (xy 133.652046 100.701901) + (xy 133.655056 100.7025) + (xy 133.844944 100.7025) + (xy 133.847954 100.701901) + (xy 133.847957 100.701901) + (xy 133.897975 100.691952) + (xy 133.897976 100.691952) + (xy 133.904012 100.690751) + (xy 133.965278 100.649814) + (xy 134.012193 100.640482) + (xy 134.034721 100.649813) + (xy 134.095988 100.690751) + (xy 134.102024 100.691952) + (xy 134.102025 100.691952) + (xy 134.152043 100.701901) + (xy 134.152046 100.701901) + (xy 134.155056 100.7025) + (xy 134.344944 100.7025) + (xy 134.347954 100.701901) + (xy 134.347957 100.701901) + (xy 134.397975 100.691952) + (xy 134.397976 100.691952) + (xy 134.404012 100.690751) + (xy 134.465278 100.649814) + (xy 134.512193 100.640482) + (xy 134.534721 100.649813) + (xy 134.595988 100.690751) + (xy 134.602024 100.691952) + (xy 134.602025 100.691952) + (xy 134.652043 100.701901) + (xy 134.652046 100.701901) + (xy 134.655056 100.7025) + (xy 134.844944 100.7025) + (xy 134.847954 100.701901) + (xy 134.847957 100.701901) + (xy 134.897975 100.691952) + (xy 134.897976 100.691952) + (xy 134.904012 100.690751) + (xy 134.965278 100.649814) + (xy 135.012193 100.640482) + (xy 135.034721 100.649813) + (xy 135.095988 100.690751) + (xy 135.102024 100.691952) + (xy 135.102025 100.691952) + (xy 135.152043 100.701901) + (xy 135.152046 100.701901) + (xy 135.155056 100.7025) + (xy 135.344944 100.7025) + (xy 135.347954 100.701901) + (xy 135.347957 100.701901) + (xy 135.397975 100.691952) + (xy 135.397976 100.691952) + (xy 135.404012 100.690751) + (xy 135.470994 100.645994) + (xy 135.515751 100.579012) + (xy 135.516952 100.572975) + (xy 135.526901 100.522957) + (xy 135.526901 100.522954) + (xy 135.5275 100.519944) + (xy 135.5275 99.155056) + (xy 135.526507 99.15006) + (xy 135.516952 99.102025) + (xy 135.516952 99.102024) + (xy 135.515751 99.095988) + (xy 135.470994 99.029006) + (xy 135.465876 99.025586) + (xy 135.461524 99.021234) + (xy 135.463352 99.019406) + (xy 135.442201 98.987752) + (xy 135.441 98.975558) + (xy 135.441 98.605003) + (xy 135.459306 98.560809) + (xy 135.560809 98.459306) + (xy 135.605003 98.441) + (xy 140.394997 98.441) + (xy 140.439191 98.459306) + (xy 143.334372 101.354487) + (xy 143.338677 101.360561) + (xy 143.33925 101.360105) + (xy 143.343631 101.365613) + (xy 143.346678 101.371958) + (xy 143.357273 101.380431) + (xy 143.369761 101.390418) + (xy 143.37492 101.395035) + (xy 143.380169 101.400284) + (xy 143.3861 101.404012) + (xy 143.386172 101.404057) + (xy 143.391948 101.408162) + (xy 143.414733 101.426383) + (xy 143.421593 101.42796) + (xy 143.425353 101.429778) + (xy 143.429289 101.431156) + (xy 143.435248 101.434902) + (xy 143.442242 101.435693) + (xy 143.442243 101.435693) + (xy 143.45172 101.436764) + (xy 143.464242 101.43818) + (xy 143.471208 101.43937) + (xy 143.478297 101.441) + (xy 143.485671 101.441) + (xy 143.492692 101.441396) + (xy 143.521839 101.444691) + (xy 143.528484 101.44237) + (xy 143.535478 101.441586) + (xy 143.535554 101.442264) + (xy 143.543006 101.441) + (xy 146.176847 101.441) + (xy 146.221041 101.459306) + (xy 146.239347 101.5035) + (xy 146.221041 101.547694) + (xy 146.1974 101.562524) + (xy 146.192569 101.564206) + (xy 146.185572 101.564985) + (xy 146.179606 101.56872) + (xy 146.175667 101.570092) + (xy 146.171904 101.571903) + (xy 146.165041 101.573468) + (xy 146.159537 101.577854) + (xy 146.142227 101.591648) + (xy 146.136441 101.595744) + (xy 146.133285 101.59772) + (xy 146.130289 101.599596) + (xy 146.125076 101.604809) + (xy 146.119832 101.609494) + (xy 146.096891 101.627775) + (xy 146.093833 101.634114) + (xy 146.089442 101.639615) + (xy 146.088908 101.639189) + (xy 146.084532 101.645353) + (xy 145.189191 102.540694) + (xy 145.144997 102.559) + (xy 137.528518 102.559) + (xy 137.484324 102.540694) + (xy 137.466018 102.4965) + (xy 137.476551 102.461777) + (xy 137.511859 102.408933) + (xy 137.51648 102.397779) + (xy 137.526401 102.347904) + (xy 137.527 102.341823) + (xy 137.527 102.325931) + (xy 137.523359 102.317141) + (xy 137.514569 102.3135) + (xy 135.810432 102.3135) + (xy 135.801642 102.317141) + (xy 135.798001 102.325931) + (xy 135.798001 102.341821) + (xy 135.7986 102.347906) + (xy 135.80852 102.397776) + (xy 135.813142 102.408934) + (xy 135.850487 102.464827) + (xy 135.859819 102.511744) + (xy 135.850486 102.534274) + (xy 135.809249 102.595988) + (xy 135.808048 102.602024) + (xy 135.808048 102.602025) + (xy 135.798494 102.65006) + (xy 135.7975 102.655056) + (xy 135.7975 102.844944) + (xy 135.809249 102.904012) + (xy 135.850186 102.965278) + (xy 135.859518 103.012193) + (xy 135.850187 103.034721) + (xy 135.809249 103.095988) + (xy 135.808048 103.102024) + (xy 135.808048 103.102025) + (xy 135.801588 103.134506) + (xy 135.7975 103.155056) + (xy 135.7975 103.344944) + (xy 135.798099 103.347954) + (xy 135.798099 103.347957) + (xy 135.808009 103.397779) + (xy 135.809249 103.404012) + (xy 135.850186 103.465278) + (xy 135.859518 103.512193) + (xy 135.850187 103.534721) + (xy 135.809249 103.595988) + (xy 135.808048 103.602024) + (xy 135.808048 103.602025) + (xy 135.798494 103.65006) + (xy 135.7975 103.655056) + (xy 135.7975 103.844944) + (xy 135.798099 103.847954) + (xy 135.798099 103.847957) + (xy 135.808009 103.897779) + (xy 135.809249 103.904012) + (xy 135.850186 103.965278) + (xy 135.859518 104.012193) + (xy 135.850187 104.034721) + (xy 135.809249 104.095988) + (xy 135.808048 104.102024) + (xy 135.808048 104.102025) + (xy 135.798994 104.147546) + (xy 135.7975 104.155056) + (xy 135.7975 104.344944) + (xy 135.798099 104.347954) + (xy 135.798099 104.347957) + (xy 135.807283 104.394129) + (xy 135.809249 104.404012) + (xy 135.850186 104.465278) + (xy 135.859518 104.512193) + (xy 135.850187 104.534721) + (xy 135.809249 104.595988) + (xy 135.808048 104.602024) + (xy 135.808048 104.602025) + (xy 135.800656 104.639191) + (xy 135.7975 104.655056) + (xy 135.7975 104.844944) + (xy 135.798099 104.847954) + (xy 135.798099 104.847957) + (xy 135.808009 104.897779) + (xy 135.809249 104.904012) + (xy 135.850186 104.965278) + (xy 135.859518 105.012193) + (xy 135.850187 105.034721) + (xy 135.809249 105.095988) + (xy 135.808048 105.102024) + (xy 135.808048 105.102025) + (xy 135.798994 105.147546) + (xy 135.7975 105.155056) + (xy 135.7975 105.344944) + (xy 135.798099 105.347954) + (xy 135.798099 105.347957) + (xy 135.808009 105.397779) + (xy 135.809249 105.404012) + (xy 135.850186 105.465278) + (xy 135.859518 105.512193) + (xy 135.850187 105.534721) + (xy 135.809249 105.595988) + (xy 135.808048 105.602024) + (xy 135.808048 105.602025) + (xy 135.798994 105.647546) + (xy 135.7975 105.655056) + (xy 135.7975 105.844944) + (xy 135.798099 105.847954) + (xy 135.798099 105.847957) + (xy 135.804959 105.882443) + (xy 135.809249 105.904012) + (xy 135.850186 105.965278) + (xy 135.859518 106.012193) + (xy 135.850187 106.034721) + (xy 135.809249 106.095988) + (xy 135.808048 106.102024) + (xy 135.808048 106.102025) + (xy 135.798494 106.15006) + (xy 135.7975 106.155056) + (xy 135.7975 106.344944) + (xy 135.798099 106.347954) + (xy 135.798099 106.347957) + (xy 135.808009 106.397779) + (xy 135.809249 106.404012) + (xy 135.850186 106.465278) + (xy 135.859518 106.512193) + (xy 135.850187 106.534721) + (xy 135.809249 106.595988) + (xy 135.808048 106.602024) + (xy 135.808048 106.602025) + (xy 135.798261 106.651232) + (xy 135.7975 106.655056) + (xy 135.7975 106.844944) + (xy 135.798099 106.847954) + (xy 135.798099 106.847957) + (xy 135.808009 106.897779) + (xy 135.809249 106.904012) + (xy 135.854006 106.970994) + (xy 135.920988 107.015751) + (xy 135.927024 107.016952) + (xy 135.927025 107.016952) + (xy 135.977043 107.026901) + (xy 135.977046 107.026901) + (xy 135.980056 107.0275) + (xy 137.344944 107.0275) + (xy 137.347954 107.026901) + (xy 137.347957 107.026901) + (xy 137.397975 107.016952) + (xy 137.397976 107.016952) + (xy 137.404012 107.015751) + (xy 137.470994 106.970994) + (xy 137.474414 106.965876) + (xy 137.478766 106.961524) + (xy 137.480594 106.963352) + (xy 137.512248 106.942201) + (xy 137.524442 106.941) + (xy 144.426846 106.941) + (xy 144.47104 106.959306) + (xy 144.489346 107.0035) + (xy 144.47104 107.047694) + (xy 144.447399 107.062524) + (xy 144.442567 107.064206) + (xy 144.435571 107.064985) + (xy 144.429607 107.068719) + (xy 144.42567 107.07009) + (xy 144.421904 107.071903) + (xy 144.415041 107.073468) + (xy 144.403956 107.082301) + (xy 144.392229 107.091646) + (xy 144.386446 107.09574) + (xy 144.38329 107.097716) + (xy 144.383284 107.097721) + (xy 144.380289 107.099596) + (xy 144.375076 107.104809) + (xy 144.369832 107.109494) + (xy 144.346891 107.127775) + (xy 144.343833 107.134114) + (xy 144.339442 107.139615) + (xy 144.338908 107.139189) + (xy 144.334532 107.145353) + (xy 142.860313 108.619572) + (xy 142.854239 108.623877) + (xy 142.854695 108.62445) + (xy 142.849187 108.628831) + (xy 142.842842 108.631878) + (xy 142.836995 108.639189) + (xy 142.824382 108.654961) + (xy 142.819765 108.66012) + (xy 142.814516 108.665369) + (xy 142.812647 108.668343) + (xy 142.810743 108.671372) + (xy 142.806638 108.677148) + (xy 142.788417 108.699933) + (xy 142.78684 108.706793) + (xy 142.785022 108.710553) + (xy 142.783644 108.714489) + (xy 142.779898 108.720448) + (xy 142.779107 108.727442) + (xy 142.779107 108.727443) + (xy 142.776621 108.749436) + (xy 142.775429 108.756413) + (xy 142.7738 108.763497) + (xy 142.7738 108.770871) + (xy 142.773404 108.777892) + (xy 142.770109 108.807038) + (xy 142.77243 108.813683) + (xy 142.773214 108.820677) + (xy 142.772536 108.820753) + (xy 142.7738 108.828205) + (xy 142.7738 109.394997) + (xy 142.755494 109.439191) + (xy 142.403991 109.790694) + (xy 142.359797 109.809) + (xy 141.906008 109.809) + (xy 141.861814 109.790694) + (xy 141.846567 109.765814) + (xy 141.831325 109.718904) + (xy 141.806052 109.641122) + (xy 141.786526 109.607301) + (xy 141.731515 109.512021) + (xy 141.713823 109.481377) + (xy 141.590397 109.344298) + (xy 141.441167 109.235876) + (xy 141.272656 109.16085) + (xy 141.245397 109.155056) + (xy 141.09543 109.12318) + (xy 141.095426 109.12318) + (xy 141.092229 109.1225) + (xy 140.907771 109.1225) + (xy 140.904574 109.12318) + (xy 140.90457 109.12318) + (xy 140.754603 109.155056) + (xy 140.727344 109.16085) + (xy 140.558833 109.235876) + (xy 140.409603 109.344298) + (xy 140.286177 109.481377) + (xy 140.268485 109.512021) + (xy 140.213475 109.607301) + (xy 140.193948 109.641122) + (xy 140.136947 109.816552) + (xy 140.117666 110) + (xy 140.136947 110.183448) + (xy 140.193948 110.358878) + (xy 140.195583 110.36171) + (xy 140.195584 110.361712) + (xy 140.226101 110.414568) + (xy 140.286177 110.518623) + (xy 140.409603 110.655702) + (xy 140.558833 110.764124) + (xy 140.727344 110.83915) + (xy 140.734001 110.840565) + (xy 140.90457 110.87682) + (xy 140.904574 110.87682) + (xy 140.907771 110.8775) + (xy 141.092229 110.8775) + (xy 141.095426 110.87682) + (xy 141.09543 110.87682) + (xy 141.265999 110.840565) + (xy 141.272656 110.83915) + (xy 141.441167 110.764124) + (xy 141.590397 110.655702) + (xy 141.713823 110.518623) + (xy 141.773899 110.414568) + (xy 141.804416 110.361712) + (xy 141.804417 110.36171) + (xy 141.806052 110.358878) + (xy 141.846567 110.234186) + (xy 141.877634 110.197812) + (xy 141.906008 110.191) + (xy 142.421568 110.191) + (xy 142.428907 110.19225) + (xy 142.42899 110.191523) + (xy 142.435981 110.19232) + (xy 142.442622 110.194652) + (xy 142.449615 110.193874) + (xy 142.449616 110.193874) + (xy 142.471997 110.191383) + (xy 142.47891 110.191) + (xy 142.486333 110.191) + (xy 142.493265 110.189419) + (xy 142.500218 110.188243) + (xy 142.529229 110.185014) + (xy 142.535193 110.181281) + (xy 142.539141 110.179906) + (xy 142.5429 110.178097) + (xy 142.549759 110.176532) + (xy 142.572574 110.158351) + (xy 142.578359 110.154256) + (xy 142.581515 110.15228) + (xy 142.581517 110.152279) + (xy 142.584511 110.150404) + (xy 142.589724 110.145191) + (xy 142.594968 110.140506) + (xy 142.612404 110.126612) + (xy 142.612404 110.126611) + (xy 142.617909 110.122225) + (xy 142.620967 110.115886) + (xy 142.625358 110.110385) + (xy 142.625892 110.110811) + (xy 142.630268 110.104647) + (xy 142.920626 109.814289) + (xy 142.96482 109.795983) + (xy 143.009014 109.814289) + (xy 143.02732 109.858483) + (xy 143.02732 112.394997) + (xy 143.009014 112.439191) + (xy 142.657511 112.790694) + (xy 142.613317 112.809) + (xy 141.906008 112.809) + (xy 141.861814 112.790694) + (xy 141.846567 112.765814) + (xy 141.833081 112.724309) + (xy 141.806052 112.641122) + (xy 141.802518 112.635) + (xy 141.741322 112.529006) + (xy 141.713823 112.481377) + (xy 141.590397 112.344298) + (xy 141.441167 112.235876) + (xy 141.272656 112.16085) + (xy 141.239384 112.153778) + (xy 141.09543 112.12318) + (xy 141.095426 112.12318) + (xy 141.092229 112.1225) + (xy 140.907771 112.1225) + (xy 140.904574 112.12318) + (xy 140.90457 112.12318) + (xy 140.760616 112.153778) + (xy 140.727344 112.16085) + (xy 140.558833 112.235876) + (xy 140.409603 112.344298) + (xy 140.286177 112.481377) + (xy 140.258678 112.529006) + (xy 140.197483 112.635) + (xy 140.193948 112.641122) + (xy 140.136947 112.816552) + (xy 140.117666 113) + (xy 140.136947 113.183448) + (xy 140.137959 113.186562) + (xy 140.137959 113.186563) + (xy 140.138504 113.188239) + (xy 140.193948 113.358878) + (xy 140.195583 113.36171) + (xy 140.195584 113.361712) + (xy 140.22403 113.410982) + (xy 140.286177 113.518623) + (xy 140.409603 113.655702) + (xy 140.558833 113.764124) + (xy 140.727344 113.83915) + (xy 140.736989 113.8412) + (xy 140.90457 113.87682) + (xy 140.904574 113.87682) + (xy 140.907771 113.8775) + (xy 141.092229 113.8775) + (xy 141.095426 113.87682) + (xy 141.09543 113.87682) + (xy 141.263011 113.8412) + (xy 141.272656 113.83915) + (xy 141.441167 113.764124) + (xy 141.590397 113.655702) + (xy 141.713823 113.518623) + (xy 141.77597 113.410982) + (xy 141.804416 113.361712) + (xy 141.804417 113.36171) + (xy 141.806052 113.358878) + (xy 141.846567 113.234186) + (xy 141.877634 113.197812) + (xy 141.906008 113.191) + (xy 142.675088 113.191) + (xy 142.682427 113.19225) + (xy 142.68251 113.191523) + (xy 142.689501 113.19232) + (xy 142.696142 113.194652) + (xy 142.703135 113.193874) + (xy 142.703136 113.193874) + (xy 142.725517 113.191383) + (xy 142.73243 113.191) + (xy 142.739853 113.191) + (xy 142.746785 113.189419) + (xy 142.753738 113.188243) + (xy 142.782749 113.185014) + (xy 142.788713 113.181281) + (xy 142.792661 113.179906) + (xy 142.79642 113.178097) + (xy 142.803279 113.176532) + (xy 142.810366 113.170885) + (xy 142.826093 113.158352) + (xy 142.831879 113.154256) + (xy 142.835035 113.15228) + (xy 142.835037 113.152279) + (xy 142.838031 113.150404) + (xy 142.843244 113.145191) + (xy 142.848488 113.140506) + (xy 142.865924 113.126612) + (xy 142.865924 113.126611) + (xy 142.871429 113.122225) + (xy 142.874487 113.115886) + (xy 142.878878 113.110385) + (xy 142.879412 113.110811) + (xy 142.883788 113.104647) + (xy 143.174146 112.814289) + (xy 143.21834 112.795983) + (xy 143.262534 112.814289) + (xy 143.28084 112.858483) + (xy 143.28084 115.394997) + (xy 143.262534 115.439191) + (xy 142.911031 115.790694) + (xy 142.866837 115.809) + (xy 141.906008 115.809) + (xy 141.861814 115.790694) + (xy 141.846567 115.765814) + (xy 141.82765 115.707595) + (xy 141.806052 115.641122) + (xy 141.801473 115.63319) + (xy 141.737105 115.521703) + (xy 141.713823 115.481377) + (xy 141.590397 115.344298) + (xy 141.441167 115.235876) + (xy 141.272656 115.16085) + (xy 141.221892 115.15006) + (xy 141.09543 115.12318) + (xy 141.095426 115.12318) + (xy 141.092229 115.1225) + (xy 140.907771 115.1225) + (xy 140.904574 115.12318) + (xy 140.90457 115.12318) + (xy 140.778108 115.15006) + (xy 140.727344 115.16085) + (xy 140.558833 115.235876) + (xy 140.409603 115.344298) + (xy 140.286177 115.481377) + (xy 140.262895 115.521703) + (xy 140.198528 115.63319) + (xy 140.193948 115.641122) + (xy 140.136947 115.816552) + (xy 140.117666 116) + (xy 140.136947 116.183448) + (xy 140.137959 116.186562) + (xy 140.137959 116.186563) + (xy 140.146985 116.214343) + (xy 140.193948 116.358878) + (xy 140.195583 116.36171) + (xy 140.195584 116.361712) + (xy 140.240062 116.43875) + (xy 140.286177 116.518623) + (xy 140.409603 116.655702) + (xy 140.558833 116.764124) + (xy 140.727344 116.83915) + (xy 140.730548 116.839831) + (xy 140.90457 116.87682) + (xy 140.904574 116.87682) + (xy 140.907771 116.8775) + (xy 141.092229 116.8775) + (xy 141.095426 116.87682) + (xy 141.09543 116.87682) + (xy 141.269452 116.839831) + (xy 141.272656 116.83915) + (xy 141.441167 116.764124) + (xy 141.590397 116.655702) + (xy 141.713823 116.518623) + (xy 141.759938 116.43875) + (xy 141.804416 116.361712) + (xy 141.804417 116.36171) + (xy 141.806052 116.358878) + (xy 141.846567 116.234186) + (xy 141.877634 116.197812) + (xy 141.906008 116.191) + (xy 142.928608 116.191) + (xy 142.935947 116.19225) + (xy 142.93603 116.191523) + (xy 142.943021 116.19232) + (xy 142.949662 116.194652) + (xy 142.956655 116.193874) + (xy 142.956656 116.193874) + (xy 142.979037 116.191383) + (xy 142.98595 116.191) + (xy 142.993373 116.191) + (xy 143.000305 116.189419) + (xy 143.007258 116.188243) + (xy 143.036269 116.185014) + (xy 143.042233 116.181281) + (xy 143.046181 116.179906) + (xy 143.04994 116.178097) + (xy 143.056799 116.176532) + (xy 143.079614 116.158351) + (xy 143.085399 116.154256) + (xy 143.088555 116.15228) + (xy 143.088557 116.152279) + (xy 143.091551 116.150404) + (xy 143.096764 116.145191) + (xy 143.102008 116.140506) + (xy 143.119444 116.126612) + (xy 143.119444 116.126611) + (xy 143.124949 116.122225) + (xy 143.128007 116.115886) + (xy 143.132398 116.110385) + (xy 143.132932 116.110811) + (xy 143.137308 116.104647) + (xy 143.427666 115.814289) + (xy 143.47186 115.795983) + (xy 143.516054 115.814289) + (xy 143.53436 115.858483) + (xy 143.53436 118.985509) + (xy 143.516054 119.029703) + (xy 140.519143 122.026614) + (xy 140.474949 122.04492) + (xy 132.807311 122.04492) + (xy 132.799972 122.04367) + (xy 132.799889 122.044397) + (xy 132.792898 122.0436) + (xy 132.786257 122.041268) + (xy 132.779265 122.042046) + (xy 132.779263 122.042046) + (xy 132.756883 122.044537) + (xy 132.74997 122.04492) + (xy 132.742547 122.04492) + (xy 132.739123 122.045701) + (xy 132.735633 122.046497) + (xy 132.728647 122.047679) + (xy 132.720963 122.048534) + (xy 132.699651 122.050906) + (xy 132.693688 122.054639) + (xy 132.689742 122.056013) + (xy 132.685982 122.057823) + (xy 132.679121 122.059388) + (xy 132.673619 122.063773) + (xy 132.673618 122.063773) + (xy 132.670958 122.065893) + (xy 132.657265 122.076805) + (xy 132.656301 122.077573) + (xy 132.650521 122.081666) + (xy 132.644369 122.085517) + (xy 132.639161 122.090725) + (xy 132.633917 122.09541) + (xy 132.610971 122.113695) + (xy 132.607913 122.120034) + (xy 132.603522 122.125535) + (xy 132.602989 122.125109) + (xy 132.598611 122.131275) + (xy 129.895513 124.834372) + (xy 129.889439 124.838677) + (xy 129.889895 124.83925) + (xy 129.884387 124.843631) + (xy 129.878042 124.846678) + (xy 129.873646 124.852175) + (xy 129.859582 124.869761) + (xy 129.854965 124.87492) + (xy 129.849716 124.880169) + (xy 129.84785 124.883137) + (xy 129.847849 124.883139) + (xy 129.847754 124.88329) + (xy 129.845942 124.886174) + (xy 129.841839 124.891947) + (xy 129.841204 124.892741) + (xy 129.823617 124.914733) + (xy 129.82204 124.921593) + (xy 129.820222 124.925353) + (xy 129.818844 124.929289) + (xy 129.815098 124.935248) + (xy 129.814307 124.942242) + (xy 129.814307 124.942243) + (xy 129.811821 124.964236) + (xy 129.810629 124.971213) + (xy 129.809 124.978297) + (xy 129.809 124.985671) + (xy 129.808604 124.992692) + (xy 129.805309 125.021838) + (xy 129.80763 125.028483) + (xy 129.808414 125.035477) + (xy 129.807736 125.035553) + (xy 129.809 125.043005) + (xy 129.809 126.9375) + (xy 129.790694 126.981694) + (xy 129.7465 127) + (xy 118.0125 127) + (xy 117.968306 126.981694) + (xy 117.95 126.9375) + (xy 117.95 125.005877) + (xy 117.950039 124.961589) + (xy 117.950039 124.961588) + (xy 117.950045 124.954551) + (xy 117.944289 124.942564) + (xy 117.943042 124.939966) + (xy 117.938448 124.926812) + (xy 117.936415 124.917901) + (xy 117.93485 124.911038) + (xy 117.924911 124.898565) + (xy 117.91745 124.886671) + (xy 117.91734 124.886441) + (xy 117.910547 124.872295) + (xy 117.905049 124.867898) + (xy 117.897908 124.862187) + (xy 117.888065 124.852327) + (xy 117.883733 124.846891) + (xy 117.877985 124.839677) + (xy 117.871648 124.83662) + (xy 117.871645 124.836618) + (xy 117.863622 124.832749) + (xy 117.851738 124.825265) + (xy 117.844782 124.819702) + (xy 117.839285 124.815306) + (xy 117.832428 124.813729) + (xy 117.832423 124.813727) + (xy 117.823511 124.811678) + (xy 117.810366 124.807062) + (xy 117.81015 124.806958) + (xy 117.795798 124.800035) + (xy 117.774114 124.800016) + (xy 117.772799 124.800015) + (xy 117.772783 124.800014) + (xy 117.772723 124.8) + (xy 117.755877 124.8) + (xy 117.704808 124.799955) + (xy 117.704551 124.799955) + (xy 117.704472 124.799993) + (xy 117.704407 124.8) + (xy 112.405877 124.8) + (xy 112.354808 124.799955) + (xy 112.354551 124.799955) + (xy 112.354472 124.799993) + (xy 112.354407 124.8) + (xy 110.455877 124.8) + (xy 110.404808 124.799955) + (xy 110.404551 124.799955) + (xy 110.404472 124.799993) + (xy 110.404407 124.8) + (xy 105.905877 124.8) + (xy 105.861589 124.799961) + (xy 105.861588 124.799961) + (xy 105.854551 124.799955) + (xy 105.848206 124.803002) + (xy 105.848205 124.803002) + (xy 105.839966 124.806958) + (xy 105.826812 124.811552) + (xy 105.811038 124.81515) + (xy 105.805532 124.819537) + (xy 105.805533 124.819537) + (xy 105.798565 124.825089) + (xy 105.786672 124.832549) + (xy 105.772295 124.839453) + (xy 105.767899 124.84495) + (xy 105.767898 124.844951) + (xy 105.762187 124.852092) + (xy 105.752327 124.861935) + (xy 105.739677 124.872015) + (xy 105.73662 124.878352) + (xy 105.736618 124.878355) + (xy 105.732749 124.886378) + (xy 105.725266 124.898261) + (xy 105.715306 124.910715) + (xy 105.713729 124.917572) + (xy 105.713727 124.917577) + (xy 105.711678 124.926489) + (xy 105.707062 124.939634) + (xy 105.700035 124.954202) + (xy 105.700029 124.961239) + (xy 105.700015 124.977201) + (xy 105.700014 124.977217) + (xy 105.7 124.977277) + (xy 105.7 124.994123) + (xy 105.699964 125.035553) + (xy 105.699955 125.045449) + (xy 105.699993 125.045528) + (xy 105.7 125.045593) + (xy 105.7 131.2375) + (xy 105.681694 131.281694) + (xy 105.6375 131.3) + (xy 99.3625 131.3) + (xy 99.318306 131.281694) + (xy 99.3 131.2375) + (xy 99.3 128.289564) + (xy 101.241051 128.289564) + (xy 101.241386 128.292329) + (xy 101.267618 128.509108) + (xy 101.267619 128.509114) + (xy 101.267954 128.51188) + (xy 101.3338 128.725917) + (xy 101.436509 128.924912) + (xy 101.572833 129.102573) + (xy 101.574889 129.104444) + (xy 101.574891 129.104446) + (xy 101.736405 129.251413) + (xy 101.736409 129.251416) + (xy 101.738464 129.253286) + (xy 101.928167 129.372286) + (xy 101.930747 129.373323) + (xy 102.133361 129.454774) + (xy 102.133365 129.454775) + (xy 102.135944 129.455812) + (xy 102.248287 129.479077) + (xy 102.35298 129.500759) + (xy 102.352987 129.50076) + (xy 102.355228 129.501224) + (xy 102.412048 129.5045) + (xy 102.556819 129.5045) + (xy 102.558182 129.504378) + (xy 102.55819 129.504378) + (xy 102.720283 129.489911) + (xy 102.723051 129.489664) + (xy 102.846793 129.455812) + (xy 102.936366 129.431308) + (xy 102.936371 129.431306) + (xy 102.939051 129.430573) + (xy 103.141174 129.334166) + (xy 103.32303 129.203489) + (xy 103.324959 129.201499) + (xy 103.324963 129.201495) + (xy 103.476937 129.04467) + (xy 103.476938 129.044668) + (xy 103.478871 129.042674) + (xy 103.60377 128.856803) + (xy 103.693782 128.651752) + (xy 103.746059 128.434002) + (xy 103.754228 128.292329) + (xy 103.758789 128.213217) + (xy 103.758789 128.213213) + (xy 103.758949 128.210436) + (xy 103.741142 128.063286) + (xy 103.732382 127.990892) + (xy 103.732381 127.990886) + (xy 103.732046 127.98812) + (xy 103.6662 127.774083) + (xy 103.563491 127.575088) + (xy 103.530828 127.53252) + (xy 103.473129 127.457326) + (xy 103.427167 127.397427) + (xy 103.399773 127.3725) + (xy 103.263595 127.248587) + (xy 103.263591 127.248584) + (xy 103.261536 127.246714) + (xy 103.071833 127.127714) + (xy 102.92684 127.069427) + (xy 102.866639 127.045226) + (xy 102.866635 127.045225) + (xy 102.864056 127.044188) + (xy 102.751713 127.020923) + (xy 102.64702 126.999241) + (xy 102.647013 126.99924) + (xy 102.644772 126.998776) + (xy 102.587952 126.9955) + (xy 102.443181 126.9955) + (xy 102.441818 126.995622) + (xy 102.44181 126.995622) + (xy 102.296132 127.008624) + (xy 102.276949 127.010336) + (xy 102.194034 127.033019) + (xy 102.063634 127.068692) + (xy 102.063629 127.068694) + (xy 102.060949 127.069427) + (xy 101.858826 127.165834) + (xy 101.67697 127.296511) + (xy 101.675041 127.298501) + (xy 101.675037 127.298505) + (xy 101.58099 127.395554) + (xy 101.521129 127.457326) + (xy 101.39623 127.643197) + (xy 101.306218 127.848248) + (xy 101.253941 128.065998) + (xy 101.253781 128.068778) + (xy 101.25378 128.068783) + (xy 101.245613 128.210436) + (xy 101.241051 128.289564) + (xy 99.3 128.289564) + (xy 99.3 115.271838) + (xy 105.555309 115.271838) + (xy 105.55763 115.278483) + (xy 105.558414 115.285477) + (xy 105.557736 115.285553) + (xy 105.559 115.293005) + (xy 105.559 120.206768) + (xy 105.55775 120.214107) + (xy 105.558477 120.21419) + (xy 105.55768 120.221181) + (xy 105.555348 120.227822) + (xy 105.556126 120.234815) + (xy 105.556126 120.234816) + (xy 105.558617 120.257197) + (xy 105.559 120.26411) + (xy 105.559 120.271533) + (xy 105.560581 120.278465) + (xy 105.561757 120.285418) + (xy 105.564986 120.314429) + (xy 105.568719 120.320393) + (xy 105.570094 120.324341) + (xy 105.571903 120.3281) + (xy 105.573468 120.334959) + (xy 105.577853 120.340461) + (xy 105.577853 120.340462) + (xy 105.591648 120.357773) + (xy 105.595744 120.363559) + (xy 105.599596 120.369711) + (xy 105.604809 120.374924) + (xy 105.609494 120.380168) + (xy 105.627775 120.403109) + (xy 105.634114 120.406167) + (xy 105.639615 120.410558) + (xy 105.639189 120.411092) + (xy 105.645353 120.415468) + (xy 105.834372 120.604487) + (xy 105.838677 120.610561) + (xy 105.83925 120.610105) + (xy 105.843631 120.615613) + (xy 105.846678 120.621958) + (xy 105.852175 120.626354) + (xy 105.869761 120.640418) + (xy 105.87492 120.645035) + (xy 105.880168 120.650283) + (xy 105.883139 120.65215) + (xy 105.88314 120.652151) + (xy 105.886169 120.654055) + (xy 105.891944 120.658159) + (xy 105.909236 120.671988) + (xy 105.90924 120.67199) + (xy 105.914733 120.676383) + (xy 105.92159 120.67796) + (xy 105.925352 120.679778) + (xy 105.92929 120.681157) + (xy 105.935248 120.684902) + (xy 105.942239 120.685692) + (xy 105.942244 120.685694) + (xy 105.964237 120.68818) + (xy 105.971223 120.689373) + (xy 105.978297 120.691) + (xy 105.985677 120.691) + (xy 105.992698 120.691396) + (xy 106.021838 120.69469) + (xy 106.028484 120.692369) + (xy 106.035478 120.691585) + (xy 106.035554 120.692264) + (xy 106.043004 120.691) + (xy 106.410001 120.691) + (xy 106.454195 120.709306) + (xy 106.472501 120.7535) + (xy 106.472501 120.813374) + (xy 106.472766 120.815385) + (xy 106.472766 120.81539) + (xy 106.473645 120.822067) + (xy 106.478779 120.861071) + (xy 106.4808 120.865404) + (xy 106.4808 120.865405) + (xy 106.525277 120.960787) + (xy 106.525279 120.960789) + (xy 106.527589 120.965744) + (xy 106.609256 121.047411) + (xy 106.614211 121.049721) + (xy 106.614213 121.049723) + (xy 106.663015 121.072479) + (xy 106.713929 121.096221) + (xy 106.727295 121.097981) + (xy 106.759593 121.102233) + (xy 106.759601 121.102233) + (xy 106.761625 121.1025) + (xy 106.763672 121.1025) + (xy 107.000642 121.102499) + (xy 107.238374 121.102499) + (xy 107.240385 121.102234) + (xy 107.24039 121.102234) + (xy 107.281331 121.096845) + (xy 107.281332 121.096845) + (xy 107.286071 121.096221) + (xy 107.300338 121.089568) + (xy 107.385787 121.049723) + (xy 107.385789 121.049721) + (xy 107.390744 121.047411) + (xy 107.472411 120.965744) + (xy 107.474721 120.960789) + (xy 107.474723 120.960787) + (xy 107.5192 120.865404) + (xy 107.521221 120.861071) + (xy 107.526356 120.822067) + (xy 107.527233 120.815407) + (xy 107.527233 120.815399) + (xy 107.5275 120.813375) + (xy 107.5275 120.811284) + (xy 108.123001 120.811284) + (xy 108.123266 120.815335) + (xy 108.128645 120.8562) + (xy 108.13129 120.865274) + (xy 108.175693 120.960495) + (xy 108.181873 120.969321) + (xy 108.255679 121.043127) + (xy 108.264505 121.049307) + (xy 108.359727 121.09371) + (xy 108.368801 121.096355) + (xy 108.409647 121.101733) + (xy 108.413726 121.102) + (xy 108.574069 121.102) + (xy 108.582859 121.098359) + (xy 108.5865 121.089569) + (xy 108.5865 121.089568) + (xy 108.7135 121.089568) + (xy 108.717141 121.098358) + (xy 108.725931 121.101999) + (xy 108.886284 121.101999) + (xy 108.890335 121.101734) + (xy 108.9312 121.096355) + (xy 108.940274 121.09371) + (xy 109.035495 121.049307) + (xy 109.044321 121.043127) + (xy 109.118127 120.969321) + (xy 109.124307 120.960495) + (xy 109.16871 120.865273) + (xy 109.171355 120.856199) + (xy 109.176733 120.815353) + (xy 109.177 120.811274) + (xy 109.177 120.575931) + (xy 109.173359 120.567141) + (xy 109.164569 120.5635) + (xy 108.725931 120.5635) + (xy 108.717141 120.567141) + (xy 108.7135 120.575931) + (xy 108.7135 121.089568) + (xy 108.5865 121.089568) + (xy 108.5865 120.575931) + (xy 108.582859 120.567141) + (xy 108.574069 120.5635) + (xy 108.135432 120.5635) + (xy 108.126642 120.567141) + (xy 108.123001 120.575931) + (xy 108.123001 120.811284) + (xy 107.5275 120.811284) + (xy 107.527499 120.424069) + (xy 108.123 120.424069) + (xy 108.126641 120.432859) + (xy 108.135431 120.4365) + (xy 108.574069 120.4365) + (xy 108.582859 120.432859) + (xy 108.5865 120.424069) + (xy 108.7135 120.424069) + (xy 108.717141 120.432859) + (xy 108.725931 120.4365) + (xy 109.164568 120.4365) + (xy 109.173358 120.432859) + (xy 109.176999 120.424069) + (xy 109.176999 120.188716) + (xy 109.176734 120.184665) + (xy 109.171355 120.1438) + (xy 109.16871 120.134726) + (xy 109.124307 120.039505) + (xy 109.118127 120.030679) + (xy 109.044321 119.956873) + (xy 109.035495 119.950693) + (xy 108.940273 119.90629) + (xy 108.931199 119.903645) + (xy 108.890353 119.898267) + (xy 108.886274 119.898) + (xy 108.725931 119.898) + (xy 108.717141 119.901641) + (xy 108.7135 119.910431) + (xy 108.7135 120.424069) + (xy 108.5865 120.424069) + (xy 108.5865 119.910432) + (xy 108.582859 119.901642) + (xy 108.574069 119.898001) + (xy 108.413716 119.898001) + (xy 108.409665 119.898266) + (xy 108.3688 119.903645) + (xy 108.359726 119.90629) + (xy 108.264505 119.950693) + (xy 108.255679 119.956873) + (xy 108.181873 120.030679) + (xy 108.175693 120.039505) + (xy 108.13129 120.134727) + (xy 108.128645 120.143801) + (xy 108.123267 120.184647) + (xy 108.123 120.188726) + (xy 108.123 120.424069) + (xy 107.527499 120.424069) + (xy 107.527499 120.186626) + (xy 107.527232 120.184593) + (xy 107.521845 120.143669) + (xy 107.521845 120.143668) + (xy 107.521221 120.138929) + (xy 107.474859 120.039505) + (xy 107.474723 120.039213) + (xy 107.474721 120.039211) + (xy 107.472411 120.034256) + (xy 107.390744 119.952589) + (xy 107.385789 119.950279) + (xy 107.385787 119.950277) + (xy 107.325118 119.921987) + (xy 107.286071 119.903779) + (xy 107.269831 119.901641) + (xy 107.240407 119.897767) + (xy 107.240399 119.897767) + (xy 107.238375 119.8975) + (xy 107.236328 119.8975) + (xy 106.999358 119.897501) + (xy 106.761626 119.897501) + (xy 106.759615 119.897766) + (xy 106.75961 119.897766) + (xy 106.718669 119.903155) + (xy 106.718668 119.903155) + (xy 106.713929 119.903779) + (xy 106.709595 119.9058) + (xy 106.614213 119.950277) + (xy 106.614211 119.950279) + (xy 106.609256 119.952589) + (xy 106.527589 120.034256) + (xy 106.525279 120.039211) + (xy 106.525277 120.039213) + (xy 106.525141 120.039505) + (xy 106.478779 120.138929) + (xy 106.478155 120.143669) + (xy 106.478155 120.14367) + (xy 106.472767 120.184593) + (xy 106.472767 120.184601) + (xy 106.4725 120.186625) + (xy 106.4725 120.2465) + (xy 106.454194 120.290694) + (xy 106.41 120.309) + (xy 106.105002 120.309) + (xy 106.060808 120.290694) + (xy 105.959306 120.189191) + (xy 105.941 120.144997) + (xy 105.941 115.355003) + (xy 105.959306 115.310809) + (xy 106.085809 115.184306) + (xy 106.130003 115.166) + (xy 106.253786 115.166) + (xy 106.29798 115.184306) + (xy 106.305753 115.193777) + (xy 106.335982 115.239018) + (xy 106.343508 115.244047) + (xy 106.406115 115.28588) + (xy 106.406117 115.285881) + (xy 106.411234 115.2893) + (xy 106.441808 115.295382) + (xy 106.474581 115.301901) + (xy 106.474584 115.301901) + (xy 106.477594 115.3025) + (xy 107.797406 115.3025) + (xy 107.800416 115.301901) + (xy 107.800419 115.301901) + (xy 107.833192 115.295382) + (xy 107.863766 115.2893) + (xy 107.868883 115.285881) + (xy 107.868885 115.28588) + (xy 107.931492 115.244047) + (xy 107.939018 115.239018) + (xy 107.944533 115.230764) + (xy 107.984306 115.204188) + (xy 108.031223 115.21352) + (xy 108.057799 115.253293) + (xy 108.059 115.265487) + (xy 108.059 115.394998) + (xy 108.040694 115.439192) + (xy 107.939191 115.540694) + (xy 107.894997 115.559) + (xy 107.293231 115.559) + (xy 107.285892 115.55775) + (xy 107.285809 115.558477) + (xy 107.278818 115.55768) + (xy 107.272177 115.555348) + (xy 107.265185 115.556126) + (xy 107.265183 115.556126) + (xy 107.242803 115.558617) + (xy 107.23589 115.559) + (xy 107.228467 115.559) + (xy 107.225043 115.559781) + (xy 107.221553 115.560577) + (xy 107.214567 115.561759) + (xy 107.206883 115.562614) + (xy 107.185571 115.564986) + (xy 107.179608 115.568719) + (xy 107.175662 115.570093) + (xy 107.171902 115.571903) + (xy 107.165041 115.573468) + (xy 107.143337 115.590764) + (xy 107.142221 115.591653) + (xy 107.136441 115.595746) + (xy 107.130289 115.599597) + (xy 107.125081 115.604805) + (xy 107.119837 115.60949) + (xy 107.111486 115.616145) + (xy 107.096891 115.627775) + (xy 107.093833 115.634114) + (xy 107.089442 115.639615) + (xy 107.088909 115.639189) + (xy 107.084531 115.645355) + (xy 106.895514 115.834372) + (xy 106.88944 115.838677) + (xy 106.889896 115.839251) + (xy 106.884391 115.84363) + (xy 106.878042 115.846678) + (xy 106.860465 115.868657) + (xy 106.859582 115.869761) + (xy 106.854965 115.87492) + (xy 106.849716 115.880169) + (xy 106.847847 115.883143) + (xy 106.845943 115.886172) + (xy 106.841838 115.891948) + (xy 106.823617 115.914733) + (xy 106.82204 115.921593) + (xy 106.820222 115.925353) + (xy 106.818844 115.929289) + (xy 106.815098 115.935248) + (xy 106.814307 115.942242) + (xy 106.814307 115.942243) + (xy 106.811821 115.964236) + (xy 106.810629 115.971213) + (xy 106.809 115.978297) + (xy 106.809 115.985671) + (xy 106.808604 115.992692) + (xy 106.805309 116.021838) + (xy 106.80763 116.028483) + (xy 106.808414 116.035477) + (xy 106.807736 116.035553) + (xy 106.809 116.043005) + (xy 106.809 116.336452) + (xy 106.790694 116.380646) + (xy 106.754657 116.398417) + (xy 106.718675 116.403153) + (xy 106.718667 116.403155) + (xy 106.713929 116.403779) + (xy 106.709595 116.4058) + (xy 106.614213 116.450277) + (xy 106.614211 116.450279) + (xy 106.609256 116.452589) + (xy 106.527589 116.534256) + (xy 106.525279 116.539211) + (xy 106.525277 116.539213) + (xy 106.502521 116.588015) + (xy 106.478779 116.638929) + (xy 106.478155 116.643669) + (xy 106.478155 116.64367) + (xy 106.472767 116.684593) + (xy 106.472767 116.684601) + (xy 106.4725 116.686625) + (xy 106.472501 117.313374) + (xy 106.472766 117.315385) + (xy 106.472766 117.31539) + (xy 106.478155 117.35633) + (xy 106.478779 117.361071) + (xy 106.4808 117.365404) + (xy 106.4808 117.365405) + (xy 106.525277 117.460787) + (xy 106.525279 117.460789) + (xy 106.527589 117.465744) + (xy 106.609256 117.547411) + (xy 106.614211 117.549721) + (xy 106.614213 117.549723) + (xy 106.645751 117.564429) + (xy 106.713929 117.596221) + (xy 106.734113 117.598878) + (xy 106.754658 117.601583) + (xy 106.796085 117.6255) + (xy 106.809 117.663548) + (xy 106.809 118.086452) + (xy 106.790694 118.130646) + (xy 106.754657 118.148417) + (xy 106.718675 118.153153) + (xy 106.718667 118.153155) + (xy 106.713929 118.153779) + (xy 106.709595 118.1558) + (xy 106.614213 118.200277) + (xy 106.614211 118.200279) + (xy 106.609256 118.202589) + (xy 106.527589 118.284256) + (xy 106.525279 118.289211) + (xy 106.525277 118.289213) + (xy 106.50545 118.331732) + (xy 106.478779 118.388929) + (xy 106.478155 118.393669) + (xy 106.478155 118.39367) + (xy 106.472767 118.434593) + (xy 106.472767 118.434601) + (xy 106.4725 118.436625) + (xy 106.472501 119.063374) + (xy 106.472766 119.065385) + (xy 106.472766 119.06539) + (xy 106.478138 119.106199) + (xy 106.478779 119.111071) + (xy 106.4808 119.115404) + (xy 106.4808 119.115405) + (xy 106.525277 119.210787) + (xy 106.525279 119.210789) + (xy 106.527589 119.215744) + (xy 106.609256 119.297411) + (xy 106.614211 119.299721) + (xy 106.614213 119.299723) + (xy 106.641299 119.312353) + (xy 106.713929 119.346221) + (xy 106.727295 119.347981) + (xy 106.759593 119.352233) + (xy 106.759601 119.352233) + (xy 106.761625 119.3525) + (xy 106.763672 119.3525) + (xy 107.000642 119.352499) + (xy 107.238374 119.352499) + (xy 107.240385 119.352234) + (xy 107.24039 119.352234) + (xy 107.281331 119.346845) + (xy 107.281332 119.346845) + (xy 107.286071 119.346221) + (xy 107.319444 119.330659) + (xy 107.385787 119.299723) + (xy 107.385789 119.299721) + (xy 107.390744 119.297411) + (xy 107.472411 119.215744) + (xy 107.474721 119.210789) + (xy 107.474723 119.210787) + (xy 107.497479 119.161985) + (xy 107.521221 119.111071) + (xy 107.522981 119.097705) + (xy 107.527233 119.065407) + (xy 107.527233 119.065399) + (xy 107.5275 119.063375) + (xy 107.5275 119.061284) + (xy 108.123001 119.061284) + (xy 108.123266 119.065335) + (xy 108.128645 119.1062) + (xy 108.13129 119.115274) + (xy 108.175693 119.210495) + (xy 108.181873 119.219321) + (xy 108.255679 119.293127) + (xy 108.264505 119.299307) + (xy 108.359727 119.34371) + (xy 108.368801 119.346355) + (xy 108.409647 119.351733) + (xy 108.413726 119.352) + (xy 108.574069 119.352) + (xy 108.582859 119.348359) + (xy 108.5865 119.339569) + (xy 108.5865 119.339568) + (xy 108.7135 119.339568) + (xy 108.717141 119.348358) + (xy 108.725931 119.351999) + (xy 108.886284 119.351999) + (xy 108.890335 119.351734) + (xy 108.9312 119.346355) + (xy 108.940274 119.34371) + (xy 109.035495 119.299307) + (xy 109.044321 119.293127) + (xy 109.118127 119.219321) + (xy 109.124307 119.210495) + (xy 109.16871 119.115273) + (xy 109.171355 119.106199) + (xy 109.176733 119.065353) + (xy 109.177 119.061274) + (xy 109.177 118.825931) + (xy 109.173359 118.817141) + (xy 109.164569 118.8135) + (xy 108.725931 118.8135) + (xy 108.717141 118.817141) + (xy 108.7135 118.825931) + (xy 108.7135 119.339568) + (xy 108.5865 119.339568) + (xy 108.5865 118.825931) + (xy 108.582859 118.817141) + (xy 108.574069 118.8135) + (xy 108.135432 118.8135) + (xy 108.126642 118.817141) + (xy 108.123001 118.825931) + (xy 108.123001 119.061284) + (xy 107.5275 119.061284) + (xy 107.527499 118.674069) + (xy 108.123 118.674069) + (xy 108.126641 118.682859) + (xy 108.135431 118.6865) + (xy 108.574069 118.6865) + (xy 108.582859 118.682859) + (xy 108.5865 118.674069) + (xy 108.7135 118.674069) + (xy 108.717141 118.682859) + (xy 108.725931 118.6865) + (xy 109.164568 118.6865) + (xy 109.173358 118.682859) + (xy 109.176999 118.674069) + (xy 109.176999 118.438716) + (xy 109.176734 118.434665) + (xy 109.171355 118.3938) + (xy 109.16871 118.384726) + (xy 109.124307 118.289505) + (xy 109.11841 118.281083) + (xy 118.148001 118.281083) + (xy 118.148333 118.285614) + (xy 118.157478 118.347743) + (xy 118.160326 118.35691) + (xy 118.207556 118.453105) + (xy 118.213484 118.461387) + (xy 118.289111 118.536883) + (xy 118.297406 118.542799) + (xy 118.393687 118.589863) + (xy 118.402851 118.592695) + (xy 118.464391 118.601672) + (xy 118.468904 118.602) + (xy 118.674069 118.602) + (xy 118.682859 118.598359) + (xy 118.6865 118.589569) + (xy 118.6865 118.589568) + (xy 118.8135 118.589568) + (xy 118.817141 118.598358) + (xy 118.825931 118.601999) + (xy 119.031083 118.601999) + (xy 119.035614 118.601667) + (xy 119.097743 118.592522) + (xy 119.10691 118.589674) + (xy 119.203105 118.542444) + (xy 119.211387 118.536516) + (xy 119.286883 118.460889) + (xy 119.292799 118.452594) + (xy 119.339863 118.356313) + (xy 119.342695 118.347149) + (xy 119.351672 118.285609) + (xy 119.352 118.281096) + (xy 119.352 118.100931) + (xy 119.348359 118.092141) + (xy 119.339569 118.0885) + (xy 118.825931 118.0885) + (xy 118.817141 118.092141) + (xy 118.8135 118.100931) + (xy 118.8135 118.589568) + (xy 118.6865 118.589568) + (xy 118.6865 118.100931) + (xy 118.682859 118.092141) + (xy 118.674069 118.0885) + (xy 118.160432 118.0885) + (xy 118.151642 118.092141) + (xy 118.148001 118.100931) + (xy 118.148001 118.281083) + (xy 109.11841 118.281083) + (xy 109.118127 118.280679) + (xy 109.044321 118.206873) + (xy 109.035495 118.200693) + (xy 108.940273 118.15629) + (xy 108.931199 118.153645) + (xy 108.890353 118.148267) + (xy 108.886274 118.148) + (xy 108.725931 118.148) + (xy 108.717141 118.151641) + (xy 108.7135 118.160431) + (xy 108.7135 118.674069) + (xy 108.5865 118.674069) + (xy 108.5865 118.160432) + (xy 108.582859 118.151642) + (xy 108.574069 118.148001) + (xy 108.413716 118.148001) + (xy 108.409665 118.148266) + (xy 108.3688 118.153645) + (xy 108.359726 118.15629) + (xy 108.264505 118.200693) + (xy 108.255679 118.206873) + (xy 108.181873 118.280679) + (xy 108.175693 118.289505) + (xy 108.13129 118.384727) + (xy 108.128645 118.393801) + (xy 108.123267 118.434647) + (xy 108.123 118.438726) + (xy 108.123 118.674069) + (xy 107.527499 118.674069) + (xy 107.527499 118.436626) + (xy 107.527232 118.434593) + (xy 107.521845 118.393669) + (xy 107.521845 118.393668) + (xy 107.521221 118.388929) + (xy 107.49455 118.331732) + (xy 107.474723 118.289213) + (xy 107.474721 118.289211) + (xy 107.472411 118.284256) + (xy 107.390744 118.202589) + (xy 107.385789 118.200279) + (xy 107.385787 118.200277) + (xy 107.336985 118.177521) + (xy 107.286071 118.153779) + (xy 107.265887 118.151122) + (xy 107.245342 118.148417) + (xy 107.203915 118.1245) + (xy 107.191 118.086452) + (xy 107.191 117.949069) + (xy 118.148 117.949069) + (xy 118.151641 117.957859) + (xy 118.160431 117.9615) + (xy 118.674069 117.9615) + (xy 118.682859 117.957859) + (xy 118.6865 117.949069) + (xy 118.8135 117.949069) + (xy 118.817141 117.957859) + (xy 118.825931 117.9615) + (xy 119.339568 117.9615) + (xy 119.348358 117.957859) + (xy 119.351999 117.949069) + (xy 119.351999 117.768917) + (xy 119.351667 117.764386) + (xy 119.342522 117.702257) + (xy 119.339674 117.69309) + (xy 119.292444 117.596895) + (xy 119.286516 117.588613) + (xy 119.210889 117.513117) + (xy 119.202594 117.507201) + (xy 119.106313 117.460137) + (xy 119.097149 117.457305) + (xy 119.035609 117.448328) + (xy 119.031096 117.448) + (xy 118.825931 117.448) + (xy 118.817141 117.451641) + (xy 118.8135 117.460431) + (xy 118.8135 117.949069) + (xy 118.6865 117.949069) + (xy 118.6865 117.460432) + (xy 118.682859 117.451642) + (xy 118.674069 117.448001) + (xy 118.468917 117.448001) + (xy 118.464386 117.448333) + (xy 118.402257 117.457478) + (xy 118.39309 117.460326) + (xy 118.296895 117.507556) + (xy 118.288613 117.513484) + (xy 118.213117 117.589111) + (xy 118.207201 117.597406) + (xy 118.160137 117.693687) + (xy 118.157305 117.702851) + (xy 118.148328 117.764391) + (xy 118.148 117.768904) + (xy 118.148 117.949069) + (xy 107.191 117.949069) + (xy 107.191 117.663548) + (xy 107.209306 117.619354) + (xy 107.245343 117.601583) + (xy 107.281325 117.596847) + (xy 107.281333 117.596845) + (xy 107.286071 117.596221) + (xy 107.302386 117.588613) + (xy 107.385787 117.549723) + (xy 107.385789 117.549721) + (xy 107.390744 117.547411) + (xy 107.472411 117.465744) + (xy 107.474721 117.460789) + (xy 107.474723 117.460787) + (xy 107.5192 117.365404) + (xy 107.521221 117.361071) + (xy 107.522981 117.347705) + (xy 107.527233 117.315407) + (xy 107.527233 117.315399) + (xy 107.5275 117.313375) + (xy 107.527499 116.686626) + (xy 107.527232 116.684593) + (xy 107.521845 116.643669) + (xy 107.521845 116.643668) + (xy 107.521221 116.638929) + (xy 107.517898 116.631802) + (xy 107.474723 116.539213) + (xy 107.474721 116.539211) + (xy 107.472411 116.534256) + (xy 107.390744 116.452589) + (xy 107.385789 116.450279) + (xy 107.385787 116.450277) + (xy 107.336985 116.427521) + (xy 107.286071 116.403779) + (xy 107.265887 116.401122) + (xy 107.245342 116.398417) + (xy 107.203915 116.3745) + (xy 107.191 116.336452) + (xy 107.191 116.105003) + (xy 107.209306 116.060809) + (xy 107.310809 115.959306) + (xy 107.355003 115.941) + (xy 107.956768 115.941) + (xy 107.964107 115.94225) + (xy 107.96419 115.941523) + (xy 107.971181 115.94232) + (xy 107.977822 115.944652) + (xy 107.984815 115.943874) + (xy 107.984816 115.943874) + (xy 108.007197 115.941383) + (xy 108.01411 115.941) + (xy 108.021533 115.941) + (xy 108.028465 115.939419) + (xy 108.035418 115.938243) + (xy 108.064429 115.935014) + (xy 108.070393 115.931281) + (xy 108.074341 115.929906) + (xy 108.0781 115.928097) + (xy 108.084959 115.926532) + (xy 108.090845 115.921842) + (xy 108.107773 115.908352) + (xy 108.113559 115.904256) + (xy 108.116715 115.90228) + (xy 108.116717 115.902279) + (xy 108.119711 115.900404) + (xy 108.124924 115.895191) + (xy 108.130168 115.890506) + (xy 108.147604 115.876612) + (xy 108.147604 115.876611) + (xy 108.153109 115.872225) + (xy 108.156167 115.865886) + (xy 108.160558 115.860385) + (xy 108.161092 115.860811) + (xy 108.165468 115.854647) + (xy 108.354487 115.665628) + (xy 108.360561 115.661323) + (xy 108.360105 115.66075) + (xy 108.365613 115.656369) + (xy 108.371958 115.653322) + (xy 108.386356 115.635318) + (xy 108.390418 115.630239) + (xy 108.395035 115.62508) + (xy 108.400283 115.619832) + (xy 108.404055 115.613831) + (xy 108.408159 115.608056) + (xy 108.421988 115.590764) + (xy 108.42199 115.59076) + (xy 108.426383 115.585267) + (xy 108.42796 115.57841) + (xy 108.429778 115.574648) + (xy 108.431157 115.57071) + (xy 108.434902 115.564752) + (xy 108.435692 115.557761) + (xy 108.435694 115.557756) + (xy 108.43818 115.535763) + (xy 108.439373 115.528778) + (xy 108.440208 115.525146) + (xy 108.441 115.521703) + (xy 108.441 115.514323) + (xy 108.441396 115.507303) + (xy 108.44336 115.489926) + (xy 108.44469 115.478162) + (xy 108.442369 115.471516) + (xy 108.441585 115.464522) + (xy 108.442264 115.464446) + (xy 108.441 115.456996) + (xy 108.441 114.543231) + (xy 108.44225 114.535892) + (xy 108.441523 114.535809) + (xy 108.44232 114.528818) + (xy 108.444652 114.522177) + (xy 108.443693 114.513555) + (xy 108.441383 114.492803) + (xy 108.441 114.48589) + (xy 108.441 114.478467) + (xy 108.439423 114.471553) + (xy 108.438241 114.464567) + (xy 108.435792 114.442563) + (xy 108.435014 114.435571) + (xy 108.431281 114.429608) + (xy 108.429907 114.425662) + (xy 108.428097 114.421902) + (xy 108.426532 114.415041) + (xy 108.408344 114.392217) + (xy 108.404254 114.386441) + (xy 108.400403 114.380289) + (xy 108.395195 114.375081) + (xy 108.39051 114.369837) + (xy 108.376612 114.352396) + (xy 108.376611 114.352396) + (xy 108.372225 114.346891) + (xy 108.365886 114.343833) + (xy 108.360385 114.339442) + (xy 108.360811 114.338909) + (xy 108.354645 114.334531) + (xy 108.240628 114.220513) + (xy 108.236322 114.214438) + (xy 108.235749 114.214894) + (xy 108.23137 114.209389) + (xy 108.228322 114.203042) + (xy 108.205239 114.184582) + (xy 108.20008 114.179965) + (xy 108.194831 114.174716) + (xy 108.188828 114.170943) + (xy 108.183052 114.166838) + (xy 108.160267 114.148617) + (xy 108.153407 114.14704) + (xy 108.149647 114.145222) + (xy 108.145711 114.143844) + (xy 108.139752 114.140098) + (xy 108.132758 114.139307) + (xy 108.132757 114.139307) + (xy 108.110764 114.136821) + (xy 108.103787 114.135629) + (xy 108.096703 114.134) + (xy 108.089329 114.134) + (xy 108.082308 114.133604) + (xy 108.080627 114.133414) + (xy 108.053162 114.130309) + (xy 108.046517 114.13263) + (xy 108.039523 114.133414) + (xy 108.039447 114.132736) + (xy 108.031995 114.134) + (xy 108.021214 114.134) + (xy 107.97702 114.115694) + (xy 107.969247 114.106223) + (xy 107.942437 114.066099) + (xy 107.939018 114.060982) + (xy 107.925077 114.051667) + (xy 107.898501 114.011893) + (xy 107.907833 113.964977) + (xy 107.925076 113.947734) + (xy 107.933539 113.942079) + (xy 107.942077 113.933541) + (xy 107.985408 113.86869) + (xy 107.99003 113.857532) + (xy 108.001401 113.800369) + (xy 108.002 113.794285) + (xy 108.002 113.750931) + (xy 107.998359 113.742141) + (xy 107.989569 113.7385) + (xy 106.285431 113.7385) + (xy 106.276641 113.742141) + (xy 106.273 113.750931) + (xy 106.273 113.794285) + (xy 106.273599 113.800369) + (xy 106.28497 113.857532) + (xy 106.289592 113.86869) + (xy 106.332923 113.933541) + (xy 106.341461 113.942079) + (xy 106.349924 113.947734) + (xy 106.376499 113.987508) + (xy 106.367166 114.034424) + (xy 106.349923 114.051667) + (xy 106.335982 114.060982) + (xy 106.332563 114.066099) + (xy 106.291118 114.128126) + (xy 106.2857 114.136234) + (xy 106.281444 114.157631) + (xy 106.273286 114.198645) + (xy 106.2725 114.202594) + (xy 106.2725 114.447406) + (xy 106.273099 114.450416) + (xy 106.273099 114.450419) + (xy 106.277591 114.473) + (xy 106.2857 114.513766) + (xy 106.289119 114.518883) + (xy 106.28912 114.518885) + (xy 106.325263 114.572976) + (xy 106.335982 114.589018) + (xy 106.348988 114.597708) + (xy 106.349474 114.598033) + (xy 106.37605 114.637807) + (xy 106.366718 114.684723) + (xy 106.349474 114.701967) + (xy 106.335982 114.710982) + (xy 106.332563 114.716099) + (xy 106.305753 114.756223) + (xy 106.265979 114.782799) + (xy 106.253786 114.784) + (xy 106.068234 114.784) + (xy 106.060893 114.782747) + (xy 106.06081 114.783476) + (xy 106.053819 114.782679) + (xy 106.047178 114.780347) + (xy 106.040185 114.781125) + (xy 106.040184 114.781125) + (xy 106.017794 114.783617) + (xy 106.010881 114.784) + (xy 106.003467 114.784) + (xy 105.996544 114.785579) + (xy 105.989591 114.786755) + (xy 105.960571 114.789985) + (xy 105.954607 114.793719) + (xy 105.95067 114.79509) + (xy 105.946904 114.796903) + (xy 105.940041 114.798468) + (xy 105.925027 114.810432) + (xy 105.917229 114.816646) + (xy 105.911446 114.82074) + (xy 105.90829 114.822716) + (xy 105.908284 114.822721) + (xy 105.905289 114.824596) + (xy 105.900076 114.829809) + (xy 105.894832 114.834494) + (xy 105.871891 114.852775) + (xy 105.868833 114.859114) + (xy 105.864442 114.864615) + (xy 105.863908 114.864189) + (xy 105.859532 114.870353) + (xy 105.645513 115.084372) + (xy 105.639439 115.088677) + (xy 105.639895 115.08925) + (xy 105.634387 115.093631) + (xy 105.628042 115.096678) + (xy 105.623646 115.102175) + (xy 105.609582 115.119761) + (xy 105.604965 115.12492) + (xy 105.599716 115.130169) + (xy 105.595943 115.136172) + (xy 105.591838 115.141948) + (xy 105.573617 115.164733) + (xy 105.57204 115.171593) + (xy 105.570222 115.175353) + (xy 105.568844 115.179289) + (xy 105.565098 115.185248) + (xy 105.564307 115.192242) + (xy 105.564307 115.192243) + (xy 105.561821 115.214236) + (xy 105.560629 115.221213) + (xy 105.559 115.228297) + (xy 105.559 115.235671) + (xy 105.558604 115.242692) + (xy 105.555309 115.271838) + (xy 99.3 115.271838) + (xy 99.3 113.147406) + (xy 106.2725 113.147406) + (xy 106.273099 113.150416) + (xy 106.273099 113.150419) + (xy 106.274677 113.158352) + (xy 106.2857 113.213766) + (xy 106.289119 113.218883) + (xy 106.28912 113.218885) + (xy 106.324299 113.271533) + (xy 106.335982 113.289018) + (xy 106.341099 113.292437) + (xy 106.349923 113.298333) + (xy 106.376499 113.338107) + (xy 106.367167 113.385023) + (xy 106.349924 113.402266) + (xy 106.341461 113.407921) + (xy 106.332923 113.416459) + (xy 106.289592 113.48131) + (xy 106.28497 113.492468) + (xy 106.273599 113.549631) + (xy 106.273 113.555715) + (xy 106.273 113.599069) + (xy 106.276641 113.607859) + (xy 106.285431 113.6115) + (xy 107.989569 113.6115) + (xy 107.998359 113.607859) + (xy 108.002 113.599069) + (xy 108.002 113.555715) + (xy 108.001401 113.549631) + (xy 107.99003 113.492468) + (xy 107.985408 113.48131) + (xy 107.942077 113.416459) + (xy 107.933539 113.407921) + (xy 107.925076 113.402266) + (xy 107.898501 113.362492) + (xy 107.907834 113.315576) + (xy 107.925077 113.298333) + (xy 107.933901 113.292437) + (xy 107.939018 113.289018) + (xy 107.950701 113.271533) + (xy 107.98588 113.218885) + (xy 107.985881 113.218883) + (xy 107.9893 113.213766) + (xy 108.000323 113.158352) + (xy 108.001901 113.150419) + (xy 108.001901 113.150416) + (xy 108.0025 113.147406) + (xy 108.0025 112.902594) + (xy 108.001582 112.897975) + (xy 107.991201 112.845791) + (xy 107.9893 112.836234) + (xy 107.978325 112.819808) + (xy 107.942437 112.766099) + (xy 107.939018 112.760982) + (xy 107.91153 112.742615) + (xy 107.868885 112.71412) + (xy 107.868883 112.714119) + (xy 107.863766 112.7107) + (xy 107.833192 112.704618) + (xy 107.800419 112.698099) + (xy 107.800416 112.698099) + (xy 107.797406 112.6975) + (xy 106.477594 112.6975) + (xy 106.474584 112.698099) + (xy 106.474581 112.698099) + (xy 106.441808 112.704618) + (xy 106.411234 112.7107) + (xy 106.406117 112.714119) + (xy 106.406115 112.71412) + (xy 106.36347 112.742615) + (xy 106.335982 112.760982) + (xy 106.332563 112.766099) + (xy 106.296676 112.819808) + (xy 106.2857 112.836234) + (xy 106.283799 112.845791) + (xy 106.273419 112.897975) + (xy 106.2725 112.902594) + (xy 106.2725 113.147406) + (xy 99.3 113.147406) + (xy 99.3 108.562558) + (xy 106.7225 108.562558) + (xy 106.723099 108.565569) + (xy 106.728287 108.591648) + (xy 106.729898 108.599748) + (xy 106.758078 108.641922) + (xy 106.800252 108.670102) + (xy 106.806285 108.671302) + (xy 106.806287 108.671303) + (xy 106.832384 108.676494) + (xy 106.837442 108.6775) + (xy 107.406 108.6775) + (xy 107.450194 108.695806) + (xy 107.4685 108.74) + (xy 107.4685 110.552624) + (xy 107.4671 110.565778) + (xy 107.463655 110.58178) + (xy 107.464262 110.58691) + (xy 107.464262 110.586912) + (xy 107.468067 110.619059) + (xy 107.4685 110.626405) + (xy 107.4685 110.631692) + (xy 107.471936 110.652336) + (xy 107.472347 110.655223) + (xy 107.478582 110.707907) + (xy 107.480818 110.712563) + (xy 107.481933 110.716402) + (xy 107.48323 110.720191) + (xy 107.484078 110.725283) + (xy 107.486529 110.729826) + (xy 107.48653 110.729828) + (xy 107.509263 110.771958) + (xy 107.5106 110.774583) + (xy 107.53356 110.822398) + (xy 107.53717 110.826692) + (xy 107.537355 110.826877) + (xy 107.539944 110.829944) + (xy 107.541935 110.83251) + (xy 107.544388 110.837057) + (xy 107.549598 110.841873) + (xy 107.585108 110.874698) + (xy 107.586877 110.876399) + (xy 109.971741 113.261264) + (xy 109.98005 113.271553) + (xy 109.986124 113.280961) + (xy 109.986127 113.280964) + (xy 109.988929 113.285304) + (xy 109.992987 113.288503) + (xy 110.018411 113.308546) + (xy 110.023911 113.313434) + (xy 110.027648 113.317171) + (xy 110.029748 113.318672) + (xy 110.029752 113.318675) + (xy 110.04466 113.329329) + (xy 110.047014 113.331095) + (xy 110.060193 113.341484) + (xy 110.083567 113.383219) + (xy 110.084 113.390567) + (xy 110.084 115.269997) + (xy 110.065694 115.314191) + (xy 108.992646 116.387239) + (xy 108.948452 116.405545) + (xy 108.936071 116.403778) + (xy 108.936071 116.403779) + (xy 108.890407 116.397767) + (xy 108.890399 116.397767) + (xy 108.888375 116.3975) + (xy 108.886328 116.3975) + (xy 108.649358 116.397501) + (xy 108.411626 116.397501) + (xy 108.409615 116.397766) + (xy 108.40961 116.397766) + (xy 108.368669 116.403155) + (xy 108.368668 116.403155) + (xy 108.363929 116.403779) + (xy 108.359595 116.4058) + (xy 108.264213 116.450277) + (xy 108.264211 116.450279) + (xy 108.259256 116.452589) + (xy 108.177589 116.534256) + (xy 108.175279 116.539211) + (xy 108.175277 116.539213) + (xy 108.152521 116.588015) + (xy 108.128779 116.638929) + (xy 108.128155 116.643669) + (xy 108.128155 116.64367) + (xy 108.122767 116.684593) + (xy 108.122767 116.684601) + (xy 108.1225 116.686625) + (xy 108.122501 117.313374) + (xy 108.122766 117.315385) + (xy 108.122766 117.31539) + (xy 108.128155 117.35633) + (xy 108.128779 117.361071) + (xy 108.1308 117.365404) + (xy 108.1308 117.365405) + (xy 108.175277 117.460787) + (xy 108.175279 117.460789) + (xy 108.177589 117.465744) + (xy 108.259256 117.547411) + (xy 108.264211 117.549721) + (xy 108.264213 117.549723) + (xy 108.295751 117.564429) + (xy 108.363929 117.596221) + (xy 108.377295 117.597981) + (xy 108.409593 117.602233) + (xy 108.409601 117.602233) + (xy 108.411625 117.6025) + (xy 108.413672 117.6025) + (xy 108.650642 117.602499) + (xy 108.888374 117.602499) + (xy 108.890385 117.602234) + (xy 108.89039 117.602234) + (xy 108.931331 117.596845) + (xy 108.931332 117.596845) + (xy 108.936071 117.596221) + (xy 108.952386 117.588613) + (xy 109.035787 117.549723) + (xy 109.035789 117.549721) + (xy 109.040744 117.547411) + (xy 109.122411 117.465744) + (xy 109.124721 117.460789) + (xy 109.124723 117.460787) + (xy 109.1692 117.365404) + (xy 109.171221 117.361071) + (xy 109.172981 117.347705) + (xy 109.177233 117.315407) + (xy 109.177233 117.315399) + (xy 109.1775 117.313375) + (xy 109.177499 116.768504) + (xy 109.195805 116.72431) + (xy 110.379485 115.540629) + (xy 110.38556 115.536322) + (xy 110.385105 115.53575) + (xy 110.390613 115.531369) + (xy 110.396958 115.528322) + (xy 110.415422 115.505234) + (xy 110.420039 115.500075) + (xy 110.425283 115.494831) + (xy 110.427145 115.491868) + (xy 110.427151 115.491861) + (xy 110.429058 115.488826) + (xy 110.433163 115.48305) + (xy 110.446987 115.465764) + (xy 110.451383 115.460267) + (xy 110.45296 115.453407) + (xy 110.454778 115.449647) + (xy 110.456156 115.445711) + (xy 110.459902 115.439752) + (xy 110.463179 115.410763) + (xy 110.464371 115.403787) + (xy 110.466 115.396703) + (xy 110.466 115.389329) + (xy 110.466396 115.382308) + (xy 110.467822 115.369695) + (xy 110.469691 115.353162) + (xy 110.46737 115.346517) + (xy 110.466586 115.339523) + (xy 110.467264 115.339447) + (xy 110.466 115.331995) + (xy 110.466 115.094285) + (xy 111.998 115.094285) + (xy 111.998599 115.100369) + (xy 112.00997 115.157532) + (xy 112.014592 115.16869) + (xy 112.057923 115.233541) + (xy 112.066459 115.242077) + (xy 112.13131 115.285408) + (xy 112.142468 115.29003) + (xy 112.199631 115.301401) + (xy 112.205715 115.302) + (xy 112.786569 115.302) + (xy 112.795359 115.298359) + (xy 112.799 115.289569) + (xy 112.926 115.289569) + (xy 112.929641 115.298359) + (xy 112.938431 115.302) + (xy 113.519285 115.302) + (xy 113.525369 115.301401) + (xy 113.582532 115.29003) + (xy 113.59369 115.285408) + (xy 113.658541 115.242077) + (xy 113.667077 115.233541) + (xy 113.710408 115.16869) + (xy 113.71503 115.157532) + (xy 113.726401 115.100369) + (xy 113.727 115.094285) + (xy 113.727 115.050931) + (xy 113.723359 115.042141) + (xy 113.714569 115.0385) + (xy 112.938431 115.0385) + (xy 112.929641 115.042141) + (xy 112.926 115.050931) + (xy 112.926 115.289569) + (xy 112.799 115.289569) + (xy 112.799 115.050931) + (xy 112.795359 115.042141) + (xy 112.786569 115.0385) + (xy 112.010431 115.0385) + (xy 112.001641 115.042141) + (xy 111.998 115.050931) + (xy 111.998 115.094285) + (xy 110.466 115.094285) + (xy 110.466 113.469) + (xy 110.484306 113.424806) + (xy 110.5285 113.4065) + (xy 111.951489 113.4065) + (xy 111.995683 113.424806) + (xy 112.013989 113.469) + (xy 112.010567 113.486207) + (xy 112.0107 113.486234) + (xy 112.003714 113.521357) + (xy 111.9975 113.552594) + (xy 111.9975 113.797406) + (xy 111.998099 113.800416) + (xy 111.998099 113.800419) + (xy 112.002467 113.822375) + (xy 112.0107 113.863766) + (xy 112.014119 113.868883) + (xy 112.01412 113.868885) + (xy 112.042569 113.911461) + (xy 112.060982 113.939018) + (xy 112.074027 113.947734) + (xy 112.074474 113.948033) + (xy 112.10105 113.987807) + (xy 112.091718 114.034723) + (xy 112.074474 114.051967) + (xy 112.060982 114.060982) + (xy 112.057563 114.066099) + (xy 112.016118 114.128126) + (xy 112.0107 114.136234) + (xy 112.006444 114.157631) + (xy 111.998286 114.198645) + (xy 111.9975 114.202594) + (xy 111.9975 114.447406) + (xy 111.998099 114.450416) + (xy 111.998099 114.450419) + (xy 112.002591 114.473) + (xy 112.0107 114.513766) + (xy 112.014119 114.518883) + (xy 112.01412 114.518885) + (xy 112.050263 114.572976) + (xy 112.060982 114.589018) + (xy 112.073988 114.597708) + (xy 112.074923 114.598333) + (xy 112.101499 114.638107) + (xy 112.092167 114.685023) + (xy 112.074924 114.702266) + (xy 112.066461 114.707921) + (xy 112.057923 114.716459) + (xy 112.014592 114.78131) + (xy 112.00997 114.792468) + (xy 111.998599 114.849631) + (xy 111.998 114.855715) + (xy 111.998 114.899069) + (xy 112.001641 114.907859) + (xy 112.010431 114.9115) + (xy 113.714569 114.9115) + (xy 113.723359 114.907859) + (xy 113.727 114.899069) + (xy 113.727 114.855715) + (xy 113.726401 114.849631) + (xy 113.713829 114.786429) + (xy 113.715217 114.786153) + (xy 113.715216 114.745084) + (xy 113.74904 114.711258) + (xy 113.772959 114.7065) + (xy 118.306 114.7065) + (xy 118.350194 114.724806) + (xy 118.3685 114.769) + (xy 118.3685 115.882901) + (xy 118.350194 115.927095) + (xy 118.333545 115.939004) + (xy 118.291964 115.959419) + (xy 118.288318 115.963071) + (xy 118.288317 115.963072) + (xy 118.212704 116.038817) + (xy 118.212702 116.038819) + (xy 118.209056 116.042472) + (xy 118.17873 116.104511) + (xy 118.159943 116.142946) + (xy 118.15752 116.147902) + (xy 118.156819 116.152705) + (xy 118.156819 116.152706) + (xy 118.153983 116.172147) + (xy 118.1475 116.216589) + (xy 118.147501 116.73341) + (xy 118.157699 116.802695) + (xy 118.209419 116.908036) + (xy 118.213071 116.911682) + (xy 118.213072 116.911683) + (xy 118.288817 116.987296) + (xy 118.288819 116.987298) + (xy 118.292472 116.990944) + (xy 118.365762 117.026769) + (xy 118.393158 117.040161) + (xy 118.397902 117.04248) + (xy 118.402705 117.043181) + (xy 118.402706 117.043181) + (xy 118.424659 117.046383) + (xy 118.466589 117.0525) + (xy 118.749251 117.0525) + (xy 119.03341 117.052499) + (xy 119.102695 117.042301) + (xy 119.208036 116.990581) + (xy 119.211683 116.986928) + (xy 119.287296 116.911183) + (xy 119.287298 116.911181) + (xy 119.290944 116.907528) + (xy 119.326769 116.834238) + (xy 119.340349 116.806458) + (xy 119.340349 116.806457) + (xy 119.34248 116.802098) + (xy 119.3525 116.733411) + (xy 119.352499 116.21659) + (xy 119.342301 116.147305) + (xy 119.290581 116.041964) + (xy 119.284083 116.035477) + (xy 119.211184 115.962705) + (xy 119.211182 115.962703) + (xy 119.207528 115.959056) + (xy 119.202885 115.956786) + (xy 119.202883 115.956785) + (xy 119.166552 115.939025) + (xy 119.134887 115.903171) + (xy 119.1315 115.882875) + (xy 119.1315 114.769) + (xy 119.149806 114.724806) + (xy 119.194 114.7065) + (xy 119.39109 114.7065) + (xy 119.435284 114.724806) + (xy 120.008836 115.298359) + (xy 120.58153 115.871053) + (xy 120.594543 115.890075) + (xy 120.614317 115.935014) + (xy 120.633333 115.97823) + (xy 120.64549 115.992692) + (xy 120.648029 115.995713) + (xy 120.650157 115.998434) + (xy 120.652292 116.002625) + (xy 120.660081 116.010414) + (xy 120.66373 116.014392) + (xy 120.681454 116.035477) + (xy 120.712127 116.071968) + (xy 120.735399 116.087459) + (xy 120.741836 116.092733) + (xy 120.743899 116.094232) + (xy 120.747375 116.097708) + (xy 120.751753 116.099939) + (xy 120.751756 116.099941) + (xy 120.75873 116.103494) + (xy 120.764977 116.107148) + (xy 120.814064 116.139823) + (xy 120.818313 116.141151) + (xy 120.818314 116.141151) + (xy 120.850296 116.151143) + (xy 120.860027 116.155109) + (xy 120.862799 116.156521) + (xy 120.862803 116.156522) + (xy 120.867187 116.158756) + (xy 120.877852 116.160445) + (xy 120.886713 116.16252) + (xy 120.926696 116.175012) + (xy 120.926699 116.175012) + (xy 120.930948 116.17634) + (xy 120.980069 116.177241) + (xy 120.988683 116.177999) + (xy 120.99514 116.179022) + (xy 120.995144 116.179022) + (xy 121 116.179791) + (xy 121.006947 116.17869) + (xy 121.017853 116.177933) + (xy 121.053383 116.178584) + (xy 121.113532 116.162185) + (xy 121.12019 116.160755) + (xy 121.122147 116.160445) + (xy 121.132813 116.158756) + (xy 121.137196 116.156522) + (xy 121.141875 116.155002) + (xy 121.141878 116.15501) + (xy 121.146727 116.153136) + (xy 121.171527 116.146375) + (xy 121.229449 116.110811) + (xy 121.239709 116.104511) + (xy 121.244037 116.102085) + (xy 121.24824 116.099944) + (xy 121.248248 116.099938) + (xy 121.252625 116.097708) + (xy 121.255427 116.094906) + (xy 121.256264 116.094346) + (xy 121.258891 116.092733) + (xy 121.275881 116.082301) + (xy 121.358058 115.991513) + (xy 121.411451 115.881311) + (xy 121.412527 115.87492) + (xy 121.423018 115.812558) + (xy 124.9725 115.812558) + (xy 124.973099 115.815569) + (xy 124.978659 115.843518) + (xy 124.979898 115.849748) + (xy 125.008078 115.891922) + (xy 125.050252 115.920102) + (xy 125.056285 115.921302) + (xy 125.056287 115.921303) + (xy 125.081611 115.92634) + (xy 125.087442 115.9275) + (xy 126.512558 115.9275) + (xy 126.518389 115.92634) + (xy 126.543713 115.921303) + (xy 126.543715 115.921302) + (xy 126.549748 115.920102) + (xy 126.591922 115.891922) + (xy 126.620102 115.849748) + (xy 126.621342 115.843518) + (xy 126.626901 115.815569) + (xy 126.6275 115.812558) + (xy 126.6275 115.809438) + (xy 127.373 115.809438) + (xy 127.373599 115.815519) + (xy 127.379169 115.843518) + (xy 127.383788 115.85467) + (xy 127.405019 115.886445) + (xy 127.413555 115.894981) + (xy 127.44533 115.916212) + (xy 127.456482 115.920831) + (xy 127.484481 115.926401) + (xy 127.490562 115.927) + (xy 128.124069 115.927) + (xy 128.132859 115.923359) + (xy 128.1365 115.914569) + (xy 128.2635 115.914569) + (xy 128.267141 115.923359) + (xy 128.275931 115.927) + (xy 128.909438 115.927) + (xy 128.915519 115.926401) + (xy 128.943518 115.920831) + (xy 128.95467 115.916212) + (xy 128.986445 115.894981) + (xy 128.994981 115.886445) + (xy 129.016212 115.85467) + (xy 129.020831 115.843518) + (xy 129.026401 115.815519) + (xy 129.027 115.809438) + (xy 129.027 115.311284) + (xy 130.898001 115.311284) + (xy 130.898266 115.315335) + (xy 130.903645 115.3562) + (xy 130.90629 115.365274) + (xy 130.950693 115.460495) + (xy 130.956873 115.469321) + (xy 131.030679 115.543127) + (xy 131.039505 115.549307) + (xy 131.134727 115.59371) + (xy 131.143801 115.596355) + (xy 131.184647 115.601733) + (xy 131.188726 115.602) + (xy 131.424069 115.602) + (xy 131.432859 115.598359) + (xy 131.4365 115.589569) + (xy 131.4365 115.589568) + (xy 131.5635 115.589568) + (xy 131.567141 115.598358) + (xy 131.575931 115.601999) + (xy 131.811284 115.601999) + (xy 131.815335 115.601734) + (xy 131.8562 115.596355) + (xy 131.865274 115.59371) + (xy 131.960495 115.549307) + (xy 131.969321 115.543127) + (xy 132.043127 115.469321) + (xy 132.049307 115.460495) + (xy 132.09371 115.365273) + (xy 132.096355 115.356199) + (xy 132.101733 115.315353) + (xy 132.102 115.311274) + (xy 132.102 115.150931) + (xy 132.098359 115.142141) + (xy 132.089569 115.1385) + (xy 131.575931 115.1385) + (xy 131.567141 115.142141) + (xy 131.5635 115.150931) + (xy 131.5635 115.589568) + (xy 131.4365 115.589568) + (xy 131.4365 115.150931) + (xy 131.432859 115.142141) + (xy 131.424069 115.1385) + (xy 130.910432 115.1385) + (xy 130.901642 115.142141) + (xy 130.898001 115.150931) + (xy 130.898001 115.311284) + (xy 129.027 115.311284) + (xy 129.027 115.275931) + (xy 129.023359 115.267141) + (xy 129.014569 115.2635) + (xy 128.275931 115.2635) + (xy 128.267141 115.267141) + (xy 128.2635 115.275931) + (xy 128.2635 115.914569) + (xy 128.1365 115.914569) + (xy 128.1365 115.275931) + (xy 128.132859 115.267141) + (xy 128.124069 115.2635) + (xy 127.385431 115.2635) + (xy 127.376641 115.267141) + (xy 127.373 115.275931) + (xy 127.373 115.809438) + (xy 126.6275 115.809438) + (xy 126.6275 115.124069) + (xy 127.373 115.124069) + (xy 127.376641 115.132859) + (xy 127.385431 115.1365) + (xy 128.124069 115.1365) + (xy 128.132859 115.132859) + (xy 128.1365 115.124069) + (xy 128.2635 115.124069) + (xy 128.267141 115.132859) + (xy 128.275931 115.1365) + (xy 129.014569 115.1365) + (xy 129.023359 115.132859) + (xy 129.027 115.124069) + (xy 129.027 114.999069) + (xy 130.898 114.999069) + (xy 130.901641 115.007859) + (xy 130.910431 115.0115) + (xy 131.424069 115.0115) + (xy 131.432859 115.007859) + (xy 131.4365 114.999069) + (xy 131.5635 114.999069) + (xy 131.567141 115.007859) + (xy 131.575931 115.0115) + (xy 132.089568 115.0115) + (xy 132.098358 115.007859) + (xy 132.101999 114.999069) + (xy 132.101999 114.838716) + (xy 132.101734 114.834665) + (xy 132.096355 114.7938) + (xy 132.09371 114.784726) + (xy 132.049307 114.689505) + (xy 132.043127 114.680679) + (xy 131.969321 114.606873) + (xy 131.960495 114.600693) + (xy 131.865273 114.55629) + (xy 131.856199 114.553645) + (xy 131.815353 114.548267) + (xy 131.811274 114.548) + (xy 131.575931 114.548) + (xy 131.567141 114.551641) + (xy 131.5635 114.560431) + (xy 131.5635 114.999069) + (xy 131.4365 114.999069) + (xy 131.4365 114.560432) + (xy 131.432859 114.551642) + (xy 131.424069 114.548001) + (xy 131.188716 114.548001) + (xy 131.184665 114.548266) + (xy 131.1438 114.553645) + (xy 131.134726 114.55629) + (xy 131.039505 114.600693) + (xy 131.030679 114.606873) + (xy 130.956873 114.680679) + (xy 130.950693 114.689505) + (xy 130.90629 114.784727) + (xy 130.903645 114.793801) + (xy 130.898267 114.834647) + (xy 130.898 114.838726) + (xy 130.898 114.999069) + (xy 129.027 114.999069) + (xy 129.027 114.590562) + (xy 129.026401 114.584481) + (xy 129.020831 114.556482) + (xy 129.016212 114.54533) + (xy 128.994981 114.513555) + (xy 128.986445 114.505019) + (xy 128.95467 114.483788) + (xy 128.943518 114.479169) + (xy 128.915519 114.473599) + (xy 128.909438 114.473) + (xy 128.275931 114.473) + (xy 128.267141 114.476641) + (xy 128.2635 114.485431) + (xy 128.2635 115.124069) + (xy 128.1365 115.124069) + (xy 128.1365 114.485431) + (xy 128.132859 114.476641) + (xy 128.124069 114.473) + (xy 127.490562 114.473) + (xy 127.484481 114.473599) + (xy 127.456482 114.479169) + (xy 127.44533 114.483788) + (xy 127.413555 114.505019) + (xy 127.405019 114.513555) + (xy 127.383788 114.54533) + (xy 127.379169 114.556482) + (xy 127.373599 114.584481) + (xy 127.373 114.590562) + (xy 127.373 115.124069) + (xy 126.6275 115.124069) + (xy 126.6275 114.587442) + (xy 126.623744 114.568561) + (xy 126.621303 114.556287) + (xy 126.621302 114.556285) + (xy 126.620102 114.550252) + (xy 126.591922 114.508078) + (xy 126.549748 114.479898) + (xy 126.543715 114.478698) + (xy 126.543713 114.478697) + (xy 126.515569 114.473099) + (xy 126.512558 114.4725) + (xy 125.087442 114.4725) + (xy 125.084431 114.473099) + (xy 125.056287 114.478697) + (xy 125.056285 114.478698) + (xy 125.050252 114.479898) + (xy 125.008078 114.508078) + (xy 124.979898 114.550252) + (xy 124.978698 114.556285) + (xy 124.978697 114.556287) + (xy 124.976256 114.568561) + (xy 124.9725 114.587442) + (xy 124.9725 115.812558) + (xy 121.423018 115.812558) + (xy 121.426696 115.790694) + (xy 121.431767 115.760552) + (xy 121.431896 115.75) + (xy 121.414536 115.628781) + (xy 121.363852 115.517307) + (xy 121.349199 115.500302) + (xy 121.347708 115.497375) + (xy 121.3419 115.491567) + (xy 121.338746 115.48817) + (xy 121.286826 115.427914) + (xy 121.283918 115.424539) + (xy 121.263741 115.411461) + (xy 121.260155 115.408559) + (xy 121.260081 115.408661) + (xy 121.256106 115.405773) + (xy 121.252625 115.402292) + (xy 121.241343 115.396543) + (xy 121.235736 115.393309) + (xy 121.184893 115.360354) + (xy 121.18489 115.360353) + (xy 121.18116 115.357935) + (xy 121.176901 115.356661) + (xy 121.176898 115.35666) + (xy 121.158345 115.351112) + (xy 121.148839 115.348269) + (xy 121.138367 115.344074) + (xy 121.137442 115.343603) + (xy 121.12164 115.332118) + (xy 119.878263 114.088741) + (xy 119.86995 114.078448) + (xy 119.863873 114.069035) + (xy 119.863871 114.069033) + (xy 119.861071 114.064696) + (xy 119.857017 114.0615) + (xy 119.857015 114.061498) + (xy 119.840853 114.048757) + (xy 119.81748 114.00702) + (xy 119.830465 113.960981) + (xy 119.833635 113.957269) + (xy 119.849721 113.939867) + (xy 119.851399 113.938123) + (xy 121.139717 112.649806) + (xy 121.183911 112.6315) + (xy 123.033939 112.6315) + (xy 123.060635 112.63754) + (xy 123.064064 112.639823) + (xy 123.068316 112.641151) + (xy 123.068317 112.641152) + (xy 123.100296 112.651143) + (xy 123.110027 112.655109) + (xy 123.112799 112.656521) + (xy 123.112803 112.656522) + (xy 123.117187 112.658756) + (xy 123.127852 112.660445) + (xy 123.136713 112.66252) + (xy 123.176696 112.675012) + (xy 123.176699 112.675012) + (xy 123.180948 112.67634) + (xy 123.230069 112.677241) + (xy 123.238683 112.677999) + (xy 123.24514 112.679022) + (xy 123.245144 112.679022) + (xy 123.25 112.679791) + (xy 123.256947 112.67869) + (xy 123.267853 112.677933) + (xy 123.303383 112.678584) + (xy 123.363532 112.662185) + (xy 123.37019 112.660755) + (xy 123.372147 112.660445) + (xy 123.382813 112.658756) + (xy 123.387196 112.656522) + (xy 123.391875 112.655002) + (xy 123.391878 112.65501) + (xy 123.396727 112.653136) + (xy 123.421527 112.646375) + (xy 123.42621 112.6435) + (xy 123.489709 112.604511) + (xy 123.494037 112.602085) + (xy 123.49824 112.599944) + (xy 123.498248 112.599938) + (xy 123.502625 112.597708) + (xy 123.505427 112.594906) + (xy 123.506264 112.594346) + (xy 123.508891 112.592733) + (xy 123.525881 112.582301) + (xy 123.608058 112.491513) + (xy 123.615454 112.476248) + (xy 123.651198 112.444458) + (xy 123.6717 112.441) + (xy 124.644997 112.441) + (xy 124.689191 112.459306) + (xy 124.954194 112.724309) + (xy 124.9725 112.768503) + (xy 124.9725 113.912558) + (xy 124.973099 113.915569) + (xy 124.978444 113.942437) + (xy 124.979898 113.949748) + (xy 125.008078 113.991922) + (xy 125.050252 114.020102) + (xy 125.056285 114.021302) + (xy 125.056287 114.021303) + (xy 125.082384 114.026494) + (xy 125.087442 114.0275) + (xy 126.512558 114.0275) + (xy 126.517616 114.026494) + (xy 126.543713 114.021303) + (xy 126.543715 114.021302) + (xy 126.549748 114.020102) + (xy 126.591922 113.991922) + (xy 126.620102 113.949748) + (xy 126.621557 113.942437) + (xy 126.626901 113.915569) + (xy 126.6275 113.912558) + (xy 127.3725 113.912558) + (xy 127.373099 113.915569) + (xy 127.378444 113.942437) + (xy 127.379898 113.949748) + (xy 127.408078 113.991922) + (xy 127.450252 114.020102) + (xy 127.456285 114.021302) + (xy 127.456287 114.021303) + (xy 127.482384 114.026494) + (xy 127.487442 114.0275) + (xy 128.912558 114.0275) + (xy 128.917616 114.026494) + (xy 128.943713 114.021303) + (xy 128.943715 114.021302) + (xy 128.949748 114.020102) + (xy 128.991922 113.991922) + (xy 129.020102 113.949748) + (xy 129.021557 113.942437) + (xy 129.026901 113.915569) + (xy 129.0275 113.912558) + (xy 129.0275 112.768503) + (xy 129.045806 112.724309) + (xy 129.854487 111.915628) + (xy 129.860561 111.911323) + (xy 129.860105 111.91075) + (xy 129.865613 111.906369) + (xy 129.871958 111.903322) + (xy 129.890418 111.880239) + (xy 129.895035 111.87508) + (xy 129.900284 111.869831) + (xy 129.904057 111.863828) + (xy 129.908165 111.858047) + (xy 129.90896 111.857054) + (xy 129.926383 111.835267) + (xy 129.92796 111.828407) + (xy 129.929778 111.824647) + (xy 129.931156 111.820711) + (xy 129.934902 111.814752) + (xy 129.938179 111.785763) + (xy 129.939371 111.778787) + (xy 129.941 111.771703) + (xy 129.941 111.764329) + (xy 129.941396 111.757308) + (xy 129.942151 111.750627) + (xy 129.944691 111.728162) + (xy 129.94237 111.721517) + (xy 129.941586 111.714523) + (xy 129.942264 111.714447) + (xy 129.941 111.706995) + (xy 129.941 109.029119) + (xy 129.959306 108.984925) + (xy 130.0035 108.966619) + (xy 130.038223 108.977153) + (xy 130.095988 109.015751) + (xy 130.102024 109.016952) + (xy 130.102025 109.016952) + (xy 130.152043 109.026901) + (xy 130.152046 109.026901) + (xy 130.155056 109.0275) + (xy 130.344944 109.0275) + (xy 130.347954 109.026901) + (xy 130.347957 109.026901) + (xy 130.397975 109.016952) + (xy 130.397976 109.016952) + (xy 130.404012 109.015751) + (xy 130.461777 108.977153) + (xy 130.508692 108.96782) + (xy 130.548466 108.994395) + (xy 130.559 109.029119) + (xy 130.559 112.019998) + (xy 130.540694 112.064192) + (xy 130.395514 112.209372) + (xy 130.38944 112.213677) + (xy 130.389896 112.214251) + (xy 130.384391 112.21863) + (xy 130.378042 112.221678) + (xy 130.363128 112.240327) + (xy 130.359582 112.244761) + (xy 130.354965 112.24992) + (xy 130.349716 112.255169) + (xy 130.347849 112.25814) + (xy 130.347847 112.258143) + (xy 130.345943 112.261172) + (xy 130.341838 112.266948) + (xy 130.323617 112.289733) + (xy 130.32204 112.296593) + (xy 130.320222 112.300353) + (xy 130.318844 112.304289) + (xy 130.315098 112.310248) + (xy 130.314307 112.317242) + (xy 130.314307 112.317243) + (xy 130.311821 112.339236) + (xy 130.310629 112.346213) + (xy 130.309 112.353297) + (xy 130.309 112.360671) + (xy 130.308604 112.367692) + (xy 130.305309 112.396838) + (xy 130.30763 112.403483) + (xy 130.308414 112.410477) + (xy 130.307736 112.410553) + (xy 130.309 112.418005) + (xy 130.309 113.830525) + (xy 130.290694 113.874719) + (xy 130.279855 113.88338) + (xy 130.26281 113.894135) + (xy 130.257842 113.896959) + (xy 130.251757 113.900059) + (xy 130.251756 113.90006) + (xy 130.247375 113.902292) + (xy 130.243897 113.90577) + (xy 130.242445 113.906825) + (xy 130.239058 113.90912) + (xy 130.22008 113.921095) + (xy 130.217137 113.924427) + (xy 130.217135 113.924429) + (xy 130.157156 113.992342) + (xy 130.156032 113.993538) + (xy 130.155775 113.993892) + (xy 130.155554 113.994113) + (xy 130.155405 113.994204) + (xy 130.154504 113.995163) + (xy 130.152292 113.997375) + (xy 130.151509 113.996592) + (xy 130.150362 113.997296) + (xy 130.151721 113.998496) + (xy 130.144193 114.00702) + (xy 130.139018 114.012879) + (xy 130.137127 114.016907) + (xy 130.088868 114.119695) + (xy 130.088867 114.119698) + (xy 130.086976 114.123726) + (xy 130.068136 114.244724) + (xy 130.068713 114.249137) + (xy 130.068713 114.249138) + (xy 130.07988 114.334531) + (xy 130.084014 114.366145) + (xy 130.091185 114.382443) + (xy 130.091244 114.382813) + (xy 130.092007 114.384311) + (xy 130.094031 114.38891) + (xy 130.094031 114.388911) + (xy 130.131032 114.473) + (xy 130.133333 114.47823) + (xy 130.145583 114.492803) + (xy 130.148029 114.495713) + (xy 130.150157 114.498434) + (xy 130.152292 114.502625) + (xy 130.160081 114.510414) + (xy 130.16373 114.514392) + (xy 130.20179 114.55967) + (xy 130.212127 114.571968) + (xy 130.235399 114.587459) + (xy 130.241836 114.592733) + (xy 130.243899 114.594232) + (xy 130.247375 114.597708) + (xy 130.251753 114.599939) + (xy 130.251756 114.599941) + (xy 130.25873 114.603494) + (xy 130.264977 114.607148) + (xy 130.314064 114.639823) + (xy 130.318313 114.641151) + (xy 130.318314 114.641151) + (xy 130.350296 114.651143) + (xy 130.360027 114.655109) + (xy 130.362799 114.656521) + (xy 130.362803 114.656522) + (xy 130.367187 114.658756) + (xy 130.377852 114.660445) + (xy 130.386713 114.66252) + (xy 130.426696 114.675012) + (xy 130.426699 114.675012) + (xy 130.430948 114.67634) + (xy 130.480069 114.677241) + (xy 130.488683 114.677999) + (xy 130.49514 114.679022) + (xy 130.495144 114.679022) + (xy 130.5 114.679791) + (xy 130.506947 114.67869) + (xy 130.517853 114.677933) + (xy 130.553383 114.678584) + (xy 130.613532 114.662185) + (xy 130.62019 114.660755) + (xy 130.622147 114.660445) + (xy 130.632813 114.658756) + (xy 130.637196 114.656522) + (xy 130.641875 114.655002) + (xy 130.641878 114.65501) + (xy 130.646727 114.653136) + (xy 130.671527 114.646375) + (xy 130.685482 114.637807) + (xy 130.739709 114.604511) + (xy 130.744037 114.602085) + (xy 130.74824 114.599944) + (xy 130.748248 114.599938) + (xy 130.752625 114.597708) + (xy 130.755427 114.594906) + (xy 130.756264 114.594346) + (xy 130.759373 114.592437) + (xy 130.775881 114.582301) + (xy 130.858058 114.491513) + (xy 130.911451 114.381311) + (xy 130.9125 114.375081) + (xy 130.931367 114.262928) + (xy 130.931767 114.260552) + (xy 130.931896 114.25) + (xy 130.914536 114.128781) + (xy 130.863852 114.017307) + (xy 130.849199 114.000302) + (xy 130.847708 113.997375) + (xy 130.8419 113.991567) + (xy 130.838746 113.98817) + (xy 130.80801 113.952499) + (xy 130.783918 113.924539) + (xy 130.763741 113.911461) + (xy 130.760155 113.908559) + (xy 130.760081 113.908661) + (xy 130.756106 113.905773) + (xy 130.752625 113.902292) + (xy 130.741343 113.896543) + (xy 130.735735 113.893308) + (xy 130.719505 113.882788) + (xy 130.692377 113.843389) + (xy 130.691 113.830342) + (xy 130.691 113.186625) + (xy 130.8975 113.186625) + (xy 130.897501 113.663374) + (xy 130.897766 113.665385) + (xy 130.897766 113.66539) + (xy 130.900545 113.6865) + (xy 130.903779 113.711071) + (xy 130.9058 113.715404) + (xy 130.9058 113.715405) + (xy 130.950277 113.810787) + (xy 130.950279 113.810789) + (xy 130.952589 113.815744) + (xy 131.034256 113.897411) + (xy 131.039211 113.899721) + (xy 131.039213 113.899723) + (xy 131.079955 113.918721) + (xy 131.138929 113.946221) + (xy 131.150422 113.947734) + (xy 131.184593 113.952233) + (xy 131.184601 113.952233) + (xy 131.186625 113.9525) + (xy 131.188672 113.9525) + (xy 131.500844 113.952499) + (xy 131.813374 113.952499) + (xy 131.815385 113.952234) + (xy 131.81539 113.952234) + (xy 131.856331 113.946845) + (xy 131.856332 113.946845) + (xy 131.861071 113.946221) + (xy 131.876518 113.939018) + (xy 131.960787 113.899723) + (xy 131.960789 113.899721) + (xy 131.965744 113.897411) + (xy 132.047411 113.815744) + (xy 132.049721 113.810789) + (xy 132.049723 113.810787) + (xy 132.081733 113.742141) + (xy 132.096221 113.711071) + (xy 132.099935 113.682859) + (xy 132.102233 113.665407) + (xy 132.102233 113.665399) + (xy 132.1025 113.663375) + (xy 132.102499 113.186626) + (xy 132.102232 113.184593) + (xy 132.096845 113.143669) + (xy 132.096845 113.143668) + (xy 132.096221 113.138929) + (xy 132.088424 113.122209) + (xy 132.049723 113.039213) + (xy 132.049721 113.039211) + (xy 132.047411 113.034256) + (xy 131.965744 112.952589) + (xy 131.960789 112.950279) + (xy 131.960787 112.950277) + (xy 131.911985 112.927521) + (xy 131.861071 112.903779) + (xy 131.847705 112.902019) + (xy 131.815407 112.897767) + (xy 131.815399 112.897767) + (xy 131.813375 112.8975) + (xy 131.7535 112.8975) + (xy 131.709306 112.879194) + (xy 131.691 112.835) + (xy 131.691 112.480003) + (xy 131.709306 112.435809) + (xy 131.854487 112.290628) + (xy 131.860561 112.286323) + (xy 131.860105 112.28575) + (xy 131.865613 112.281369) + (xy 131.871958 112.278322) + (xy 131.890418 112.255239) + (xy 131.895035 112.25008) + (xy 131.900283 112.244832) + (xy 131.902369 112.241513) + (xy 131.904055 112.238831) + (xy 131.908159 112.233056) + (xy 131.921988 112.215764) + (xy 131.92199 112.21576) + (xy 131.926383 112.210267) + (xy 131.92796 112.20341) + (xy 131.929778 112.199648) + (xy 131.931157 112.19571) + (xy 131.934902 112.189752) + (xy 131.935692 112.182761) + (xy 131.935694 112.182756) + (xy 131.93818 112.160763) + (xy 131.939373 112.153778) + (xy 131.940208 112.150146) + (xy 131.941 112.146703) + (xy 131.941 112.139323) + (xy 131.941396 112.132303) + (xy 131.942371 112.12368) + (xy 131.94469 112.103162) + (xy 131.942369 112.096516) + (xy 131.941585 112.089522) + (xy 131.942264 112.089446) + (xy 131.941 112.081996) + (xy 131.941 109.029119) + (xy 131.959306 108.984925) + (xy 132.0035 108.966619) + (xy 132.038223 108.977153) + (xy 132.095988 109.015751) + (xy 132.102024 109.016952) + (xy 132.102025 109.016952) + (xy 132.152043 109.026901) + (xy 132.152046 109.026901) + (xy 132.155056 109.0275) + (xy 132.344944 109.0275) + (xy 132.347954 109.026901) + (xy 132.347957 109.026901) + (xy 132.397975 109.016952) + (xy 132.397976 109.016952) + (xy 132.404012 109.015751) + (xy 132.465278 108.974814) + (xy 132.512193 108.965482) + (xy 132.534721 108.974813) + (xy 132.595988 109.015751) + (xy 132.602024 109.016952) + (xy 132.602025 109.016952) + (xy 132.652043 109.026901) + (xy 132.652046 109.026901) + (xy 132.655056 109.0275) + (xy 132.844944 109.0275) + (xy 132.847954 109.026901) + (xy 132.847957 109.026901) + (xy 132.897975 109.016952) + (xy 132.897976 109.016952) + (xy 132.904012 109.015751) + (xy 132.961777 108.977153) + (xy 133.008692 108.96782) + (xy 133.048466 108.994395) + (xy 133.059 109.029119) + (xy 133.059 112.956768) + (xy 133.05775 112.964107) + (xy 133.058477 112.96419) + (xy 133.05768 112.971181) + (xy 133.055348 112.977822) + (xy 133.056126 112.984815) + (xy 133.056126 112.984816) + (xy 133.058617 113.007197) + (xy 133.059 113.01411) + (xy 133.059 113.021533) + (xy 133.060581 113.028465) + (xy 133.061757 113.035418) + (xy 133.064986 113.064429) + (xy 133.068719 113.070393) + (xy 133.070094 113.074341) + (xy 133.071903 113.0781) + (xy 133.073468 113.084959) + (xy 133.077853 113.090461) + (xy 133.077853 113.090462) + (xy 133.091648 113.107773) + (xy 133.095744 113.113559) + (xy 133.099596 113.119711) + (xy 133.104809 113.124924) + (xy 133.109494 113.130168) + (xy 133.120253 113.143669) + (xy 133.127775 113.153109) + (xy 133.134114 113.156167) + (xy 133.139615 113.160558) + (xy 133.139189 113.161092) + (xy 133.145353 113.165468) + (xy 134.066772 114.086887) + (xy 134.085078 114.131081) + (xy 134.084334 114.140691) + (xy 134.068136 114.244724) + (xy 134.068713 114.249137) + (xy 134.068713 114.249138) + (xy 134.07988 114.334531) + (xy 134.084014 114.366145) + (xy 134.091185 114.382443) + (xy 134.091244 114.382813) + (xy 134.092007 114.384311) + (xy 134.094031 114.38891) + (xy 134.094031 114.388911) + (xy 134.131032 114.473) + (xy 134.133333 114.47823) + (xy 134.145583 114.492803) + (xy 134.148029 114.495713) + (xy 134.150157 114.498434) + (xy 134.152292 114.502625) + (xy 134.160081 114.510414) + (xy 134.16373 114.514392) + (xy 134.20179 114.55967) + (xy 134.212127 114.571968) + (xy 134.235399 114.587459) + (xy 134.241836 114.592733) + (xy 134.243899 114.594232) + (xy 134.247375 114.597708) + (xy 134.251753 114.599939) + (xy 134.251756 114.599941) + (xy 134.25873 114.603494) + (xy 134.264977 114.607148) + (xy 134.314064 114.639823) + (xy 134.318313 114.641151) + (xy 134.318314 114.641151) + (xy 134.350296 114.651143) + (xy 134.360027 114.655109) + (xy 134.362799 114.656521) + (xy 134.362803 114.656522) + (xy 134.367187 114.658756) + (xy 134.377852 114.660445) + (xy 134.386713 114.66252) + (xy 134.426696 114.675012) + (xy 134.426699 114.675012) + (xy 134.430948 114.67634) + (xy 134.480069 114.677241) + (xy 134.488683 114.677999) + (xy 134.49514 114.679022) + (xy 134.495144 114.679022) + (xy 134.5 114.679791) + (xy 134.506947 114.67869) + (xy 134.517853 114.677933) + (xy 134.553383 114.678584) + (xy 134.613532 114.662185) + (xy 134.62019 114.660755) + (xy 134.622147 114.660445) + (xy 134.632813 114.658756) + (xy 134.637196 114.656522) + (xy 134.641875 114.655002) + (xy 134.641878 114.65501) + (xy 134.646727 114.653136) + (xy 134.671527 114.646375) + (xy 134.685482 114.637807) + (xy 134.739709 114.604511) + (xy 134.744037 114.602085) + (xy 134.74824 114.599944) + (xy 134.748248 114.599938) + (xy 134.752625 114.597708) + (xy 134.755427 114.594906) + (xy 134.756264 114.594346) + (xy 134.759373 114.592437) + (xy 134.775881 114.582301) + (xy 134.858058 114.491513) + (xy 134.911451 114.381311) + (xy 134.9125 114.375081) + (xy 134.931367 114.262928) + (xy 134.931767 114.260552) + (xy 134.931896 114.25) + (xy 134.914536 114.128781) + (xy 134.863852 114.017307) + (xy 134.849199 114.000302) + (xy 134.847708 113.997375) + (xy 134.8419 113.991567) + (xy 134.838746 113.98817) + (xy 134.80801 113.952499) + (xy 134.783918 113.924539) + (xy 134.763741 113.911461) + (xy 134.760155 113.908559) + (xy 134.760081 113.908661) + (xy 134.756106 113.905773) + (xy 134.752625 113.902292) + (xy 134.741343 113.896543) + (xy 134.735736 113.893309) + (xy 134.735735 113.893308) + (xy 134.710574 113.877) + (xy 134.684893 113.860354) + (xy 134.68489 113.860353) + (xy 134.68116 113.857935) + (xy 134.676901 113.856661) + (xy 134.676898 113.85666) + (xy 134.658345 113.851112) + (xy 134.648839 113.848269) + (xy 134.638377 113.844079) + (xy 134.632813 113.841244) + (xy 134.621067 113.839384) + (xy 134.612951 113.837536) + (xy 134.584793 113.829115) + (xy 134.563838 113.822848) + (xy 134.519496 113.822577) + (xy 134.510103 113.821809) + (xy 134.504857 113.820978) + (xy 134.504856 113.820978) + (xy 134.5 113.820209) + (xy 134.491373 113.821576) + (xy 134.48122 113.822344) + (xy 134.462836 113.822231) + (xy 134.445838 113.822127) + (xy 134.445836 113.822127) + (xy 134.441385 113.8221) + (xy 134.39962 113.834037) + (xy 134.352099 113.828581) + (xy 134.338252 113.818137) + (xy 133.459306 112.939191) + (xy 133.441 112.894997) + (xy 133.441 109.028518) + (xy 133.459306 108.984324) + (xy 133.5035 108.966018) + (xy 133.538223 108.976551) + (xy 133.591067 109.011859) + (xy 133.602221 109.01648) + (xy 133.652096 109.026401) + (xy 133.658177 109.027) + (xy 133.674069 109.027) + (xy 133.682859 109.023359) + (xy 133.6865 109.014569) + (xy 133.6865 109.014568) + (xy 133.8135 109.014568) + (xy 133.817141 109.023358) + (xy 133.825931 109.026999) + (xy 133.841821 109.026999) + (xy 133.847906 109.0264) + (xy 133.897776 109.01648) + (xy 133.908937 109.011857) + (xy 133.965278 108.974213) + (xy 134.012194 108.964881) + (xy 134.034722 108.974213) + (xy 134.091064 109.011858) + (xy 134.102221 109.01648) + (xy 134.152096 109.026401) + (xy 134.158177 109.027) + (xy 134.174069 109.027) + (xy 134.182859 109.023359) + (xy 134.1865 109.014569) + (xy 134.1865 109.014568) + (xy 134.3135 109.014568) + (xy 134.317141 109.023358) + (xy 134.325931 109.026999) + (xy 134.341821 109.026999) + (xy 134.347906 109.0264) + (xy 134.397776 109.01648) + (xy 134.408937 109.011857) + (xy 134.465278 108.974213) + (xy 134.512194 108.964881) + (xy 134.534722 108.974213) + (xy 134.591064 109.011858) + (xy 134.602221 109.01648) + (xy 134.652096 109.026401) + (xy 134.658177 109.027) + (xy 134.674069 109.027) + (xy 134.682859 109.023359) + (xy 134.6865 109.014569) + (xy 134.6865 108.238431) + (xy 134.682859 108.229641) + (xy 134.674069 108.226) + (xy 134.325931 108.226) + (xy 134.317141 108.229641) + (xy 134.3135 108.238431) + (xy 134.3135 109.014568) + (xy 134.1865 109.014568) + (xy 134.1865 108.238431) + (xy 134.182859 108.229641) + (xy 134.174069 108.226) + (xy 133.825931 108.226) + (xy 133.817141 108.229641) + (xy 133.8135 108.238431) + (xy 133.8135 109.014568) + (xy 133.6865 109.014568) + (xy 133.6865 108.086569) + (xy 133.8135 108.086569) + (xy 133.817141 108.095359) + (xy 133.825931 108.099) + (xy 134.174069 108.099) + (xy 134.182859 108.095359) + (xy 134.1865 108.086569) + (xy 134.3135 108.086569) + (xy 134.317141 108.095359) + (xy 134.325931 108.099) + (xy 134.674069 108.099) + (xy 134.682859 108.095359) + (xy 134.6865 108.086569) + (xy 134.6865 107.310432) + (xy 134.682859 107.301642) + (xy 134.674069 107.298001) + (xy 134.658179 107.298001) + (xy 134.652094 107.2986) + (xy 134.602224 107.30852) + (xy 134.591063 107.313143) + (xy 134.534722 107.350787) + (xy 134.487806 107.360119) + (xy 134.465278 107.350787) + (xy 134.408936 107.313142) + (xy 134.397779 107.30852) + (xy 134.347904 107.298599) + (xy 134.341823 107.298) + (xy 134.325931 107.298) + (xy 134.317141 107.301641) + (xy 134.3135 107.310431) + (xy 134.3135 108.086569) + (xy 134.1865 108.086569) + (xy 134.1865 107.310432) + (xy 134.182859 107.301642) + (xy 134.174069 107.298001) + (xy 134.158179 107.298001) + (xy 134.152094 107.2986) + (xy 134.102224 107.30852) + (xy 134.091063 107.313143) + (xy 134.034722 107.350787) + (xy 133.987806 107.360119) + (xy 133.965278 107.350787) + (xy 133.908936 107.313142) + (xy 133.897779 107.30852) + (xy 133.847904 107.298599) + (xy 133.841823 107.298) + (xy 133.825931 107.298) + (xy 133.817141 107.301641) + (xy 133.8135 107.310431) + (xy 133.8135 108.086569) + (xy 133.6865 108.086569) + (xy 133.6865 107.310432) + (xy 133.682859 107.301642) + (xy 133.674069 107.298001) + (xy 133.658179 107.298001) + (xy 133.652094 107.2986) + (xy 133.602224 107.30852) + (xy 133.591066 107.313142) + (xy 133.535173 107.350487) + (xy 133.488256 107.359819) + (xy 133.465726 107.350486) + (xy 133.465277 107.350186) + (xy 133.404012 107.309249) + (xy 133.397976 107.308048) + (xy 133.397975 107.308048) + (xy 133.347957 107.298099) + (xy 133.347954 107.298099) + (xy 133.344944 107.2975) + (xy 133.155056 107.2975) + (xy 133.152046 107.298099) + (xy 133.152043 107.298099) + (xy 133.102025 107.308048) + (xy 133.102024 107.308048) + (xy 133.095988 107.309249) + (xy 133.034722 107.350186) + (xy 132.987807 107.359518) + (xy 132.965277 107.350186) + (xy 132.907277 107.311431) + (xy 132.880702 107.271658) + (xy 132.879501 107.259465) + (xy 132.879501 106.990427) + (xy 132.897807 106.946233) + (xy 132.943147 106.927938) + (xy 132.94573 106.927985) + (xy 132.978383 106.928584) + (xy 133.038532 106.912185) + (xy 133.04519 106.910755) + (xy 133.052887 106.909536) + (xy 133.057813 106.908756) + (xy 133.062196 106.906522) + (xy 133.066875 106.905002) + (xy 133.066878 106.90501) + (xy 133.071727 106.903136) + (xy 133.096527 106.896375) + (xy 133.10192 106.893064) + (xy 133.164709 106.854511) + (xy 133.169037 106.852085) + (xy 133.17324 106.849944) + (xy 133.173248 106.849938) + (xy 133.177625 106.847708) + (xy 133.180427 106.844906) + (xy 133.181264 106.844346) + (xy 133.185236 106.841907) + (xy 133.200881 106.832301) + (xy 133.283058 106.741513) + (xy 133.336451 106.631311) + (xy 133.338317 106.620224) + (xy 133.351696 106.540694) + (xy 133.356767 106.510552) + (xy 133.356896 106.5) + (xy 133.339536 106.378781) + (xy 133.288852 106.267307) + (xy 133.274199 106.250302) + (xy 133.272708 106.247375) + (xy 133.2669 106.241567) + (xy 133.263746 106.23817) + (xy 133.211826 106.177914) + (xy 133.208918 106.174539) + (xy 133.188741 106.161461) + (xy 133.185155 106.158559) + (xy 133.185081 106.158661) + (xy 133.181106 106.155773) + (xy 133.177625 106.152292) + (xy 133.166343 106.146543) + (xy 133.160736 106.143309) + (xy 133.160735 106.143308) + (xy 133.143916 106.132407) + (xy 133.109893 106.110354) + (xy 133.10989 106.110353) + (xy 133.10616 106.107935) + (xy 133.101901 106.106661) + (xy 133.101898 106.10666) + (xy 133.079429 106.099941) + (xy 133.073839 106.098269) + (xy 133.063377 106.094079) + (xy 133.057813 106.091244) + (xy 133.046067 106.089384) + (xy 133.037951 106.087536) + (xy 132.988838 106.072848) + (xy 132.944496 106.072577) + (xy 132.935103 106.071809) + (xy 132.929857 106.070978) + (xy 132.929856 106.070978) + (xy 132.925 106.070209) + (xy 132.916373 106.071576) + (xy 132.90622 106.072344) + (xy 132.887836 106.072231) + (xy 132.870838 106.072127) + (xy 132.870836 106.072127) + (xy 132.866385 106.0721) + (xy 132.812011 106.087641) + (xy 132.804623 106.089275) + (xy 132.792187 106.091244) + (xy 132.787804 106.093477) + (xy 132.78687 106.093781) + (xy 132.777156 106.097603) + (xy 132.774821 106.09827) + (xy 132.752929 106.104526) + (xy 132.752927 106.104527) + (xy 132.748644 106.105751) + (xy 132.744875 106.108129) + (xy 132.728622 106.118384) + (xy 132.689119 106.143309) + (xy 132.687819 106.144129) + (xy 132.682842 106.146959) + (xy 132.676757 106.150059) + (xy 132.676756 106.15006) + (xy 132.672375 106.152292) + (xy 132.668897 106.15577) + (xy 132.667445 106.156825) + (xy 132.664058 106.15912) + (xy 132.64508 106.171095) + (xy 132.642137 106.174427) + (xy 132.642135 106.174429) + (xy 132.582156 106.242342) + (xy 132.581032 106.243538) + (xy 132.580775 106.243892) + (xy 132.580554 106.244113) + (xy 132.580405 106.244204) + (xy 132.579504 106.245163) + (xy 132.577292 106.247375) + (xy 132.576509 106.246592) + (xy 132.575362 106.247296) + (xy 132.576721 106.248496) + (xy 132.564018 106.262879) + (xy 132.562128 106.266906) + (xy 132.562124 106.266911) + (xy 132.556892 106.278055) + (xy 132.521539 106.310279) + (xy 132.473755 106.308066) + (xy 132.443422 106.277359) + (xy 132.440693 106.271356) + (xy 132.438852 106.267307) + (xy 132.424199 106.250302) + (xy 132.422708 106.247375) + (xy 132.4169 106.241567) + (xy 132.413746 106.23817) + (xy 132.361826 106.177914) + (xy 132.358918 106.174539) + (xy 132.338741 106.161461) + (xy 132.335155 106.158559) + (xy 132.335081 106.158661) + (xy 132.331106 106.155773) + (xy 132.327625 106.152292) + (xy 132.316343 106.146543) + (xy 132.310736 106.143309) + (xy 132.310735 106.143308) + (xy 132.293916 106.132407) + (xy 132.259893 106.110354) + (xy 132.25989 106.110353) + (xy 132.25616 106.107935) + (xy 132.251901 106.106661) + (xy 132.251898 106.10666) + (xy 132.229429 106.099941) + (xy 132.223839 106.098269) + (xy 132.213377 106.094079) + (xy 132.207813 106.091244) + (xy 132.196067 106.089384) + (xy 132.187951 106.087536) + (xy 132.138838 106.072848) + (xy 132.094496 106.072577) + (xy 132.085103 106.071809) + (xy 132.079857 106.070978) + (xy 132.079856 106.070978) + (xy 132.075 106.070209) + (xy 132.066373 106.071576) + (xy 132.05622 106.072344) + (xy 132.037836 106.072231) + (xy 132.020838 106.072127) + (xy 132.020836 106.072127) + (xy 132.016385 106.0721) + (xy 131.962011 106.087641) + (xy 131.954623 106.089275) + (xy 131.942187 106.091244) + (xy 131.937804 106.093477) + (xy 131.93687 106.093781) + (xy 131.927156 106.097603) + (xy 131.924821 106.09827) + (xy 131.902929 106.104526) + (xy 131.902927 106.104527) + (xy 131.898644 106.105751) + (xy 131.894875 106.108129) + (xy 131.878622 106.118384) + (xy 131.839119 106.143309) + (xy 131.837819 106.144129) + (xy 131.832842 106.146959) + (xy 131.826757 106.150059) + (xy 131.826756 106.15006) + (xy 131.822375 106.152292) + (xy 131.818897 106.15577) + (xy 131.817445 106.156825) + (xy 131.814058 106.15912) + (xy 131.79508 106.171095) + (xy 131.792137 106.174427) + (xy 131.792135 106.174429) + (xy 131.732156 106.242342) + (xy 131.731032 106.243538) + (xy 131.730775 106.243892) + (xy 131.730554 106.244113) + (xy 131.730405 106.244204) + (xy 131.729504 106.245163) + (xy 131.727292 106.247375) + (xy 131.726509 106.246592) + (xy 131.725362 106.247296) + (xy 131.726721 106.248496) + (xy 131.714018 106.262879) + (xy 131.712127 106.266907) + (xy 131.663868 106.369695) + (xy 131.663867 106.369698) + (xy 131.661976 106.373726) + (xy 131.643136 106.494724) + (xy 131.643713 106.499137) + (xy 131.643713 106.499138) + (xy 131.657322 106.603208) + (xy 131.659014 106.616145) + (xy 131.660809 106.620224) + (xy 131.664286 106.628126) + (xy 131.666185 106.632443) + (xy 131.666244 106.632813) + (xy 131.667007 106.634311) + (xy 131.669031 106.63891) + (xy 131.669031 106.638911) + (xy 131.697449 106.703494) + (xy 131.708333 106.72823) + (xy 131.722198 106.744724) + (xy 131.723029 106.745713) + (xy 131.725157 106.748434) + (xy 131.727292 106.752625) + (xy 131.735081 106.760414) + (xy 131.73873 106.764392) + (xy 131.78307 106.817141) + (xy 131.787127 106.821968) + (xy 131.810399 106.837459) + (xy 131.816836 106.842733) + (xy 131.818899 106.844232) + (xy 131.822375 106.847708) + (xy 131.826753 106.849939) + (xy 131.826756 106.849941) + (xy 131.83373 106.853494) + (xy 131.839977 106.857148) + (xy 131.889064 106.889823) + (xy 131.893313 106.891151) + (xy 131.893314 106.891151) + (xy 131.925296 106.901143) + (xy 131.935027 106.905109) + (xy 131.937799 106.906521) + (xy 131.937803 106.906522) + (xy 131.942187 106.908756) + (xy 131.952852 106.910445) + (xy 131.961713 106.91252) + (xy 132.001696 106.925012) + (xy 132.001699 106.925012) + (xy 132.005948 106.92634) + (xy 132.055069 106.927241) + (xy 132.063689 106.928) + (xy 132.064505 106.928129) + (xy 132.067785 106.928649) + (xy 132.108568 106.953648) + (xy 132.120499 106.990378) + (xy 132.120499 107.259465) + (xy 132.102193 107.303659) + (xy 132.092726 107.311428) + (xy 132.034723 107.350186) + (xy 131.987807 107.359518) + (xy 131.965279 107.350187) + (xy 131.904012 107.309249) + (xy 131.897976 107.308048) + (xy 131.897975 107.308048) + (xy 131.847957 107.298099) + (xy 131.847954 107.298099) + (xy 131.844944 107.2975) + (xy 131.655056 107.2975) + (xy 131.652046 107.298099) + (xy 131.652043 107.298099) + (xy 131.602025 107.308048) + (xy 131.602024 107.308048) + (xy 131.595988 107.309249) + (xy 131.534723 107.350186) + (xy 131.534274 107.350486) + (xy 131.487358 107.359819) + (xy 131.464827 107.350487) + (xy 131.408933 107.313141) + (xy 131.397779 107.30852) + (xy 131.347904 107.298599) + (xy 131.341823 107.298) + (xy 131.325931 107.298) + (xy 131.317141 107.301641) + (xy 131.3135 107.310431) + (xy 131.3135 109.014568) + (xy 131.317141 109.023358) + (xy 131.325931 109.026999) + (xy 131.341821 109.026999) + (xy 131.347906 109.0264) + (xy 131.397776 109.01648) + (xy 131.408934 109.011858) + (xy 131.461777 108.976551) + (xy 131.508694 108.967219) + (xy 131.548467 108.993795) + (xy 131.559 109.028518) + (xy 131.559 112.019998) + (xy 131.540694 112.064192) + (xy 131.395514 112.209372) + (xy 131.38944 112.213677) + (xy 131.389896 112.214251) + (xy 131.384391 112.21863) + (xy 131.378042 112.221678) + (xy 131.363128 112.240327) + (xy 131.359582 112.244761) + (xy 131.354965 112.24992) + (xy 131.349716 112.255169) + (xy 131.347849 112.25814) + (xy 131.347847 112.258143) + (xy 131.345943 112.261172) + (xy 131.341838 112.266948) + (xy 131.323617 112.289733) + (xy 131.32204 112.296593) + (xy 131.320222 112.300353) + (xy 131.318844 112.304289) + (xy 131.315098 112.310248) + (xy 131.314307 112.317242) + (xy 131.314307 112.317243) + (xy 131.311821 112.339236) + (xy 131.310629 112.346213) + (xy 131.309 112.353297) + (xy 131.309 112.360671) + (xy 131.308604 112.367692) + (xy 131.305309 112.396838) + (xy 131.30763 112.403483) + (xy 131.308414 112.410477) + (xy 131.307736 112.410553) + (xy 131.309 112.418005) + (xy 131.309 112.835001) + (xy 131.290694 112.879195) + (xy 131.2465 112.897501) + (xy 131.186626 112.897501) + (xy 131.184615 112.897766) + (xy 131.18461 112.897766) + (xy 131.143669 112.903155) + (xy 131.143668 112.903155) + (xy 131.138929 112.903779) + (xy 131.134595 112.9058) + (xy 131.039213 112.950277) + (xy 131.039211 112.950279) + (xy 131.034256 112.952589) + (xy 130.952589 113.034256) + (xy 130.950279 113.039211) + (xy 130.950277 113.039213) + (xy 130.939948 113.061365) + (xy 130.903779 113.138929) + (xy 130.903155 113.143669) + (xy 130.903155 113.14367) + (xy 130.897767 113.184593) + (xy 130.897767 113.184601) + (xy 130.8975 113.186625) + (xy 130.691 113.186625) + (xy 130.691 112.480003) + (xy 130.709306 112.435809) + (xy 130.854487 112.290628) + (xy 130.860561 112.286323) + (xy 130.860105 112.28575) + (xy 130.865613 112.281369) + (xy 130.871958 112.278322) + (xy 130.890418 112.255239) + (xy 130.895035 112.25008) + (xy 130.900283 112.244832) + (xy 130.902369 112.241513) + (xy 130.904055 112.238831) + (xy 130.908159 112.233056) + (xy 130.921988 112.215764) + (xy 130.92199 112.21576) + (xy 130.926383 112.210267) + (xy 130.92796 112.20341) + (xy 130.929778 112.199648) + (xy 130.931157 112.19571) + (xy 130.934902 112.189752) + (xy 130.935692 112.182761) + (xy 130.935694 112.182756) + (xy 130.93818 112.160763) + (xy 130.939373 112.153778) + (xy 130.940208 112.150146) + (xy 130.941 112.146703) + (xy 130.941 112.139323) + (xy 130.941396 112.132303) + (xy 130.942371 112.12368) + (xy 130.94469 112.103162) + (xy 130.942369 112.096516) + (xy 130.941585 112.089522) + (xy 130.942264 112.089446) + (xy 130.941 112.081996) + (xy 130.941 109.028518) + (xy 130.959306 108.984324) + (xy 131.0035 108.966018) + (xy 131.038223 108.976551) + (xy 131.091067 109.011859) + (xy 131.102221 109.01648) + (xy 131.152096 109.026401) + (xy 131.158177 109.027) + (xy 131.174069 109.027) + (xy 131.182859 109.023359) + (xy 131.1865 109.014569) + (xy 131.1865 107.310432) + (xy 131.182859 107.301642) + (xy 131.174069 107.298001) + (xy 131.158179 107.298001) + (xy 131.152094 107.2986) + (xy 131.102224 107.30852) + (xy 131.091066 107.313142) + (xy 131.035173 107.350487) + (xy 130.988256 107.359819) + (xy 130.965726 107.350486) + (xy 130.965277 107.350186) + (xy 130.904012 107.309249) + (xy 130.897976 107.308048) + (xy 130.897975 107.308048) + (xy 130.847957 107.298099) + (xy 130.847954 107.298099) + (xy 130.844944 107.2975) + (xy 130.655056 107.2975) + (xy 130.652046 107.298099) + (xy 130.652043 107.298099) + (xy 130.602025 107.308048) + (xy 130.602024 107.308048) + (xy 130.595988 107.309249) + (xy 130.534722 107.350186) + (xy 130.487807 107.359518) + (xy 130.465279 107.350187) + (xy 130.404012 107.309249) + (xy 130.397976 107.308048) + (xy 130.397975 107.308048) + (xy 130.347957 107.298099) + (xy 130.347954 107.298099) + (xy 130.344944 107.2975) + (xy 130.155056 107.2975) + (xy 130.152046 107.298099) + (xy 130.152043 107.298099) + (xy 130.102025 107.308048) + (xy 130.102024 107.308048) + (xy 130.095988 107.309249) + (xy 130.034722 107.350186) + (xy 129.987807 107.359518) + (xy 129.965279 107.350187) + (xy 129.904012 107.309249) + (xy 129.897976 107.308048) + (xy 129.897975 107.308048) + (xy 129.847957 107.298099) + (xy 129.847954 107.298099) + (xy 129.844944 107.2975) + (xy 129.655056 107.2975) + (xy 129.652046 107.298099) + (xy 129.652043 107.298099) + (xy 129.602025 107.308048) + (xy 129.602024 107.308048) + (xy 129.595988 107.309249) + (xy 129.529006 107.354006) + (xy 129.484249 107.420988) + (xy 129.4725 107.480056) + (xy 129.4725 108.844944) + (xy 129.473099 108.847954) + (xy 129.473099 108.847957) + (xy 129.47657 108.865405) + (xy 129.484249 108.904012) + (xy 129.529006 108.970994) + (xy 129.534124 108.974414) + (xy 129.538476 108.978766) + (xy 129.536648 108.980594) + (xy 129.557799 109.012248) + (xy 129.559 109.024442) + (xy 129.559 111.644997) + (xy 129.540694 111.689191) + (xy 128.675691 112.554194) + (xy 128.631497 112.5725) + (xy 127.487442 112.5725) + (xy 127.484431 112.573099) + (xy 127.456287 112.578697) + (xy 127.456285 112.578698) + (xy 127.450252 112.579898) + (xy 127.408078 112.608078) + (xy 127.379898 112.650252) + (xy 127.378698 112.656285) + (xy 127.378697 112.656287) + (xy 127.374495 112.677412) + (xy 127.3725 112.687442) + (xy 127.3725 113.912558) + (xy 126.6275 113.912558) + (xy 126.6275 112.687442) + (xy 126.625505 112.677412) + (xy 126.621303 112.656287) + (xy 126.621302 112.656285) + (xy 126.620102 112.650252) + (xy 126.591922 112.608078) + (xy 126.549748 112.579898) + (xy 126.543715 112.578698) + (xy 126.543713 112.578697) + (xy 126.515569 112.573099) + (xy 126.512558 112.5725) + (xy 125.368503 112.5725) + (xy 125.324309 112.554194) + (xy 124.915628 112.145513) + (xy 124.911323 112.139439) + (xy 124.91075 112.139895) + (xy 124.906369 112.134387) + (xy 124.903322 112.128042) + (xy 124.880239 112.109582) + (xy 124.87508 112.104965) + (xy 124.869831 112.099716) + (xy 124.863828 112.095943) + (xy 124.858052 112.091838) + (xy 124.835267 112.073617) + (xy 124.828407 112.07204) + (xy 124.824647 112.070222) + (xy 124.820711 112.068844) + (xy 124.814752 112.065098) + (xy 124.807758 112.064307) + (xy 124.807757 112.064307) + (xy 124.785764 112.061821) + (xy 124.778787 112.060629) + (xy 124.771703 112.059) + (xy 124.764329 112.059) + (xy 124.757308 112.058604) + (xy 124.755627 112.058414) + (xy 124.728162 112.055309) + (xy 124.721517 112.05763) + (xy 124.714523 112.058414) + (xy 124.714447 112.057736) + (xy 124.706995 112.059) + (xy 123.673049 112.059) + (xy 123.628855 112.040694) + (xy 123.616156 112.022373) + (xy 123.615695 112.02136) + (xy 123.615693 112.021357) + (xy 123.613852 112.017307) + (xy 123.599199 112.000302) + (xy 123.597708 111.997375) + (xy 123.5919 111.991567) + (xy 123.588746 111.98817) + (xy 123.533918 111.924539) + (xy 123.535159 111.923469) + (xy 123.516 111.881329) + (xy 123.516 110.913548) + (xy 123.534306 110.869354) + (xy 123.570343 110.851583) + (xy 123.606325 110.846847) + (xy 123.606333 110.846845) + (xy 123.611071 110.846221) + (xy 123.652951 110.826692) + (xy 123.710787 110.799723) + (xy 123.710789 110.799721) + (xy 123.715744 110.797411) + (xy 123.797411 110.715744) + (xy 123.799721 110.710789) + (xy 123.799723 110.710787) + (xy 123.826542 110.653273) + (xy 123.846221 110.611071) + (xy 123.849319 110.587536) + (xy 123.852233 110.565407) + (xy 123.852233 110.565399) + (xy 123.8525 110.563375) + (xy 123.852499 109.936626) + (xy 123.852232 109.934593) + (xy 123.846845 109.893669) + (xy 123.846845 109.893668) + (xy 123.846221 109.888929) + (xy 123.834363 109.8635) + (xy 123.799723 109.789213) + (xy 123.799721 109.789211) + (xy 123.797411 109.784256) + (xy 123.715744 109.702589) + (xy 123.710789 109.700279) + (xy 123.710787 109.700277) + (xy 123.661985 109.677521) + (xy 123.611071 109.653779) + (xy 123.597705 109.652019) + (xy 123.565407 109.647767) + (xy 123.565399 109.647767) + (xy 123.563375 109.6475) + (xy 123.561328 109.6475) + (xy 123.324358 109.647501) + (xy 123.086626 109.647501) + (xy 123.084615 109.647766) + (xy 123.08461 109.647766) + (xy 123.043669 109.653155) + (xy 123.043668 109.653155) + (xy 123.038929 109.653779) + (xy 123.034595 109.6558) + (xy 122.939213 109.700277) + (xy 122.939211 109.700279) + (xy 122.934256 109.702589) + (xy 122.852589 109.784256) + (xy 122.850279 109.789211) + (xy 122.850277 109.789213) + (xy 122.837529 109.816552) + (xy 122.803779 109.888929) + (xy 122.802597 109.897906) + (xy 122.797767 109.934593) + (xy 122.797767 109.934601) + (xy 122.7975 109.936625) + (xy 122.797501 110.563374) + (xy 122.797766 110.565385) + (xy 122.797766 110.56539) + (xy 122.803079 110.605751) + (xy 122.803779 110.611071) + (xy 122.8058 110.615404) + (xy 122.8058 110.615405) + (xy 122.850277 110.710787) + (xy 122.850279 110.710789) + (xy 122.852589 110.715744) + (xy 122.934256 110.797411) + (xy 122.939211 110.799721) + (xy 122.939213 110.799723) + (xy 122.986076 110.821575) + (xy 123.038929 110.846221) + (xy 123.059113 110.848878) + (xy 123.079658 110.851583) + (xy 123.121085 110.8755) + (xy 123.134 110.913548) + (xy 123.134 111.791362) + (xy 123.115694 111.835556) + (xy 123.088673 111.851456) + (xy 123.073644 111.855751) + (xy 123.069874 111.85813) + (xy 123.068721 111.858857) + (xy 123.067382 111.859244) + (xy 123.065813 111.859946) + (xy 123.065715 111.859726) + (xy 123.035369 111.8685) + (xy 121.047376 111.8685) + (xy 121.034221 111.8671) + (xy 121.01822 111.863655) + (xy 121.01309 111.864262) + (xy 121.013088 111.864262) + (xy 120.98094 111.868067) + (xy 120.973595 111.8685) + (xy 120.968308 111.8685) + (xy 120.965767 111.868923) + (xy 120.965766 111.868923) + (xy 120.964805 111.869083) + (xy 120.947653 111.871938) + (xy 120.944784 111.872346) + (xy 120.907825 111.87672) + (xy 120.89722 111.877975) + (xy 120.897219 111.877975) + (xy 120.892092 111.878582) + (xy 120.887438 111.880817) + (xy 120.883627 111.881924) + (xy 120.879815 111.883229) + (xy 120.874717 111.884078) + (xy 120.828043 111.909262) + (xy 120.825428 111.910594) + (xy 120.781151 111.931855) + (xy 120.781146 111.931858) + (xy 120.777602 111.93356) + (xy 120.773308 111.93717) + (xy 120.773123 111.937355) + (xy 120.770056 111.939944) + (xy 120.76749 111.941935) + (xy 120.762943 111.944388) + (xy 120.759435 111.948183) + (xy 120.725302 111.985108) + (xy 120.723601 111.986877) + (xy 119.435283 113.275194) + (xy 119.391089 113.2935) + (xy 119.194 113.2935) + (xy 119.149806 113.275194) + (xy 119.1315 113.231) + (xy 119.1315 112.117099) + (xy 119.149806 112.072905) + (xy 119.166455 112.060996) + (xy 119.167207 112.060627) + (xy 119.208036 112.040581) + (xy 119.219591 112.029006) + (xy 119.287296 111.961183) + (xy 119.287298 111.961181) + (xy 119.290944 111.957528) + (xy 119.331419 111.874726) + (xy 119.340349 111.856458) + (xy 119.340349 111.856457) + (xy 119.34248 111.852098) + (xy 119.343751 111.843389) + (xy 119.347928 111.814752) + (xy 119.3525 111.783411) + (xy 119.352499 111.26659) + (xy 119.342301 111.197305) + (xy 119.333325 111.179022) + (xy 119.324356 111.160755) + (xy 119.290581 111.091964) + (xy 119.284168 111.085562) + (xy 119.211183 111.012704) + (xy 119.211181 111.012702) + (xy 119.207528 111.009056) + (xy 119.130937 110.971617) + (xy 119.106458 110.959651) + (xy 119.106457 110.959651) + (xy 119.102098 110.95752) + (xy 119.097295 110.956819) + (xy 119.097294 110.956819) + (xy 119.073245 110.953311) + (xy 119.033411 110.9475) + (xy 118.750749 110.9475) + (xy 118.46659 110.947501) + (xy 118.397305 110.957699) + (xy 118.291964 111.009419) + (xy 118.288318 111.013071) + (xy 118.288317 111.013072) + (xy 118.212704 111.088817) + (xy 118.212702 111.088819) + (xy 118.209056 111.092472) + (xy 118.176976 111.158099) + (xy 118.159943 111.192946) + (xy 118.15752 111.197902) + (xy 118.156819 111.202705) + (xy 118.156819 111.202706) + (xy 118.155965 111.20856) + (xy 118.1475 111.266589) + (xy 118.147501 111.78341) + (xy 118.157699 111.852695) + (xy 118.159839 111.857053) + (xy 118.159839 111.857054) + (xy 118.165246 111.868067) + (xy 118.209419 111.958036) + (xy 118.213071 111.961682) + (xy 118.213072 111.961683) + (xy 118.288816 112.037295) + (xy 118.288818 112.037297) + (xy 118.292472 112.040944) + (xy 118.297115 112.043214) + (xy 118.297117 112.043215) + (xy 118.333448 112.060975) + (xy 118.365113 112.096829) + (xy 118.3685 112.117125) + (xy 118.3685 113.231) + (xy 118.350194 113.275194) + (xy 118.306 113.2935) + (xy 117.194 113.2935) + (xy 117.149806 113.275194) + (xy 117.1315 113.231) + (xy 117.1315 112.389999) + (xy 117.149806 112.345805) + (xy 117.194 112.327499) + (xy 117.26078 112.327499) + (xy 117.334979 112.316578) + (xy 117.367298 112.30071) + (xy 117.443155 112.263466) + (xy 117.443156 112.263465) + (xy 117.44779 112.26119) + (xy 117.451437 112.257537) + (xy 117.53293 112.175902) + (xy 117.532932 112.1759) + (xy 117.536578 112.172247) + (xy 117.563535 112.117099) + (xy 117.589638 112.063699) + (xy 117.589638 112.063698) + (xy 117.591769 112.059339) + (xy 117.6025 111.985781) + (xy 117.602499 111.41422) + (xy 117.591578 111.340021) + (xy 117.587788 111.332301) + (xy 117.538466 111.231845) + (xy 117.538465 111.231844) + (xy 117.53619 111.22721) + (xy 117.530904 111.221933) + (xy 117.450902 111.14207) + (xy 117.4509 111.142068) + (xy 117.447247 111.138422) + (xy 117.377873 111.104511) + (xy 117.338699 111.085362) + (xy 117.338698 111.085362) + (xy 117.334339 111.083231) + (xy 117.329536 111.08253) + (xy 117.329535 111.08253) + (xy 117.305316 111.078997) + (xy 117.260781 111.0725) + (xy 116.751349 111.0725) + (xy 116.23922 111.072501) + (xy 116.165021 111.083422) + (xy 116.160663 111.085562) + (xy 116.160662 111.085562) + (xy 116.056845 111.136534) + (xy 116.056844 111.136535) + (xy 116.05221 111.13881) + (xy 116.048564 111.142462) + (xy 116.048563 111.142463) + (xy 115.96707 111.224098) + (xy 115.967068 111.2241) + (xy 115.963422 111.227753) + (xy 115.961154 111.232393) + (xy 115.910675 111.335662) + (xy 115.908231 111.340661) + (xy 115.90753 111.345464) + (xy 115.90753 111.345465) + (xy 115.90621 111.354511) + (xy 115.8975 111.414219) + (xy 115.897501 111.98578) + (xy 115.908422 112.059979) + (xy 115.910562 112.064337) + (xy 115.910562 112.064338) + (xy 115.957948 112.16085) + (xy 115.96381 112.17279) + (xy 115.967462 112.176436) + (xy 115.967463 112.176437) + (xy 116.049098 112.25793) + (xy 116.0491 112.257932) + (xy 116.052753 112.261578) + (xy 116.057393 112.263846) + (xy 116.152321 112.310248) + (xy 116.165661 112.316769) + (xy 116.170464 112.31747) + (xy 116.170465 112.31747) + (xy 116.19244 112.320676) + (xy 116.239219 112.3275) + (xy 116.306 112.3275) + (xy 116.350194 112.345806) + (xy 116.3685 112.39) + (xy 116.3685 113.231) + (xy 116.350194 113.275194) + (xy 116.306 113.2935) + (xy 113.773511 113.2935) + (xy 113.729317 113.275194) + (xy 113.711011 113.231) + (xy 113.714433 113.213793) + (xy 113.7143 113.213766) + (xy 113.726901 113.150419) + (xy 113.726901 113.150416) + (xy 113.7275 113.147406) + (xy 113.7275 112.902594) + (xy 113.726582 112.897975) + (xy 113.716201 112.845791) + (xy 113.7143 112.836234) + (xy 113.703325 112.819808) + (xy 113.667437 112.766099) + (xy 113.664018 112.760982) + (xy 113.63653 112.742615) + (xy 113.593885 112.71412) + (xy 113.593883 112.714119) + (xy 113.588766 112.7107) + (xy 113.558192 112.704618) + (xy 113.525419 112.698099) + (xy 113.525416 112.698099) + (xy 113.522406 112.6975) + (xy 113.3065 112.6975) + (xy 113.262306 112.679194) + (xy 113.244 112.635) + (xy 113.244 112.32141) + (xy 113.262306 112.277216) + (xy 113.614716 111.924806) + (xy 113.65891 111.9065) + (xy 114.145175 111.9065) + (xy 114.189369 111.924806) + (xy 114.201278 111.941455) + (xy 114.204057 111.947114) + (xy 114.209419 111.958036) + (xy 114.213071 111.961682) + (xy 114.213072 111.961683) + (xy 114.288817 112.037296) + (xy 114.288819 112.037298) + (xy 114.292472 112.040944) + (xy 114.357856 112.072905) + (xy 114.393158 112.090161) + (xy 114.397902 112.09248) + (xy 114.402705 112.093181) + (xy 114.402706 112.093181) + (xy 114.420249 112.09574) + (xy 114.466589 112.1025) + (xy 114.749251 112.1025) + (xy 115.03341 112.102499) + (xy 115.102695 112.092301) + (xy 115.10937 112.089024) + (xy 115.13809 112.074923) + (xy 115.208036 112.040581) + (xy 115.219591 112.029006) + (xy 115.287296 111.961183) + (xy 115.287298 111.961181) + (xy 115.290944 111.957528) + (xy 115.331419 111.874726) + (xy 115.340349 111.856458) + (xy 115.340349 111.856457) + (xy 115.34248 111.852098) + (xy 115.343751 111.843389) + (xy 115.347928 111.814752) + (xy 115.3525 111.783411) + (xy 115.352499 111.26659) + (xy 115.342301 111.197305) + (xy 115.333325 111.179022) + (xy 115.324356 111.160755) + (xy 115.290581 111.091964) + (xy 115.284168 111.085562) + (xy 115.211183 111.012704) + (xy 115.211181 111.012702) + (xy 115.207528 111.009056) + (xy 115.130937 110.971617) + (xy 115.106458 110.959651) + (xy 115.106457 110.959651) + (xy 115.102098 110.95752) + (xy 115.097295 110.956819) + (xy 115.097294 110.956819) + (xy 115.073245 110.953311) + (xy 115.033411 110.9475) + (xy 114.750749 110.9475) + (xy 114.46659 110.947501) + (xy 114.397305 110.957699) + (xy 114.291964 111.009419) + (xy 114.288318 111.013071) + (xy 114.288317 111.013072) + (xy 114.212704 111.088817) + (xy 114.212702 111.088819) + (xy 114.209056 111.092472) + (xy 114.206787 111.097113) + (xy 114.206786 111.097115) + (xy 114.201246 111.108448) + (xy 114.165392 111.140113) + (xy 114.145096 111.1435) + (xy 113.52238 111.1435) + (xy 113.509226 111.1421) + (xy 113.509087 111.14207) + (xy 113.49322 111.138654) + (xy 113.48809 111.139261) + (xy 113.488088 111.139261) + (xy 113.455934 111.143067) + (xy 113.448588 111.1435) + (xy 113.443308 111.1435) + (xy 113.440767 111.143923) + (xy 113.440755 111.143924) + (xy 113.422688 111.146932) + (xy 113.41978 111.147346) + (xy 113.367093 111.153582) + (xy 113.362437 111.155818) + (xy 113.358598 111.156933) + (xy 113.354809 111.15823) + (xy 113.349717 111.159078) + (xy 113.345174 111.161529) + (xy 113.345172 111.16153) + (xy 113.303042 111.184263) + (xy 113.300417 111.1856) + (xy 113.256148 111.206857) + (xy 113.256146 111.206858) + (xy 113.252602 111.20856) + (xy 113.248308 111.212169) + (xy 113.248116 111.212361) + (xy 113.245051 111.214948) + (xy 113.24249 111.216934) + (xy 113.237943 111.219388) + (xy 113.234435 111.223183) + (xy 113.200314 111.260095) + (xy 113.198613 111.261864) + (xy 112.62624 111.834237) + (xy 112.615948 111.842549) + (xy 112.606539 111.848624) + (xy 112.606536 111.848627) + (xy 112.602196 111.851429) + (xy 112.597762 111.857054) + (xy 112.578954 111.880911) + (xy 112.574066 111.886411) + (xy 112.570329 111.890148) + (xy 112.568828 111.892248) + (xy 112.568825 111.892252) + (xy 112.558161 111.907174) + (xy 112.556393 111.909529) + (xy 112.554979 111.911323) + (xy 112.523566 111.95117) + (xy 112.521855 111.956043) + (xy 112.519941 111.959523) + (xy 112.518168 111.963141) + (xy 112.515166 111.967343) + (xy 112.513687 111.972287) + (xy 112.513687 111.972288) + (xy 112.499969 112.018159) + (xy 112.499059 112.020956) + (xy 112.481484 112.071002) + (xy 112.481 112.076591) + (xy 112.481 112.07685) + (xy 112.480661 112.080854) + (xy 112.480254 112.084079) + (xy 112.478775 112.089024) + (xy 112.480137 112.12368) + (xy 112.480952 112.144424) + (xy 112.481 112.146878) + (xy 112.481 112.581) + (xy 112.462694 112.625194) + (xy 112.4185 112.6435) + (xy 110.45891 112.6435) + (xy 110.414716 112.625194) + (xy 108.249806 110.460283) + (xy 108.2315 110.416089) + (xy 108.2315 110.231083) + (xy 114.148001 110.231083) + (xy 114.148333 110.235614) + (xy 114.157478 110.297743) + (xy 114.160326 110.30691) + (xy 114.207556 110.403105) + (xy 114.213484 110.411387) + (xy 114.289111 110.486883) + (xy 114.297406 110.492799) + (xy 114.393687 110.539863) + (xy 114.402851 110.542695) + (xy 114.464391 110.551672) + (xy 114.468904 110.552) + (xy 114.674069 110.552) + (xy 114.682859 110.548359) + (xy 114.6865 110.539569) + (xy 114.6865 110.539568) + (xy 114.8135 110.539568) + (xy 114.817141 110.548358) + (xy 114.825931 110.551999) + (xy 115.031083 110.551999) + (xy 115.035614 110.551667) + (xy 115.097743 110.542522) + (xy 115.10691 110.539674) + (xy 115.203105 110.492444) + (xy 115.211387 110.486516) + (xy 115.286883 110.410889) + (xy 115.292799 110.402594) + (xy 115.339863 110.306313) + (xy 115.342695 110.297149) + (xy 115.351672 110.235609) + (xy 115.352 110.231096) + (xy 115.352 110.083453) + (xy 115.898001 110.083453) + (xy 115.898333 110.087985) + (xy 115.908201 110.155028) + (xy 115.911048 110.164192) + (xy 115.961947 110.267861) + (xy 115.967875 110.276142) + (xy 116.049393 110.357518) + (xy 116.057688 110.363434) + (xy 116.161446 110.414152) + (xy 116.17061 110.416984) + (xy 116.237021 110.426672) + (xy 116.241534 110.427) + (xy 116.674069 110.427) + (xy 116.682859 110.423359) + (xy 116.6865 110.414569) + (xy 116.6865 110.414568) + (xy 116.8135 110.414568) + (xy 116.817141 110.423358) + (xy 116.825931 110.426999) + (xy 117.258453 110.426999) + (xy 117.262985 110.426667) + (xy 117.330028 110.416799) + (xy 117.339192 110.413952) + (xy 117.442861 110.363053) + (xy 117.451142 110.357125) + (xy 117.532518 110.275607) + (xy 117.538434 110.267312) + (xy 117.556143 110.231083) + (xy 118.148001 110.231083) + (xy 118.148333 110.235614) + (xy 118.157478 110.297743) + (xy 118.160326 110.30691) + (xy 118.207556 110.403105) + (xy 118.213484 110.411387) + (xy 118.289111 110.486883) + (xy 118.297406 110.492799) + (xy 118.393687 110.539863) + (xy 118.402851 110.542695) + (xy 118.464391 110.551672) + (xy 118.468904 110.552) + (xy 118.674069 110.552) + (xy 118.682859 110.548359) + (xy 118.6865 110.539569) + (xy 118.6865 110.539568) + (xy 118.8135 110.539568) + (xy 118.817141 110.548358) + (xy 118.825931 110.551999) + (xy 119.031083 110.551999) + (xy 119.035614 110.551667) + (xy 119.097743 110.542522) + (xy 119.10691 110.539674) + (xy 119.203105 110.492444) + (xy 119.211387 110.486516) + (xy 119.286883 110.410889) + (xy 119.292799 110.402594) + (xy 119.339863 110.306313) + (xy 119.342695 110.297149) + (xy 119.351672 110.235609) + (xy 119.352 110.231096) + (xy 119.352 110.050931) + (xy 119.348359 110.042141) + (xy 119.339569 110.0385) + (xy 118.825931 110.0385) + (xy 118.817141 110.042141) + (xy 118.8135 110.050931) + (xy 118.8135 110.539568) + (xy 118.6865 110.539568) + (xy 118.6865 110.050931) + (xy 118.682859 110.042141) + (xy 118.674069 110.0385) + (xy 118.160432 110.0385) + (xy 118.151642 110.042141) + (xy 118.148001 110.050931) + (xy 118.148001 110.231083) + (xy 117.556143 110.231083) + (xy 117.589152 110.163554) + (xy 117.591984 110.15439) + (xy 117.601672 110.087979) + (xy 117.602 110.083466) + (xy 117.602 109.936625) + (xy 121.1475 109.936625) + (xy 121.147501 110.563374) + (xy 121.147766 110.565385) + (xy 121.147766 110.56539) + (xy 121.153079 110.605751) + (xy 121.153779 110.611071) + (xy 121.1558 110.615404) + (xy 121.1558 110.615405) + (xy 121.200277 110.710787) + (xy 121.200279 110.710789) + (xy 121.202589 110.715744) + (xy 121.284256 110.797411) + (xy 121.289211 110.799721) + (xy 121.289213 110.799723) + (xy 121.336076 110.821575) + (xy 121.388929 110.846221) + (xy 121.399427 110.847603) + (xy 121.434593 110.852233) + (xy 121.434601 110.852233) + (xy 121.436625 110.8525) + (xy 121.438672 110.8525) + (xy 121.675642 110.852499) + (xy 121.913374 110.852499) + (xy 121.915385 110.852234) + (xy 121.91539 110.852234) + (xy 121.956331 110.846845) + (xy 121.956332 110.846845) + (xy 121.961071 110.846221) + (xy 122.002951 110.826692) + (xy 122.060787 110.799723) + (xy 122.060789 110.799721) + (xy 122.065744 110.797411) + (xy 122.147411 110.715744) + (xy 122.149721 110.710789) + (xy 122.149723 110.710787) + (xy 122.176542 110.653273) + (xy 122.196221 110.611071) + (xy 122.199319 110.587536) + (xy 122.202233 110.565407) + (xy 122.202233 110.565399) + (xy 122.2025 110.563375) + (xy 122.202499 109.936626) + (xy 122.202232 109.934593) + (xy 122.196845 109.893669) + (xy 122.196845 109.893668) + (xy 122.196221 109.888929) + (xy 122.184363 109.8635) + (xy 122.149723 109.789213) + (xy 122.149721 109.789211) + (xy 122.147411 109.784256) + (xy 122.065744 109.702589) + (xy 122.060789 109.700279) + (xy 122.060787 109.700277) + (xy 122.011985 109.677521) + (xy 121.961071 109.653779) + (xy 121.940887 109.651122) + (xy 121.920342 109.648417) + (xy 121.878915 109.6245) + (xy 121.866 109.586452) + (xy 121.866 109.163548) + (xy 121.884306 109.119354) + (xy 121.920343 109.101583) + (xy 121.956325 109.096847) + (xy 121.956333 109.096845) + (xy 121.961071 109.096221) + (xy 122.028057 109.064985) + (xy 122.060787 109.049723) + (xy 122.060789 109.049721) + (xy 122.065744 109.047411) + (xy 122.147411 108.965744) + (xy 122.149721 108.960789) + (xy 122.149723 108.960787) + (xy 122.173811 108.90913) + (xy 122.196221 108.861071) + (xy 122.201943 108.817607) + (xy 122.202233 108.815407) + (xy 122.202233 108.815399) + (xy 122.2025 108.813375) + (xy 122.202499 108.268504) + (xy 122.220805 108.22431) + (xy 122.256443 108.188672) + (xy 122.7975 108.188672) + (xy 122.797501 108.500843) + (xy 122.797501 108.813374) + (xy 122.797766 108.815385) + (xy 122.797766 108.81539) + (xy 122.802053 108.847957) + (xy 122.803779 108.861071) + (xy 122.8058 108.865404) + (xy 122.8058 108.865405) + (xy 122.850277 108.960787) + (xy 122.850279 108.960789) + (xy 122.852589 108.965744) + (xy 122.934256 109.047411) + (xy 122.939211 109.049721) + (xy 122.939213 109.049723) + (xy 122.971943 109.064985) + (xy 123.038929 109.096221) + (xy 123.050316 109.09772) + (xy 123.084593 109.102233) + (xy 123.084601 109.102233) + (xy 123.086625 109.1025) + (xy 123.088672 109.1025) + (xy 123.325642 109.102499) + (xy 123.563374 109.102499) + (xy 123.565385 109.102234) + (xy 123.56539 109.102234) + (xy 123.606331 109.096845) + (xy 123.606332 109.096845) + (xy 123.611071 109.096221) + (xy 123.678057 109.064985) + (xy 123.710787 109.049723) + (xy 123.710789 109.049721) + (xy 123.715744 109.047411) + (xy 123.797411 108.965744) + (xy 123.799721 108.960789) + (xy 123.799723 108.960787) + (xy 123.823811 108.90913) + (xy 123.846221 108.861071) + (xy 123.851943 108.817607) + (xy 123.852233 108.815407) + (xy 123.852233 108.815399) + (xy 123.8525 108.813375) + (xy 123.8525 108.7535) + (xy 123.870806 108.709306) + (xy 123.915 108.691) + (xy 124.706768 108.691) + (xy 124.714107 108.69225) + (xy 124.71419 108.691523) + (xy 124.721181 108.69232) + (xy 124.727822 108.694652) + (xy 124.734815 108.693874) + (xy 124.734816 108.693874) + (xy 124.757197 108.691383) + (xy 124.76411 108.691) + (xy 124.771533 108.691) + (xy 124.778465 108.689419) + (xy 124.785418 108.688243) + (xy 124.814429 108.685014) + (xy 124.820393 108.681281) + (xy 124.824341 108.679906) + (xy 124.8281 108.678097) + (xy 124.834959 108.676532) + (xy 124.841435 108.671372) + (xy 124.857773 108.658352) + (xy 124.863559 108.654256) + (xy 124.866715 108.65228) + (xy 124.866717 108.652279) + (xy 124.869711 108.650404) + (xy 124.874924 108.645191) + (xy 124.880168 108.640506) + (xy 124.897604 108.626612) + (xy 124.897604 108.626611) + (xy 124.903109 108.622225) + (xy 124.906167 108.615886) + (xy 124.910558 108.610385) + (xy 124.911092 108.610811) + (xy 124.915468 108.604647) + (xy 125.104487 108.415628) + (xy 125.110561 108.411323) + (xy 125.110105 108.41075) + (xy 125.115613 108.406369) + (xy 125.121958 108.403322) + (xy 125.140418 108.380239) + (xy 125.145035 108.37508) + (xy 125.150283 108.369832) + (xy 125.154055 108.363831) + (xy 125.158159 108.358056) + (xy 125.171988 108.340764) + (xy 125.17199 108.34076) + (xy 125.176383 108.335267) + (xy 125.17796 108.32841) + (xy 125.179778 108.324648) + (xy 125.181157 108.32071) + (xy 125.184902 108.314752) + (xy 125.185692 108.307761) + (xy 125.185694 108.307756) + (xy 125.18818 108.285763) + (xy 125.189373 108.278778) + (xy 125.190208 108.275146) + (xy 125.191 108.271703) + (xy 125.191 108.264323) + (xy 125.191396 108.257303) + (xy 125.193899 108.235158) + (xy 125.19469 108.228162) + (xy 125.192369 108.221516) + (xy 125.191585 108.214522) + (xy 125.192264 108.214446) + (xy 125.191 108.206996) + (xy 125.191 107.105003) + (xy 125.209306 107.060809) + (xy 125.310809 106.959306) + (xy 125.355003 106.941) + (xy 126.076187 106.941) + (xy 126.120381 106.959306) + (xy 126.129248 106.970473) + (xy 126.13154 106.974155) + (xy 126.133333 106.97823) + (xy 126.14549 106.992692) + (xy 126.148029 106.995713) + (xy 126.150157 106.998434) + (xy 126.152292 107.002625) + (xy 126.160081 107.010414) + (xy 126.16373 107.014392) + (xy 126.204189 107.062524) + (xy 126.212127 107.071968) + (xy 126.235399 107.087459) + (xy 126.241836 107.092733) + (xy 126.243899 107.094232) + (xy 126.247375 107.097708) + (xy 126.251753 107.099939) + (xy 126.251756 107.099941) + (xy 126.25873 107.103494) + (xy 126.264977 107.107148) + (xy 126.314064 107.139823) + (xy 126.318313 107.141151) + (xy 126.318314 107.141151) + (xy 126.350296 107.151143) + (xy 126.360027 107.155109) + (xy 126.362799 107.156521) + (xy 126.362803 107.156522) + (xy 126.367187 107.158756) + (xy 126.377852 107.160445) + (xy 126.386713 107.16252) + (xy 126.426696 107.175012) + (xy 126.426699 107.175012) + (xy 126.430948 107.17634) + (xy 126.480069 107.177241) + (xy 126.488683 107.177999) + (xy 126.49514 107.179022) + (xy 126.495144 107.179022) + (xy 126.5 107.179791) + (xy 126.506947 107.17869) + (xy 126.517853 107.177933) + (xy 126.553383 107.178584) + (xy 126.613532 107.162185) + (xy 126.62019 107.160755) + (xy 126.622147 107.160445) + (xy 126.632813 107.158756) + (xy 126.637196 107.156522) + (xy 126.641875 107.155002) + (xy 126.641878 107.15501) + (xy 126.646727 107.153136) + (xy 126.671527 107.146375) + (xy 126.683231 107.139189) + (xy 126.739709 107.104511) + (xy 126.744037 107.102085) + (xy 126.74824 107.099944) + (xy 126.748248 107.099938) + (xy 126.752625 107.097708) + (xy 126.755427 107.094906) + (xy 126.756264 107.094346) + (xy 126.758891 107.092733) + (xy 126.775881 107.082301) + (xy 126.858058 106.991513) + (xy 126.911451 106.881311) + (xy 126.912527 106.87492) + (xy 126.918095 106.841821) + (xy 127.473001 106.841821) + (xy 127.4736 106.847906) + (xy 127.48352 106.897776) + (xy 127.488143 106.908937) + (xy 127.525945 106.965514) + (xy 127.534486 106.974055) + (xy 127.591064 107.011858) + (xy 127.602221 107.01648) + (xy 127.652096 107.026401) + (xy 127.658177 107.027) + (xy 128.261569 107.027) + (xy 128.270359 107.023359) + (xy 128.274 107.014569) + (xy 128.274 107.014568) + (xy 128.401 107.014568) + (xy 128.404641 107.023358) + (xy 128.413431 107.026999) + (xy 129.016821 107.026999) + (xy 129.022906 107.0264) + (xy 129.072776 107.01648) + (xy 129.083937 107.011857) + (xy 129.140514 106.974055) + (xy 129.149055 106.965514) + (xy 129.186858 106.908936) + (xy 129.19148 106.897779) + (xy 129.201401 106.847904) + (xy 129.202 106.841823) + (xy 129.202 106.825931) + (xy 129.198359 106.817141) + (xy 129.189569 106.8135) + (xy 128.413431 106.8135) + (xy 128.404641 106.817141) + (xy 128.401 106.825931) + (xy 128.401 107.014568) + (xy 128.274 107.014568) + (xy 128.274 106.825931) + (xy 128.270359 106.817141) + (xy 128.261569 106.8135) + (xy 127.485432 106.8135) + (xy 127.476642 106.817141) + (xy 127.473001 106.825931) + (xy 127.473001 106.841821) + (xy 126.918095 106.841821) + (xy 126.931367 106.762928) + (xy 126.931767 106.760552) + (xy 126.931896 106.75) + (xy 126.921022 106.674069) + (xy 127.473 106.674069) + (xy 127.476641 106.682859) + (xy 127.485431 106.6865) + (xy 128.261569 106.6865) + (xy 128.270359 106.682859) + (xy 128.274 106.674069) + (xy 128.401 106.674069) + (xy 128.404641 106.682859) + (xy 128.413431 106.6865) + (xy 129.189568 106.6865) + (xy 129.198358 106.682859) + (xy 129.201999 106.674069) + (xy 129.201999 106.658179) + (xy 129.2014 106.652094) + (xy 129.19148 106.602224) + (xy 129.186857 106.591063) + (xy 129.149213 106.534722) + (xy 129.139881 106.487806) + (xy 129.149213 106.465278) + (xy 129.186858 106.408936) + (xy 129.19148 106.397779) + (xy 129.201401 106.347904) + (xy 129.202 106.341823) + (xy 129.202 106.325931) + (xy 129.198359 106.317141) + (xy 129.189569 106.3135) + (xy 128.413431 106.3135) + (xy 128.404641 106.317141) + (xy 128.401 106.325931) + (xy 128.401 106.674069) + (xy 128.274 106.674069) + (xy 128.274 106.325931) + (xy 128.270359 106.317141) + (xy 128.261569 106.3135) + (xy 127.485432 106.3135) + (xy 127.476642 106.317141) + (xy 127.473001 106.325931) + (xy 127.473001 106.341821) + (xy 127.4736 106.347906) + (xy 127.48352 106.397776) + (xy 127.488143 106.408937) + (xy 127.525787 106.465278) + (xy 127.535119 106.512194) + (xy 127.525787 106.534722) + (xy 127.488142 106.591064) + (xy 127.48352 106.602221) + (xy 127.473599 106.652096) + (xy 127.473 106.658177) + (xy 127.473 106.674069) + (xy 126.921022 106.674069) + (xy 126.914536 106.628781) + (xy 126.863852 106.517307) + (xy 126.849199 106.500302) + (xy 126.847708 106.497375) + (xy 126.8419 106.491567) + (xy 126.838746 106.48817) + (xy 126.786826 106.427914) + (xy 126.786823 106.427911) + (xy 126.783918 106.424539) + (xy 126.763741 106.411461) + (xy 126.760155 106.408559) + (xy 126.760081 106.408661) + (xy 126.756106 106.405773) + (xy 126.752625 106.402292) + (xy 126.741343 106.396543) + (xy 126.735736 106.393309) + (xy 126.723408 106.385318) + (xy 126.713322 106.378781) + (xy 126.684893 106.360354) + (xy 126.68489 106.360353) + (xy 126.68116 106.357935) + (xy 126.676901 106.356661) + (xy 126.676898 106.35666) + (xy 126.658345 106.351112) + (xy 126.648839 106.348269) + (xy 126.638377 106.344079) + (xy 126.632813 106.341244) + (xy 126.621067 106.339384) + (xy 126.612951 106.337536) + (xy 126.563838 106.322848) + (xy 126.519496 106.322577) + (xy 126.510103 106.321809) + (xy 126.504857 106.320978) + (xy 126.504856 106.320978) + (xy 126.5 106.320209) + (xy 126.491373 106.321576) + (xy 126.48122 106.322344) + (xy 126.462836 106.322231) + (xy 126.445838 106.322127) + (xy 126.445836 106.322127) + (xy 126.441385 106.3221) + (xy 126.387011 106.337641) + (xy 126.379623 106.339275) + (xy 126.367187 106.341244) + (xy 126.362804 106.343477) + (xy 126.36187 106.343781) + (xy 126.352156 106.347603) + (xy 126.350916 106.347957) + (xy 126.327929 106.354526) + (xy 126.327927 106.354527) + (xy 126.323644 106.355751) + (xy 126.264119 106.393309) + (xy 126.262819 106.394129) + (xy 126.257842 106.396959) + (xy 126.251757 106.400059) + (xy 126.251756 106.40006) + (xy 126.247375 106.402292) + (xy 126.243897 106.40577) + (xy 126.242445 106.406825) + (xy 126.239059 106.40912) + (xy 126.239043 106.40913) + (xy 126.22008 106.421095) + (xy 126.217137 106.424427) + (xy 126.217135 106.424429) + (xy 126.157156 106.492342) + (xy 126.156032 106.493538) + (xy 126.155775 106.493892) + (xy 126.155554 106.494113) + (xy 126.155405 106.494204) + (xy 126.154504 106.495163) + (xy 126.152292 106.497375) + (xy 126.151509 106.496592) + (xy 126.150362 106.497296) + (xy 126.151721 106.498496) + (xy 126.145002 106.506104) + (xy 126.139018 106.512879) + (xy 126.137127 106.516906) + (xy 126.137126 106.516908) + (xy 126.134237 106.523062) + (xy 126.098884 106.555287) + (xy 126.077662 106.559) + (xy 125.293231 106.559) + (xy 125.285892 106.55775) + (xy 125.285809 106.558477) + (xy 125.278818 106.55768) + (xy 125.272177 106.555348) + (xy 125.265185 106.556126) + (xy 125.265183 106.556126) + (xy 125.245677 106.558297) + (xy 125.24292 106.558604) + (xy 125.242803 106.558617) + (xy 125.23589 106.559) + (xy 125.228467 106.559) + (xy 125.225043 106.559781) + (xy 125.221553 106.560577) + (xy 125.214567 106.561759) + (xy 125.206883 106.562614) + (xy 125.185571 106.564986) + (xy 125.179608 106.568719) + (xy 125.175662 106.570093) + (xy 125.171902 106.571903) + (xy 125.165041 106.573468) + (xy 125.159539 106.577853) + (xy 125.159538 106.577853) + (xy 125.153956 106.582301) + (xy 125.143199 106.590874) + (xy 125.142221 106.591653) + (xy 125.136441 106.595746) + (xy 125.130289 106.599597) + (xy 125.125081 106.604805) + (xy 125.119837 106.60949) + (xy 125.107031 106.619695) + (xy 125.096891 106.627775) + (xy 125.093833 106.634114) + (xy 125.089442 106.639615) + (xy 125.088909 106.639189) + (xy 125.084531 106.645355) + (xy 124.895514 106.834372) + (xy 124.88944 106.838677) + (xy 124.889896 106.839251) + (xy 124.884391 106.84363) + (xy 124.878042 106.846678) + (xy 124.866008 106.861726) + (xy 124.859582 106.869761) + (xy 124.854965 106.87492) + (xy 124.849716 106.880169) + (xy 124.847113 106.884311) + (xy 124.845943 106.886172) + (xy 124.841838 106.891948) + (xy 124.823617 106.914733) + (xy 124.82204 106.921593) + (xy 124.820222 106.925353) + (xy 124.818844 106.929289) + (xy 124.815098 106.935248) + (xy 124.814307 106.942242) + (xy 124.814307 106.942243) + (xy 124.811821 106.964236) + (xy 124.810629 106.971213) + (xy 124.809 106.978297) + (xy 124.809 106.985671) + (xy 124.808604 106.992692) + (xy 124.805309 107.021838) + (xy 124.80763 107.028483) + (xy 124.808414 107.035477) + (xy 124.807736 107.035553) + (xy 124.809 107.043005) + (xy 124.809 108.144998) + (xy 124.790694 108.189192) + (xy 124.689191 108.290694) + (xy 124.644997 108.309) + (xy 123.914999 108.309) + (xy 123.870805 108.290694) + (xy 123.852499 108.2465) + (xy 123.852499 108.186626) + (xy 123.852232 108.184593) + (xy 123.846845 108.143669) + (xy 123.846845 108.143668) + (xy 123.846221 108.138929) + (xy 123.830311 108.104809) + (xy 123.799723 108.039213) + (xy 123.799721 108.039211) + (xy 123.797411 108.034256) + (xy 123.715744 107.952589) + (xy 123.710789 107.950279) + (xy 123.710787 107.950277) + (xy 123.661985 107.927521) + (xy 123.611071 107.903779) + (xy 123.597705 107.902019) + (xy 123.565407 107.897767) + (xy 123.565399 107.897767) + (xy 123.563375 107.8975) + (xy 123.561328 107.8975) + (xy 123.324358 107.897501) + (xy 123.086626 107.897501) + (xy 123.084615 107.897766) + (xy 123.08461 107.897766) + (xy 123.043669 107.903155) + (xy 123.043668 107.903155) + (xy 123.038929 107.903779) + (xy 123.034595 107.9058) + (xy 122.939213 107.950277) + (xy 122.939211 107.950279) + (xy 122.934256 107.952589) + (xy 122.852589 108.034256) + (xy 122.850279 108.039211) + (xy 122.850277 108.039213) + (xy 122.839948 108.061365) + (xy 122.803779 108.138929) + (xy 122.803155 108.143669) + (xy 122.803155 108.14367) + (xy 122.797767 108.184593) + (xy 122.797767 108.184601) + (xy 122.7975 108.186625) + (xy 122.7975 108.188672) + (xy 122.256443 108.188672) + (xy 123.299309 107.145806) + (xy 123.343503 107.1275) + (xy 124.19123 107.1275) + (xy 124.19306 107.127282) + (xy 124.193064 107.127282) + (xy 124.199647 107.126498) + (xy 124.215424 107.124621) + (xy 124.219711 107.122717) + (xy 124.304628 107.084999) + (xy 124.304629 107.084998) + (xy 124.3099 107.082657) + (xy 124.382935 107.009494) + (xy 124.403404 106.963195) + (xy 124.422835 106.919244) + (xy 124.422836 106.919241) + (xy 124.424735 106.914945) + (xy 124.425279 106.910278) + (xy 124.42528 106.910275) + (xy 124.4269 106.896375) + (xy 124.4275 106.89123) + (xy 124.4275 106.50877) + (xy 124.427027 106.50479) + (xy 124.425839 106.494813) + (xy 124.424621 106.484576) + (xy 124.416049 106.465278) + (xy 124.384999 106.395372) + (xy 124.384998 106.395371) + (xy 124.382657 106.3901) + (xy 124.309494 106.317065) + (xy 124.230005 106.281923) + (xy 124.196987 106.247311) + (xy 124.198114 106.199488) + (xy 124.229906 106.167641) + (xy 124.304339 106.134579) + (xy 124.313688 106.128154) + (xy 124.37844 106.063289) + (xy 124.384848 106.053931) + (xy 124.422341 105.969124) + (xy 124.424784 105.960162) + (xy 124.426791 105.94295) + (xy 124.427 105.939342) + (xy 124.427 105.825931) + (xy 124.423359 105.817141) + (xy 124.414569 105.8135) + (xy 122.860431 105.8135) + (xy 122.851641 105.817141) + (xy 122.848 105.825931) + (xy 122.848 105.939309) + (xy 122.848218 105.942988) + (xy 122.85032 105.960647) + (xy 122.852778 105.969593) + (xy 122.890421 106.054339) + (xy 122.896846 106.063688) + (xy 122.961711 106.12844) + (xy 122.971069 106.134848) + (xy 123.044994 106.16753) + (xy 123.078012 106.202143) + (xy 123.076885 106.249965) + (xy 123.045093 106.281812) + (xy 122.970372 106.315001) + (xy 122.970371 106.315002) + (xy 122.9651 106.317343) + (xy 122.961024 106.321426) + (xy 122.943799 106.338681) + (xy 122.892065 106.390506) + (xy 122.882801 106.411461) + (xy 122.852165 106.480756) + (xy 122.852164 106.480759) + (xy 122.850265 106.485055) + (xy 122.849721 106.489722) + (xy 122.84972 106.489725) + (xy 122.848487 106.500302) + (xy 122.8475 106.50877) + (xy 122.8475 106.89123) + (xy 122.850379 106.915424) + (xy 122.852283 106.91971) + (xy 122.852283 106.919711) + (xy 122.875735 106.97251) + (xy 122.876945 107.02033) + (xy 122.86281 107.042075) + (xy 122.017646 107.887239) + (xy 121.973452 107.905545) + (xy 121.961071 107.903778) + (xy 121.961071 107.903779) + (xy 121.915407 107.897767) + (xy 121.915399 107.897767) + (xy 121.913375 107.8975) + (xy 121.911328 107.8975) + (xy 121.674358 107.897501) + (xy 121.436626 107.897501) + (xy 121.434615 107.897766) + (xy 121.43461 107.897766) + (xy 121.393669 107.903155) + (xy 121.393668 107.903155) + (xy 121.388929 107.903779) + (xy 121.384595 107.9058) + (xy 121.289213 107.950277) + (xy 121.289211 107.950279) + (xy 121.284256 107.952589) + (xy 121.202589 108.034256) + (xy 121.200279 108.039211) + (xy 121.200277 108.039213) + (xy 121.189948 108.061365) + (xy 121.153779 108.138929) + (xy 121.153155 108.143669) + (xy 121.153155 108.14367) + (xy 121.147767 108.184593) + (xy 121.147767 108.184601) + (xy 121.1475 108.186625) + (xy 121.1475 108.188672) + (xy 121.147501 108.500843) + (xy 121.147501 108.813374) + (xy 121.147766 108.815385) + (xy 121.147766 108.81539) + (xy 121.152053 108.847957) + (xy 121.153779 108.861071) + (xy 121.1558 108.865404) + (xy 121.1558 108.865405) + (xy 121.200277 108.960787) + (xy 121.200279 108.960789) + (xy 121.202589 108.965744) + (xy 121.284256 109.047411) + (xy 121.289211 109.049721) + (xy 121.289213 109.049723) + (xy 121.321943 109.064985) + (xy 121.388929 109.096221) + (xy 121.400316 109.09772) + (xy 121.429658 109.101583) + (xy 121.471085 109.1255) + (xy 121.484 109.163548) + (xy 121.484 109.586452) + (xy 121.465694 109.630646) + (xy 121.429657 109.648417) + (xy 121.393675 109.653153) + (xy 121.393667 109.653155) + (xy 121.388929 109.653779) + (xy 121.384595 109.6558) + (xy 121.289213 109.700277) + (xy 121.289211 109.700279) + (xy 121.284256 109.702589) + (xy 121.202589 109.784256) + (xy 121.200279 109.789211) + (xy 121.200277 109.789213) + (xy 121.187529 109.816552) + (xy 121.153779 109.888929) + (xy 121.152597 109.897906) + (xy 121.147767 109.934593) + (xy 121.147767 109.934601) + (xy 121.1475 109.936625) + (xy 117.602 109.936625) + (xy 117.602 109.899069) + (xy 118.148 109.899069) + (xy 118.151641 109.907859) + (xy 118.160431 109.9115) + (xy 118.674069 109.9115) + (xy 118.682859 109.907859) + (xy 118.6865 109.899069) + (xy 118.8135 109.899069) + (xy 118.817141 109.907859) + (xy 118.825931 109.9115) + (xy 119.339568 109.9115) + (xy 119.348358 109.907859) + (xy 119.351999 109.899069) + (xy 119.351999 109.718917) + (xy 119.351667 109.714386) + (xy 119.342522 109.652257) + (xy 119.339674 109.64309) + (xy 119.292444 109.546895) + (xy 119.286516 109.538613) + (xy 119.210889 109.463117) + (xy 119.202594 109.457201) + (xy 119.106313 109.410137) + (xy 119.097149 109.407305) + (xy 119.035609 109.398328) + (xy 119.031096 109.398) + (xy 118.825931 109.398) + (xy 118.817141 109.401641) + (xy 118.8135 109.410431) + (xy 118.8135 109.899069) + (xy 118.6865 109.899069) + (xy 118.6865 109.410432) + (xy 118.682859 109.401642) + (xy 118.674069 109.398001) + (xy 118.468917 109.398001) + (xy 118.464386 109.398333) + (xy 118.402257 109.407478) + (xy 118.39309 109.410326) + (xy 118.296895 109.457556) + (xy 118.288613 109.463484) + (xy 118.213117 109.539111) + (xy 118.207201 109.547406) + (xy 118.160137 109.643687) + (xy 118.157305 109.652851) + (xy 118.148328 109.714391) + (xy 118.148 109.718904) + (xy 118.148 109.899069) + (xy 117.602 109.899069) + (xy 117.602 109.875931) + (xy 117.598359 109.867141) + (xy 117.589569 109.8635) + (xy 116.825931 109.8635) + (xy 116.817141 109.867141) + (xy 116.8135 109.875931) + (xy 116.8135 110.414568) + (xy 116.6865 110.414568) + (xy 116.6865 109.875931) + (xy 116.682859 109.867141) + (xy 116.674069 109.8635) + (xy 115.910432 109.8635) + (xy 115.901642 109.867141) + (xy 115.898001 109.875931) + (xy 115.898001 110.083453) + (xy 115.352 110.083453) + (xy 115.352 110.050931) + (xy 115.348359 110.042141) + (xy 115.339569 110.0385) + (xy 114.825931 110.0385) + (xy 114.817141 110.042141) + (xy 114.8135 110.050931) + (xy 114.8135 110.539568) + (xy 114.6865 110.539568) + (xy 114.6865 110.050931) + (xy 114.682859 110.042141) + (xy 114.674069 110.0385) + (xy 114.160432 110.0385) + (xy 114.151642 110.042141) + (xy 114.148001 110.050931) + (xy 114.148001 110.231083) + (xy 108.2315 110.231083) + (xy 108.2315 109.899069) + (xy 114.148 109.899069) + (xy 114.151641 109.907859) + (xy 114.160431 109.9115) + (xy 114.674069 109.9115) + (xy 114.682859 109.907859) + (xy 114.6865 109.899069) + (xy 114.8135 109.899069) + (xy 114.817141 109.907859) + (xy 114.825931 109.9115) + (xy 115.339568 109.9115) + (xy 115.348358 109.907859) + (xy 115.351999 109.899069) + (xy 115.351999 109.724069) + (xy 115.898 109.724069) + (xy 115.901641 109.732859) + (xy 115.910431 109.7365) + (xy 116.674069 109.7365) + (xy 116.682859 109.732859) + (xy 116.6865 109.724069) + (xy 116.8135 109.724069) + (xy 116.817141 109.732859) + (xy 116.825931 109.7365) + (xy 117.589568 109.7365) + (xy 117.598358 109.732859) + (xy 117.601999 109.724069) + (xy 117.601999 109.516547) + (xy 117.601667 109.512011) + (xy 117.591799 109.444972) + (xy 117.588952 109.435808) + (xy 117.538053 109.332139) + (xy 117.532125 109.323858) + (xy 117.450607 109.242482) + (xy 117.442312 109.236566) + (xy 117.338554 109.185848) + (xy 117.32939 109.183016) + (xy 117.262979 109.173328) + (xy 117.258466 109.173) + (xy 116.825931 109.173) + (xy 116.817141 109.176641) + (xy 116.8135 109.185431) + (xy 116.8135 109.724069) + (xy 116.6865 109.724069) + (xy 116.6865 109.185432) + (xy 116.682859 109.176642) + (xy 116.674069 109.173001) + (xy 116.241547 109.173001) + (xy 116.237015 109.173333) + (xy 116.169972 109.183201) + (xy 116.160808 109.186048) + (xy 116.057139 109.236947) + (xy 116.048858 109.242875) + (xy 115.967482 109.324393) + (xy 115.961566 109.332688) + (xy 115.910848 109.436446) + (xy 115.908016 109.44561) + (xy 115.898328 109.512021) + (xy 115.898 109.516534) + (xy 115.898 109.724069) + (xy 115.351999 109.724069) + (xy 115.351999 109.718917) + (xy 115.351667 109.714386) + (xy 115.342522 109.652257) + (xy 115.339674 109.64309) + (xy 115.292444 109.546895) + (xy 115.286516 109.538613) + (xy 115.210889 109.463117) + (xy 115.202594 109.457201) + (xy 115.106313 109.410137) + (xy 115.097149 109.407305) + (xy 115.035609 109.398328) + (xy 115.031096 109.398) + (xy 114.825931 109.398) + (xy 114.817141 109.401641) + (xy 114.8135 109.410431) + (xy 114.8135 109.899069) + (xy 114.6865 109.899069) + (xy 114.6865 109.410432) + (xy 114.682859 109.401642) + (xy 114.674069 109.398001) + (xy 114.468917 109.398001) + (xy 114.464386 109.398333) + (xy 114.402257 109.407478) + (xy 114.39309 109.410326) + (xy 114.296895 109.457556) + (xy 114.288613 109.463484) + (xy 114.213117 109.539111) + (xy 114.207201 109.547406) + (xy 114.160137 109.643687) + (xy 114.157305 109.652851) + (xy 114.148328 109.714391) + (xy 114.148 109.718904) + (xy 114.148 109.899069) + (xy 108.2315 109.899069) + (xy 108.2315 108.74) + (xy 108.249806 108.695806) + (xy 108.294 108.6775) + (xy 108.862558 108.6775) + (xy 108.867616 108.676494) + (xy 108.893713 108.671303) + (xy 108.893715 108.671302) + (xy 108.899748 108.670102) + (xy 108.941922 108.641922) + (xy 108.970102 108.599748) + (xy 108.971714 108.591648) + (xy 108.976901 108.565569) + (xy 108.9775 108.562558) + (xy 108.9775 107.037442) + (xy 108.975304 107.026401) + (xy 108.971303 107.006287) + (xy 108.971302 107.006285) + (xy 108.970102 107.000252) + (xy 108.941922 106.958078) + (xy 108.899748 106.929898) + (xy 108.893715 106.928698) + (xy 108.893713 106.928697) + (xy 108.865569 106.923099) + (xy 108.862558 106.9225) + (xy 106.837442 106.9225) + (xy 106.834431 106.923099) + (xy 106.806287 106.928697) + (xy 106.806285 106.928698) + (xy 106.800252 106.929898) + (xy 106.758078 106.958078) + (xy 106.729898 107.000252) + (xy 106.728698 107.006285) + (xy 106.728697 107.006287) + (xy 106.724696 107.026401) + (xy 106.7225 107.037442) + (xy 106.7225 108.562558) + (xy 99.3 108.562558) + (xy 99.3 106.744724) + (xy 119.068136 106.744724) + (xy 119.068713 106.749137) + (xy 119.068713 106.749138) + (xy 119.081895 106.849941) + (xy 119.084014 106.866145) + (xy 119.085809 106.870224) + (xy 119.089092 106.877685) + (xy 119.091185 106.882443) + (xy 119.091244 106.882813) + (xy 119.092007 106.884311) + (xy 119.094031 106.88891) + (xy 119.094031 106.888911) + (xy 119.130251 106.971225) + (xy 119.133333 106.97823) + (xy 119.14549 106.992692) + (xy 119.148029 106.995713) + (xy 119.150157 106.998434) + (xy 119.152292 107.002625) + (xy 119.160081 107.010414) + (xy 119.16373 107.014392) + (xy 119.204189 107.062524) + (xy 119.212127 107.071968) + (xy 119.235399 107.087459) + (xy 119.241836 107.092733) + (xy 119.243899 107.094232) + (xy 119.247375 107.097708) + (xy 119.251753 107.099939) + (xy 119.251756 107.099941) + (xy 119.25873 107.103494) + (xy 119.264977 107.107148) + (xy 119.314064 107.139823) + (xy 119.318313 107.141151) + (xy 119.318314 107.141151) + (xy 119.350296 107.151143) + (xy 119.360027 107.155109) + (xy 119.362799 107.156521) + (xy 119.362803 107.156522) + (xy 119.367187 107.158756) + (xy 119.377852 107.160445) + (xy 119.386713 107.16252) + (xy 119.426696 107.175012) + (xy 119.426699 107.175012) + (xy 119.430948 107.17634) + (xy 119.480069 107.177241) + (xy 119.488683 107.177999) + (xy 119.49514 107.179022) + (xy 119.495144 107.179022) + (xy 119.5 107.179791) + (xy 119.506947 107.17869) + (xy 119.517853 107.177933) + (xy 119.553383 107.178584) + (xy 119.613532 107.162185) + (xy 119.62019 107.160755) + (xy 119.622147 107.160445) + (xy 119.632813 107.158756) + (xy 119.637196 107.156522) + (xy 119.641875 107.155002) + (xy 119.641878 107.15501) + (xy 119.646727 107.153136) + (xy 119.671527 107.146375) + (xy 119.683231 107.139189) + (xy 119.739709 107.104511) + (xy 119.744037 107.102085) + (xy 119.74824 107.099944) + (xy 119.748248 107.099938) + (xy 119.752625 107.097708) + (xy 119.755427 107.094906) + (xy 119.756264 107.094346) + (xy 119.758891 107.092733) + (xy 119.775881 107.082301) + (xy 119.858058 106.991513) + (xy 119.860889 106.985671) + (xy 119.881774 106.942563) + (xy 119.889679 106.926248) + (xy 119.925423 106.894458) + (xy 119.945925 106.891) + (xy 120.523903 106.891) + (xy 120.568097 106.909306) + (xy 120.581022 106.928129) + (xy 120.614112 107.002625) + (xy 120.617343 107.0099) + (xy 120.621426 107.013976) + (xy 120.642965 107.035477) + (xy 120.690506 107.082935) + (xy 120.723922 107.097708) + (xy 120.780756 107.122835) + (xy 120.780759 107.122836) + (xy 120.785055 107.124735) + (xy 120.789722 107.125279) + (xy 120.789725 107.12528) + (xy 120.801038 107.126599) + (xy 120.80877 107.1275) + (xy 121.91623 107.1275) + (xy 121.91806 107.127282) + (xy 121.918064 107.127282) + (xy 121.924647 107.126498) + (xy 121.940424 107.124621) + (xy 121.944711 107.122717) + (xy 122.029628 107.084999) + (xy 122.029629 107.084998) + (xy 122.0349 107.082657) + (xy 122.107935 107.009494) + (xy 122.128404 106.963195) + (xy 122.147835 106.919244) + (xy 122.147836 106.919241) + (xy 122.149735 106.914945) + (xy 122.150279 106.910278) + (xy 122.15028 106.910275) + (xy 122.1519 106.896375) + (xy 122.1525 106.89123) + (xy 122.1525 106.50877) + (xy 122.152027 106.50479) + (xy 122.150839 106.494813) + (xy 122.149621 106.484576) + (xy 122.141049 106.465278) + (xy 122.109999 106.395372) + (xy 122.109998 106.395371) + (xy 122.107657 106.3901) + (xy 122.034494 106.317065) + (xy 121.978057 106.292114) + (xy 121.955623 106.282196) + (xy 121.922605 106.247583) + (xy 121.923732 106.199761) + (xy 121.955524 106.167914) + (xy 122.029628 106.134999) + (xy 122.029629 106.134998) + (xy 122.0349 106.132657) + (xy 122.064298 106.103208) + (xy 122.073144 106.094346) + (xy 122.107935 106.059494) + (xy 122.135744 105.996592) + (xy 122.147835 105.969244) + (xy 122.147836 105.969241) + (xy 122.149735 105.964945) + (xy 122.150279 105.960278) + (xy 122.15028 105.960275) + (xy 122.151599 105.948962) + (xy 122.1525 105.94123) + (xy 122.1525 105.55877) + (xy 122.152027 105.55479) + (xy 122.150175 105.539235) + (xy 122.149621 105.534576) + (xy 122.138502 105.509543) + (xy 122.109999 105.445372) + (xy 122.109998 105.445371) + (xy 122.107657 105.4401) + (xy 122.089643 105.422117) + (xy 122.038577 105.371141) + (xy 122.034494 105.367065) + (xy 121.960893 105.334526) + (xy 121.955623 105.332196) + (xy 121.922605 105.297583) + (xy 121.923732 105.249761) + (xy 121.955524 105.217914) + (xy 122.029628 105.184999) + (xy 122.029629 105.184998) + (xy 122.0349 105.182657) + (xy 122.107935 105.109494) + (xy 122.134915 105.048467) + (xy 122.147835 105.019244) + (xy 122.147836 105.019241) + (xy 122.149735 105.014945) + (xy 122.150279 105.010278) + (xy 122.15028 105.010275) + (xy 122.151716 104.997952) + (xy 122.1525 104.99123) + (xy 122.8475 104.99123) + (xy 122.847718 104.99306) + (xy 122.847718 104.993064) + (xy 122.848033 104.995713) + (xy 122.850379 105.015424) + (xy 122.852283 105.01971) + (xy 122.852283 105.019711) + (xy 122.889498 105.103494) + (xy 122.892343 105.1099) + (xy 122.965506 105.182935) + (xy 123.043854 105.217572) + (xy 123.044995 105.218077) + (xy 123.078013 105.252689) + (xy 123.076886 105.300512) + (xy 123.045094 105.332359) + (xy 122.970661 105.365421) + (xy 122.961312 105.371846) + (xy 122.89656 105.436711) + (xy 122.890152 105.446069) + (xy 122.852659 105.530876) + (xy 122.850216 105.539838) + (xy 122.848209 105.55705) + (xy 122.848 105.560658) + (xy 122.848 105.674069) + (xy 122.851641 105.682859) + (xy 122.860431 105.6865) + (xy 124.414569 105.6865) + (xy 124.423359 105.682859) + (xy 124.427 105.674069) + (xy 124.427 105.560691) + (xy 124.426782 105.557012) + (xy 124.42468 105.539353) + (xy 124.422222 105.530407) + (xy 124.384579 105.445661) + (xy 124.378154 105.436312) + (xy 124.313289 105.37156) + (xy 124.303931 105.365152) + (xy 124.230006 105.33247) + (xy 124.196988 105.297857) + (xy 124.198115 105.250035) + (xy 124.229907 105.218188) + (xy 124.304628 105.184999) + (xy 124.304629 105.184998) + (xy 124.3099 105.182657) + (xy 124.382935 105.109494) + (xy 124.409915 105.048467) + (xy 124.422835 105.019244) + (xy 124.422836 105.019241) + (xy 124.424735 105.014945) + (xy 124.425279 105.010278) + (xy 124.42528 105.010275) + (xy 124.426716 104.997952) + (xy 124.4275 104.99123) + (xy 124.4275 104.60877) + (xy 124.427027 104.60479) + (xy 124.425349 104.590694) + (xy 124.424621 104.584576) + (xy 124.399878 104.528871) + (xy 124.398668 104.481051) + (xy 124.431626 104.446381) + (xy 124.456997 104.441) + (xy 125.324376 104.441) + (xy 125.36857 104.459306) + (xy 125.386876 104.5035) + (xy 125.380951 104.530061) + (xy 125.366543 104.56075) + (xy 125.338868 104.619695) + (xy 125.338867 104.619698) + (xy 125.336976 104.623726) + (xy 125.318136 104.744724) + (xy 125.318713 104.749137) + (xy 125.318713 104.749138) + (xy 125.33251 104.854647) + (xy 125.334014 104.866145) + (xy 125.341185 104.882443) + (xy 125.341244 104.882813) + (xy 125.342007 104.884311) + (xy 125.344031 104.88891) + (xy 125.344031 104.888911) + (xy 125.380812 104.9725) + (xy 125.383333 104.97823) + (xy 125.395787 104.993046) + (xy 125.398029 104.995713) + (xy 125.400157 104.998434) + (xy 125.402292 105.002625) + (xy 125.410081 105.010414) + (xy 125.413729 105.014391) + (xy 125.462127 105.071968) + (xy 125.485399 105.087459) + (xy 125.491836 105.092733) + (xy 125.493899 105.094232) + (xy 125.497375 105.097708) + (xy 125.501753 105.099939) + (xy 125.501756 105.099941) + (xy 125.50873 105.103494) + (xy 125.514977 105.107148) + (xy 125.564064 105.139823) + (xy 125.568313 105.141151) + (xy 125.568314 105.141151) + (xy 125.600296 105.151143) + (xy 125.610027 105.155109) + (xy 125.612799 105.156521) + (xy 125.612803 105.156522) + (xy 125.617187 105.158756) + (xy 125.627852 105.160445) + (xy 125.636713 105.16252) + (xy 125.676696 105.175012) + (xy 125.676699 105.175012) + (xy 125.680948 105.17634) + (xy 125.730069 105.177241) + (xy 125.738683 105.177999) + (xy 125.74514 105.179022) + (xy 125.745144 105.179022) + (xy 125.75 105.179791) + (xy 125.756947 105.17869) + (xy 125.767853 105.177933) + (xy 125.803383 105.178584) + (xy 125.863532 105.162185) + (xy 125.87019 105.160755) + (xy 125.872147 105.160445) + (xy 125.882813 105.158756) + (xy 125.887196 105.156522) + (xy 125.891875 105.155002) + (xy 125.891878 105.15501) + (xy 125.896727 105.153136) + (xy 125.921527 105.146375) + (xy 125.958415 105.123726) + (xy 125.985297 105.10722) + (xy 126.032537 105.099695) + (xy 126.071261 105.127778) + (xy 126.079756 105.170096) + (xy 126.068136 105.244724) + (xy 126.068713 105.249137) + (xy 126.068713 105.249138) + (xy 126.082655 105.355751) + (xy 126.084014 105.366145) + (xy 126.091185 105.382443) + (xy 126.091244 105.382813) + (xy 126.092007 105.384311) + (xy 126.094031 105.38891) + (xy 126.094031 105.388911) + (xy 126.130812 105.4725) + (xy 126.133333 105.47823) + (xy 126.147273 105.494814) + (xy 126.148029 105.495713) + (xy 126.150157 105.498434) + (xy 126.152292 105.502625) + (xy 126.160081 105.510414) + (xy 126.16373 105.514392) + (xy 126.200894 105.558604) + (xy 126.212127 105.571968) + (xy 126.235399 105.587459) + (xy 126.241836 105.592733) + (xy 126.243899 105.594232) + (xy 126.247375 105.597708) + (xy 126.251753 105.599939) + (xy 126.251756 105.599941) + (xy 126.25873 105.603494) + (xy 126.264977 105.607148) + (xy 126.314064 105.639823) + (xy 126.318313 105.641151) + (xy 126.318314 105.641151) + (xy 126.350296 105.651143) + (xy 126.360027 105.655109) + (xy 126.362799 105.656521) + (xy 126.362803 105.656522) + (xy 126.367187 105.658756) + (xy 126.377852 105.660445) + (xy 126.386713 105.66252) + (xy 126.426696 105.675012) + (xy 126.426699 105.675012) + (xy 126.430948 105.67634) + (xy 126.480069 105.677241) + (xy 126.488683 105.677999) + (xy 126.49514 105.679022) + (xy 126.495144 105.679022) + (xy 126.5 105.679791) + (xy 126.506947 105.67869) + (xy 126.517853 105.677933) + (xy 126.553383 105.678584) + (xy 126.613532 105.662185) + (xy 126.62019 105.660755) + (xy 126.622147 105.660445) + (xy 126.632813 105.658756) + (xy 126.637196 105.656522) + (xy 126.641875 105.655002) + (xy 126.641878 105.65501) + (xy 126.646727 105.653136) + (xy 126.671527 105.646375) + (xy 126.682824 105.639439) + (xy 126.739709 105.604511) + (xy 126.744037 105.602085) + (xy 126.74824 105.599944) + (xy 126.748248 105.599938) + (xy 126.752625 105.597708) + (xy 126.755427 105.594906) + (xy 126.756264 105.594346) + (xy 126.758891 105.592733) + (xy 126.775881 105.582301) + (xy 126.858058 105.491513) + (xy 126.861578 105.484249) + (xy 126.865454 105.476248) + (xy 126.901198 105.444458) + (xy 126.9217 105.441) + (xy 127.470881 105.441) + (xy 127.515075 105.459306) + (xy 127.533381 105.5035) + (xy 127.522847 105.538223) + (xy 127.484249 105.595988) + (xy 127.483048 105.602024) + (xy 127.483048 105.602025) + (xy 127.473994 105.647546) + (xy 127.4725 105.655056) + (xy 127.4725 105.844944) + (xy 127.473099 105.847954) + (xy 127.473099 105.847957) + (xy 127.479959 105.882443) + (xy 127.484249 105.904012) + (xy 127.495664 105.921095) + (xy 127.525486 105.965726) + (xy 127.534819 106.012642) + (xy 127.525487 106.035173) + (xy 127.488141 106.091067) + (xy 127.48352 106.102221) + (xy 127.473599 106.152096) + (xy 127.473 106.158177) + (xy 127.473 106.174069) + (xy 127.476641 106.182859) + (xy 127.485431 106.1865) + (xy 129.189568 106.1865) + (xy 129.198358 106.182859) + (xy 129.201999 106.174069) + (xy 129.201999 106.158179) + (xy 129.2014 106.152094) + (xy 129.19148 106.102224) + (xy 129.186858 106.091066) + (xy 129.151551 106.038223) + (xy 129.142219 105.991306) + (xy 129.168795 105.951533) + (xy 129.203518 105.941) + (xy 129.824376 105.941) + (xy 129.86857 105.959306) + (xy 129.886876 106.0035) + (xy 129.880951 106.030061) + (xy 129.867133 106.059494) + (xy 129.838868 106.119695) + (xy 129.838867 106.119698) + (xy 129.836976 106.123726) + (xy 129.818136 106.244724) + (xy 129.818713 106.249137) + (xy 129.818713 106.249138) + (xy 129.832655 106.355751) + (xy 129.834014 106.366145) + (xy 129.835809 106.370224) + (xy 129.839286 106.378126) + (xy 129.841185 106.382443) + (xy 129.841244 106.382813) + (xy 129.842007 106.384311) + (xy 129.844031 106.38891) + (xy 129.844031 106.388911) + (xy 129.871448 106.451219) + (xy 129.883333 106.47823) + (xy 129.897198 106.494724) + (xy 129.898029 106.495713) + (xy 129.900157 106.498434) + (xy 129.902292 106.502625) + (xy 129.910081 106.510414) + (xy 129.91373 106.514392) + (xy 129.953546 106.561759) + (xy 129.962127 106.571968) + (xy 129.985399 106.587459) + (xy 129.991836 106.592733) + (xy 129.993899 106.594232) + (xy 129.997375 106.597708) + (xy 130.001753 106.599939) + (xy 130.001756 106.599941) + (xy 130.00873 106.603494) + (xy 130.014977 106.607148) + (xy 130.064064 106.639823) + (xy 130.068313 106.641151) + (xy 130.068314 106.641151) + (xy 130.100296 106.651143) + (xy 130.110027 106.655109) + (xy 130.112799 106.656521) + (xy 130.112803 106.656522) + (xy 130.117187 106.658756) + (xy 130.127852 106.660445) + (xy 130.136713 106.66252) + (xy 130.176696 106.675012) + (xy 130.176699 106.675012) + (xy 130.180948 106.67634) + (xy 130.230069 106.677241) + (xy 130.238683 106.677999) + (xy 130.24514 106.679022) + (xy 130.245144 106.679022) + (xy 130.25 106.679791) + (xy 130.256947 106.67869) + (xy 130.267853 106.677933) + (xy 130.303383 106.678584) + (xy 130.363532 106.662185) + (xy 130.37019 106.660755) + (xy 130.372147 106.660445) + (xy 130.382813 106.658756) + (xy 130.387196 106.656522) + (xy 130.391875 106.655002) + (xy 130.391878 106.65501) + (xy 130.396727 106.653136) + (xy 130.421527 106.646375) + (xy 130.432824 106.639439) + (xy 130.489709 106.604511) + (xy 130.494037 106.602085) + (xy 130.49824 106.599944) + (xy 130.498248 106.599938) + (xy 130.502625 106.597708) + (xy 130.505427 106.594906) + (xy 130.506264 106.594346) + (xy 130.508891 106.592733) + (xy 130.525881 106.582301) + (xy 130.608058 106.491513) + (xy 130.661451 106.381311) + (xy 130.663317 106.370224) + (xy 130.678144 106.282086) + (xy 130.681767 106.260552) + (xy 130.681896 106.25) + (xy 130.664536 106.128781) + (xy 130.613852 106.017307) + (xy 130.599199 106.000302) + (xy 130.597708 105.997375) + (xy 130.5919 105.991567) + (xy 130.588746 105.98817) + (xy 130.557178 105.951534) + (xy 130.533918 105.924539) + (xy 130.513741 105.911461) + (xy 130.510155 105.908559) + (xy 130.510081 105.908661) + (xy 130.506106 105.905773) + (xy 130.502625 105.902292) + (xy 130.491343 105.896543) + (xy 130.485735 105.893308) + (xy 130.469505 105.882788) + (xy 130.442377 105.843389) + (xy 130.441 105.830342) + (xy 130.441 105.755899) + (xy 130.441043 105.706844) + (xy 130.441043 105.706596) + (xy 130.441007 105.70652) + (xy 130.441 105.706461) + (xy 130.441 104.2535) + (xy 130.459306 104.209306) + (xy 130.5035 104.191) + (xy 134.4965 104.191) + (xy 134.540694 104.209306) + (xy 134.559 104.2535) + (xy 134.559 106.080525) + (xy 134.540694 106.124719) + (xy 134.529855 106.13338) + (xy 134.51281 106.144135) + (xy 134.507842 106.146959) + (xy 134.501757 106.150059) + (xy 134.501756 106.15006) + (xy 134.497375 106.152292) + (xy 134.493897 106.15577) + (xy 134.492445 106.156825) + (xy 134.489058 106.15912) + (xy 134.47008 106.171095) + (xy 134.467137 106.174427) + (xy 134.467135 106.174429) + (xy 134.407156 106.242342) + (xy 134.406032 106.243538) + (xy 134.405775 106.243892) + (xy 134.405554 106.244113) + (xy 134.405405 106.244204) + (xy 134.404504 106.245163) + (xy 134.402292 106.247375) + (xy 134.401509 106.246592) + (xy 134.400362 106.247296) + (xy 134.401721 106.248496) + (xy 134.389018 106.262879) + (xy 134.387127 106.266907) + (xy 134.338868 106.369695) + (xy 134.338867 106.369698) + (xy 134.336976 106.373726) + (xy 134.318136 106.494724) + (xy 134.318713 106.499137) + (xy 134.318713 106.499138) + (xy 134.332322 106.603208) + (xy 134.334014 106.616145) + (xy 134.335809 106.620224) + (xy 134.339286 106.628126) + (xy 134.341185 106.632443) + (xy 134.341244 106.632813) + (xy 134.342007 106.634311) + (xy 134.344031 106.63891) + (xy 134.344031 106.638911) + (xy 134.372449 106.703494) + (xy 134.383333 106.72823) + (xy 134.397198 106.744724) + (xy 134.398029 106.745713) + (xy 134.400157 106.748434) + (xy 134.402292 106.752625) + (xy 134.410081 106.760414) + (xy 134.41373 106.764392) + (xy 134.45807 106.817141) + (xy 134.462127 106.821968) + (xy 134.485399 106.837459) + (xy 134.491836 106.842733) + (xy 134.493899 106.844232) + (xy 134.497375 106.847708) + (xy 134.501753 106.849939) + (xy 134.501756 106.849941) + (xy 134.50873 106.853494) + (xy 134.514977 106.857148) + (xy 134.564064 106.889823) + (xy 134.568313 106.891151) + (xy 134.568314 106.891151) + (xy 134.600296 106.901143) + (xy 134.610027 106.905109) + (xy 134.612799 106.906521) + (xy 134.612803 106.906522) + (xy 134.617187 106.908756) + (xy 134.627852 106.910445) + (xy 134.636713 106.91252) + (xy 134.676696 106.925012) + (xy 134.676699 106.925012) + (xy 134.680948 106.92634) + (xy 134.730069 106.927241) + (xy 134.738683 106.927999) + (xy 134.74514 106.929022) + (xy 134.745144 106.929022) + (xy 134.75 106.929791) + (xy 134.756947 106.92869) + (xy 134.767853 106.927933) + (xy 134.803383 106.928584) + (xy 134.808019 106.92732) + (xy 134.850968 106.915611) + (xy 134.898421 106.921648) + (xy 134.911601 106.931716) + (xy 135.040694 107.060809) + (xy 135.059 107.105003) + (xy 135.059 107.296482) + (xy 135.040694 107.340676) + (xy 134.9965 107.358982) + (xy 134.961777 107.348449) + (xy 134.908933 107.313141) + (xy 134.897779 107.30852) + (xy 134.847904 107.298599) + (xy 134.841823 107.298) + (xy 134.825931 107.298) + (xy 134.817141 107.301641) + (xy 134.8135 107.310431) + (xy 134.8135 109.014568) + (xy 134.817141 109.023358) + (xy 134.825931 109.026999) + (xy 134.841821 109.026999) + (xy 134.847906 109.0264) + (xy 134.897776 109.01648) + (xy 134.908934 109.011858) + (xy 134.961777 108.976551) + (xy 135.008694 108.967219) + (xy 135.048467 108.993795) + (xy 135.059 109.028518) + (xy 135.059 111.830525) + (xy 135.040694 111.874719) + (xy 135.029855 111.88338) + (xy 135.01281 111.894135) + (xy 135.007842 111.896959) + (xy 135.001757 111.900059) + (xy 135.001756 111.90006) + (xy 134.997375 111.902292) + (xy 134.993897 111.90577) + (xy 134.992445 111.906825) + (xy 134.989059 111.90912) + (xy 134.988842 111.909257) + (xy 134.97008 111.921095) + (xy 134.967137 111.924427) + (xy 134.967135 111.924429) + (xy 134.907156 111.992342) + (xy 134.906032 111.993538) + (xy 134.905775 111.993892) + (xy 134.905554 111.994113) + (xy 134.905405 111.994204) + (xy 134.904504 111.995163) + (xy 134.902292 111.997375) + (xy 134.901509 111.996592) + (xy 134.900362 111.997296) + (xy 134.901721 111.998496) + (xy 134.889018 112.012879) + (xy 134.878762 112.034724) + (xy 134.838868 112.119695) + (xy 134.838867 112.119698) + (xy 134.836976 112.123726) + (xy 134.818136 112.244724) + (xy 134.818713 112.249137) + (xy 134.818713 112.249138) + (xy 134.833298 112.360671) + (xy 134.834014 112.366145) + (xy 134.841185 112.382443) + (xy 134.841244 112.382813) + (xy 134.842007 112.384311) + (xy 134.844031 112.38891) + (xy 134.844031 112.388911) + (xy 134.880397 112.471557) + (xy 134.883333 112.47823) + (xy 134.895575 112.492794) + (xy 134.898029 112.495713) + (xy 134.900157 112.498434) + (xy 134.902292 112.502625) + (xy 134.910081 112.510414) + (xy 134.91373 112.514392) + (xy 134.947187 112.554194) + (xy 134.962127 112.571968) + (xy 134.985399 112.587459) + (xy 134.991836 112.592733) + (xy 134.993899 112.594232) + (xy 134.997375 112.597708) + (xy 135.001753 112.599939) + (xy 135.001756 112.599941) + (xy 135.00873 112.603494) + (xy 135.014983 112.607152) + (xy 135.02846 112.616123) + (xy 135.031134 112.617903) + (xy 135.057778 112.657631) + (xy 135.059 112.669929) + (xy 135.059 113.206768) + (xy 135.05775 113.214107) + (xy 135.058477 113.21419) + (xy 135.05768 113.221181) + (xy 135.055348 113.227822) + (xy 135.056126 113.234815) + (xy 135.056126 113.234816) + (xy 135.058617 113.257197) + (xy 135.059 113.26411) + (xy 135.059 113.271533) + (xy 135.060581 113.278465) + (xy 135.061757 113.285418) + (xy 135.064986 113.314429) + (xy 135.068719 113.320393) + (xy 135.070094 113.324341) + (xy 135.071903 113.3281) + (xy 135.073468 113.334959) + (xy 135.077853 113.340461) + (xy 135.077853 113.340462) + (xy 135.091648 113.357773) + (xy 135.095744 113.363559) + (xy 135.099596 113.369711) + (xy 135.104809 113.374924) + (xy 135.109494 113.380168) + (xy 135.119966 113.393309) + (xy 135.127775 113.403109) + (xy 135.134114 113.406167) + (xy 135.139615 113.410558) + (xy 135.139189 113.411092) + (xy 135.145353 113.415468) + (xy 137.224844 115.494959) + (xy 137.24315 115.539153) + (xy 137.234777 115.570403) + (xy 137.19788 115.634311) + (xy 137.193948 115.641122) + (xy 137.136947 115.816552) + (xy 137.117666 116) + (xy 137.136947 116.183448) + (xy 137.137959 116.186562) + (xy 137.137959 116.186563) + (xy 137.146985 116.214343) + (xy 137.193948 116.358878) + (xy 137.195583 116.36171) + (xy 137.195584 116.361712) + (xy 137.240062 116.43875) + (xy 137.286177 116.518623) + (xy 137.409603 116.655702) + (xy 137.558833 116.764124) + (xy 137.727344 116.83915) + (xy 137.730548 116.839831) + (xy 137.90457 116.87682) + (xy 137.904574 116.87682) + (xy 137.907771 116.8775) + (xy 138.092229 116.8775) + (xy 138.095426 116.87682) + (xy 138.09543 116.87682) + (xy 138.269452 116.839831) + (xy 138.272656 116.83915) + (xy 138.441167 116.764124) + (xy 138.590397 116.655702) + (xy 138.713823 116.518623) + (xy 138.759938 116.43875) + (xy 138.804416 116.361712) + (xy 138.804417 116.36171) + (xy 138.806052 116.358878) + (xy 138.853015 116.214343) + (xy 138.862041 116.186563) + (xy 138.862041 116.186562) + (xy 138.863053 116.183448) + (xy 138.882334 116) + (xy 138.863053 115.816552) + (xy 138.806052 115.641122) + (xy 138.801473 115.63319) + (xy 138.737105 115.521703) + (xy 138.713823 115.481377) + (xy 138.590397 115.344298) + (xy 138.441167 115.235876) + (xy 138.272656 115.16085) + (xy 138.221892 115.15006) + (xy 138.09543 115.12318) + (xy 138.095426 115.12318) + (xy 138.092229 115.1225) + (xy 137.907771 115.1225) + (xy 137.904574 115.12318) + (xy 137.90457 115.12318) + (xy 137.778108 115.15006) + (xy 137.727344 115.16085) + (xy 137.56151 115.234684) + (xy 137.513692 115.235936) + (xy 137.491896 115.221781) + (xy 135.935767 113.665652) + (xy 137.429299 113.665652) + (xy 137.431516 113.671004) + (xy 137.556432 113.761761) + (xy 137.562076 113.76502) + (xy 137.72451 113.83734) + (xy 137.730703 113.839352) + (xy 137.904623 113.87632) + (xy 137.911095 113.877) + (xy 138.088905 113.877) + (xy 138.095377 113.87632) + (xy 138.269297 113.839352) + (xy 138.27549 113.83734) + (xy 138.437924 113.76502) + (xy 138.443568 113.761761) + (xy 138.565793 113.672959) + (xy 138.570764 113.664847) + (xy 138.569411 113.659213) + (xy 138.008791 113.098593) + (xy 138 113.094952) + (xy 137.991209 113.098593) + (xy 137.43294 113.656862) + (xy 137.429299 113.665652) + (xy 135.935767 113.665652) + (xy 135.459306 113.189191) + (xy 135.441 113.144997) + (xy 135.441 113.003254) + (xy 137.118511 113.003254) + (xy 137.137097 113.180087) + (xy 137.138451 113.186458) + (xy 137.193395 113.35556) + (xy 137.196045 113.361511) + (xy 137.284946 113.51549) + (xy 137.288773 113.520758) + (xy 137.32815 113.564491) + (xy 137.335867 113.568171) + (xy 137.345863 113.564334) + (xy 137.901407 113.00879) + (xy 137.905048 113) + (xy 138.094952 113) + (xy 138.098593 113.00879) + (xy 138.654742 113.564939) + (xy 138.662642 113.568211) + (xy 138.672421 113.563857) + (xy 138.711227 113.520758) + (xy 138.715054 113.51549) + (xy 138.803955 113.361511) + (xy 138.806605 113.35556) + (xy 138.861549 113.186458) + (xy 138.862903 113.180087) + (xy 138.881489 113.003254) + (xy 138.881489 112.996746) + (xy 138.862903 112.819913) + (xy 138.861549 112.813542) + (xy 138.806605 112.64444) + (xy 138.803955 112.638489) + (xy 138.715054 112.48451) + (xy 138.711227 112.479242) + (xy 138.67185 112.435509) + (xy 138.664133 112.431829) + (xy 138.654137 112.435666) + (xy 138.098593 112.99121) + (xy 138.094952 113) + (xy 137.905048 113) + (xy 137.901407 112.99121) + (xy 137.345258 112.435061) + (xy 137.337358 112.431789) + (xy 137.327579 112.436143) + (xy 137.288773 112.479242) + (xy 137.284946 112.48451) + (xy 137.196045 112.638489) + (xy 137.193395 112.64444) + (xy 137.138451 112.813542) + (xy 137.137097 112.819913) + (xy 137.118511 112.996746) + (xy 137.118511 113.003254) + (xy 135.441 113.003254) + (xy 135.441 112.669384) + (xy 135.459306 112.62519) + (xy 135.470797 112.616123) + (xy 135.488686 112.605139) + (xy 135.489713 112.604508) + (xy 135.494034 112.602085) + (xy 135.502625 112.597708) + (xy 135.505427 112.594906) + (xy 135.506264 112.594346) + (xy 135.508891 112.592733) + (xy 135.525881 112.582301) + (xy 135.608058 112.491513) + (xy 135.661451 112.381311) + (xy 135.6625 112.375081) + (xy 135.669217 112.335154) + (xy 137.429235 112.335154) + (xy 137.430587 112.340785) + (xy 137.991209 112.901407) + (xy 138 112.905048) + (xy 138.008791 112.901407) + (xy 138.56706 112.343138) + (xy 138.570701 112.334348) + (xy 138.568484 112.328996) + (xy 138.443568 112.238239) + (xy 138.437924 112.23498) + (xy 138.27549 112.16266) + (xy 138.269297 112.160648) + (xy 138.095377 112.12368) + (xy 138.088905 112.123) + (xy 137.911095 112.123) + (xy 137.904623 112.12368) + (xy 137.730703 112.160648) + (xy 137.72451 112.16266) + (xy 137.562073 112.234982) + (xy 137.55644 112.238234) + (xy 137.434206 112.327042) + (xy 137.429235 112.335154) + (xy 135.669217 112.335154) + (xy 135.677528 112.28575) + (xy 135.681767 112.260552) + (xy 135.681896 112.25) + (xy 135.664536 112.128781) + (xy 135.613852 112.017307) + (xy 135.599199 112.000302) + (xy 135.597708 111.997375) + (xy 135.5919 111.991567) + (xy 135.588746 111.98817) + (xy 135.545054 111.937463) + (xy 135.533918 111.924539) + (xy 135.513741 111.911461) + (xy 135.510155 111.908559) + (xy 135.510081 111.908661) + (xy 135.506106 111.905773) + (xy 135.502625 111.902292) + (xy 135.491343 111.896543) + (xy 135.485735 111.893308) + (xy 135.469505 111.882788) + (xy 135.442377 111.843389) + (xy 135.441 111.830342) + (xy 135.441 109.024442) + (xy 135.459306 108.980248) + (xy 135.463411 108.976879) + (xy 135.465876 108.974414) + (xy 135.470994 108.970994) + (xy 135.515751 108.904012) + (xy 135.52343 108.865405) + (xy 135.526901 108.847957) + (xy 135.526901 108.847954) + (xy 135.5275 108.844944) + (xy 135.5275 107.480056) + (xy 135.515751 107.420988) + (xy 135.470994 107.354006) + (xy 135.465876 107.350586) + (xy 135.461524 107.346234) + (xy 135.463352 107.344406) + (xy 135.442201 107.312752) + (xy 135.441 107.300558) + (xy 135.441 107.043234) + (xy 135.442253 107.035893) + (xy 135.441524 107.03581) + (xy 135.442321 107.028819) + (xy 135.444653 107.022178) + (xy 135.443807 107.014569) + (xy 135.441383 106.992794) + (xy 135.441 106.985881) + (xy 135.441 106.978467) + (xy 135.439421 106.971544) + (xy 135.438245 106.964591) + (xy 135.435015 106.935571) + (xy 135.431281 106.929607) + (xy 135.42991 106.92567) + (xy 135.428097 106.921904) + (xy 135.426532 106.915041) + (xy 135.408354 106.892229) + (xy 135.40426 106.886446) + (xy 135.402284 106.88329) + (xy 135.402279 106.883284) + (xy 135.400404 106.880289) + (xy 135.395191 106.875076) + (xy 135.390506 106.869832) + (xy 135.376612 106.852396) + (xy 135.376611 106.852396) + (xy 135.372225 106.846891) + (xy 135.365886 106.843833) + (xy 135.360385 106.839442) + (xy 135.360811 106.838908) + (xy 135.354647 106.834532) + (xy 135.182793 106.662678) + (xy 135.164487 106.618484) + (xy 135.165353 106.608115) + (xy 135.181767 106.510552) + (xy 135.181896 106.5) + (xy 135.164536 106.378781) + (xy 135.113852 106.267307) + (xy 135.099199 106.250302) + (xy 135.097708 106.247375) + (xy 135.0919 106.241567) + (xy 135.088746 106.23817) + (xy 135.036826 106.177914) + (xy 135.033918 106.174539) + (xy 135.013741 106.161461) + (xy 135.010155 106.158559) + (xy 135.010081 106.158661) + (xy 135.006106 106.155773) + (xy 135.002625 106.152292) + (xy 134.991343 106.146543) + (xy 134.985735 106.143308) + (xy 134.969505 106.132788) + (xy 134.942377 106.093389) + (xy 134.941 106.080342) + (xy 134.941 104.005899) + (xy 134.941043 103.956844) + (xy 134.941043 103.956596) + (xy 134.941007 103.95652) + (xy 134.941 103.956461) + (xy 134.941 102.669384) + (xy 134.959306 102.62519) + (xy 134.970797 102.616123) + (xy 134.989712 102.604509) + (xy 134.994034 102.602085) + (xy 135.002625 102.597708) + (xy 135.005427 102.594906) + (xy 135.006264 102.594346) + (xy 135.011316 102.591244) + (xy 135.025881 102.582301) + (xy 135.108058 102.491513) + (xy 135.161451 102.381311) + (xy 135.163317 102.370224) + (xy 135.181367 102.262928) + (xy 135.181767 102.260552) + (xy 135.181896 102.25) + (xy 135.171022 102.174069) + (xy 135.798 102.174069) + (xy 135.801641 102.182859) + (xy 135.810431 102.1865) + (xy 136.586569 102.1865) + (xy 136.595359 102.182859) + (xy 136.599 102.174069) + (xy 136.726 102.174069) + (xy 136.729641 102.182859) + (xy 136.738431 102.1865) + (xy 137.514568 102.1865) + (xy 137.523358 102.182859) + (xy 137.526999 102.174069) + (xy 137.526999 102.158179) + (xy 137.5264 102.152094) + (xy 137.51648 102.102224) + (xy 137.511857 102.091063) + (xy 137.474213 102.034722) + (xy 137.464881 101.987806) + (xy 137.474213 101.965278) + (xy 137.511858 101.908936) + (xy 137.51648 101.897779) + (xy 137.526401 101.847904) + (xy 137.527 101.841823) + (xy 137.527 101.825931) + (xy 137.523359 101.817141) + (xy 137.514569 101.8135) + (xy 136.738431 101.8135) + (xy 136.729641 101.817141) + (xy 136.726 101.825931) + (xy 136.726 102.174069) + (xy 136.599 102.174069) + (xy 136.599 101.825931) + (xy 136.595359 101.817141) + (xy 136.586569 101.8135) + (xy 135.810432 101.8135) + (xy 135.801642 101.817141) + (xy 135.798001 101.825931) + (xy 135.798001 101.841821) + (xy 135.7986 101.847906) + (xy 135.80852 101.897776) + (xy 135.813143 101.908937) + (xy 135.850787 101.965278) + (xy 135.860119 102.012194) + (xy 135.850787 102.034722) + (xy 135.813142 102.091064) + (xy 135.80852 102.102221) + (xy 135.798599 102.152096) + (xy 135.798 102.158177) + (xy 135.798 102.174069) + (xy 135.171022 102.174069) + (xy 135.166061 102.139432) + (xy 135.177917 102.093091) + (xy 135.183736 102.086379) + (xy 135.697749 101.572366) + (xy 135.741943 101.55406) + (xy 135.786137 101.572366) + (xy 135.804443 101.61656) + (xy 135.803242 101.628753) + (xy 135.798599 101.652094) + (xy 135.798 101.658177) + (xy 135.798 101.674069) + (xy 135.801641 101.682859) + (xy 135.810431 101.6865) + (xy 137.514568 101.6865) + (xy 137.523358 101.682859) + (xy 137.526999 101.674069) + (xy 137.526999 101.658179) + (xy 137.5264 101.652094) + (xy 137.51648 101.602224) + (xy 137.511858 101.591066) + (xy 137.474513 101.535173) + (xy 137.465181 101.488256) + (xy 137.474514 101.465726) + (xy 137.488195 101.445252) + (xy 137.515751 101.404012) + (xy 137.518024 101.392586) + (xy 137.526901 101.347957) + (xy 137.526901 101.347954) + (xy 137.5275 101.344944) + (xy 137.5275 101.155056) + (xy 137.518438 101.109494) + (xy 137.516952 101.102025) + (xy 137.516952 101.102024) + (xy 137.515751 101.095988) + (xy 137.470994 101.029006) + (xy 137.404012 100.984249) + (xy 137.397976 100.983048) + (xy 137.397975 100.983048) + (xy 137.347957 100.973099) + (xy 137.347954 100.973099) + (xy 137.344944 100.9725) + (xy 135.980056 100.9725) + (xy 135.977046 100.973099) + (xy 135.977043 100.973099) + (xy 135.927025 100.983048) + (xy 135.927024 100.983048) + (xy 135.920988 100.984249) + (xy 135.854006 101.029006) + (xy 135.850587 101.034123) + (xy 135.846234 101.038476) + (xy 135.844406 101.036648) + (xy 135.812752 101.057799) + (xy 135.800558 101.059) + (xy 135.793234 101.059) + (xy 135.785893 101.057747) + (xy 135.78581 101.058476) + (xy 135.778819 101.057679) + (xy 135.772178 101.055347) + (xy 135.765186 101.056125) + (xy 135.765184 101.056125) + (xy 135.742795 101.058617) + (xy 135.735882 101.059) + (xy 135.728467 101.059) + (xy 135.721538 101.06058) + (xy 135.714595 101.061755) + (xy 135.685572 101.064985) + (xy 135.679606 101.06872) + (xy 135.675667 101.070092) + (xy 135.671904 101.071903) + (xy 135.665041 101.073468) + (xy 135.659537 101.077854) + (xy 135.642227 101.091648) + (xy 135.636441 101.095744) + (xy 135.633285 101.09772) + (xy 135.630289 101.099596) + (xy 135.625076 101.104809) + (xy 135.619832 101.109494) + (xy 135.596891 101.127775) + (xy 135.593833 101.134114) + (xy 135.589442 101.139615) + (xy 135.588908 101.139189) + (xy 135.584532 101.145353) + (xy 134.911953 101.817932) + (xy 134.867759 101.836238) + (xy 134.849851 101.833618) + (xy 134.833008 101.828581) + (xy 134.813838 101.822848) + (xy 134.769496 101.822577) + (xy 134.760103 101.821809) + (xy 134.754857 101.820978) + (xy 134.754856 101.820978) + (xy 134.75 101.820209) + (xy 134.741373 101.821576) + (xy 134.73122 101.822344) + (xy 134.712836 101.822231) + (xy 134.695838 101.822127) + (xy 134.695836 101.822127) + (xy 134.691385 101.8221) + (xy 134.637011 101.837641) + (xy 134.629623 101.839275) + (xy 134.617187 101.841244) + (xy 134.612804 101.843477) + (xy 134.61187 101.843781) + (xy 134.602156 101.847603) + (xy 134.600916 101.847957) + (xy 134.577929 101.854526) + (xy 134.577927 101.854527) + (xy 134.573644 101.855751) + (xy 134.514119 101.893309) + (xy 134.512819 101.894129) + (xy 134.507842 101.896959) + (xy 134.501757 101.900059) + (xy 134.501756 101.90006) + (xy 134.497375 101.902292) + (xy 134.493897 101.90577) + (xy 134.492445 101.906825) + (xy 134.489059 101.90912) + (xy 134.487794 101.909918) + (xy 134.47008 101.921095) + (xy 134.467137 101.924427) + (xy 134.467135 101.924429) + (xy 134.407156 101.992342) + (xy 134.406032 101.993538) + (xy 134.405775 101.993892) + (xy 134.405554 101.994113) + (xy 134.405405 101.994204) + (xy 134.404504 101.995163) + (xy 134.402292 101.997375) + (xy 134.401509 101.996592) + (xy 134.400362 101.997296) + (xy 134.401721 101.998496) + (xy 134.389018 102.012879) + (xy 134.378763 102.034722) + (xy 134.338868 102.119695) + (xy 134.338867 102.119698) + (xy 134.336976 102.123726) + (xy 134.318136 102.244724) + (xy 134.318713 102.249137) + (xy 134.318713 102.249138) + (xy 134.332512 102.354659) + (xy 134.334014 102.366145) + (xy 134.341185 102.382443) + (xy 134.341244 102.382813) + (xy 134.342007 102.384311) + (xy 134.344031 102.38891) + (xy 134.344031 102.388911) + (xy 134.380812 102.4725) + (xy 134.383333 102.47823) + (xy 134.397272 102.494813) + (xy 134.398029 102.495713) + (xy 134.400157 102.498434) + (xy 134.402292 102.502625) + (xy 134.410081 102.510414) + (xy 134.413729 102.514391) + (xy 134.462127 102.571968) + (xy 134.485399 102.587459) + (xy 134.491836 102.592733) + (xy 134.493899 102.594232) + (xy 134.497375 102.597708) + (xy 134.501753 102.599939) + (xy 134.501756 102.599941) + (xy 134.50873 102.603494) + (xy 134.514983 102.607152) + (xy 134.52846 102.616123) + (xy 134.531134 102.617903) + (xy 134.557778 102.657631) + (xy 134.559 102.669929) + (xy 134.559 103.7465) + (xy 134.540694 103.790694) + (xy 134.4965 103.809) + (xy 130.5035 103.809) + (xy 130.459306 103.790694) + (xy 130.441 103.7465) + (xy 130.441 102.669384) + (xy 130.459306 102.62519) + (xy 130.470797 102.616123) + (xy 130.489712 102.604509) + (xy 130.494034 102.602085) + (xy 130.502625 102.597708) + (xy 130.505427 102.594906) + (xy 130.506264 102.594346) + (xy 130.511316 102.591244) + (xy 130.525881 102.582301) + (xy 130.608058 102.491513) + (xy 130.661451 102.381311) + (xy 130.663317 102.370224) + (xy 130.681367 102.262928) + (xy 130.681767 102.260552) + (xy 130.681896 102.25) + (xy 130.664536 102.128781) + (xy 130.645378 102.086645) + (xy 130.64375 102.038837) + (xy 130.676404 102.003881) + (xy 130.724212 102.002253) + (xy 130.746467 102.016582) + (xy 130.816772 102.086887) + (xy 130.835078 102.131081) + (xy 130.834334 102.140691) + (xy 130.818136 102.244724) + (xy 130.818713 102.249137) + (xy 130.818713 102.249138) + (xy 130.832512 102.354659) + (xy 130.834014 102.366145) + (xy 130.841185 102.382443) + (xy 130.841244 102.382813) + (xy 130.842007 102.384311) + (xy 130.844031 102.38891) + (xy 130.844031 102.388911) + (xy 130.880812 102.4725) + (xy 130.883333 102.47823) + (xy 130.897272 102.494813) + (xy 130.898029 102.495713) + (xy 130.900157 102.498434) + (xy 130.902292 102.502625) + (xy 130.910081 102.510414) + (xy 130.913729 102.514391) + (xy 130.962127 102.571968) + (xy 130.985399 102.587459) + (xy 130.991836 102.592733) + (xy 130.993899 102.594232) + (xy 130.997375 102.597708) + (xy 131.001753 102.599939) + (xy 131.001756 102.599941) + (xy 131.00873 102.603494) + (xy 131.014977 102.607148) + (xy 131.064064 102.639823) + (xy 131.068313 102.641151) + (xy 131.068314 102.641151) + (xy 131.100296 102.651143) + (xy 131.110027 102.655109) + (xy 131.112799 102.656521) + (xy 131.112803 102.656522) + (xy 131.117187 102.658756) + (xy 131.127852 102.660445) + (xy 131.136713 102.66252) + (xy 131.176696 102.675012) + (xy 131.176699 102.675012) + (xy 131.180948 102.67634) + (xy 131.230069 102.677241) + (xy 131.238683 102.677999) + (xy 131.24514 102.679022) + (xy 131.245144 102.679022) + (xy 131.25 102.679791) + (xy 131.256947 102.67869) + (xy 131.267853 102.677933) + (xy 131.303383 102.678584) + (xy 131.363532 102.662185) + (xy 131.37019 102.660755) + (xy 131.372147 102.660445) + (xy 131.382813 102.658756) + (xy 131.387196 102.656522) + (xy 131.391875 102.655002) + (xy 131.391878 102.65501) + (xy 131.396727 102.653136) + (xy 131.421527 102.646375) + (xy 131.426521 102.643309) + (xy 131.489709 102.604511) + (xy 131.494037 102.602085) + (xy 131.49824 102.599944) + (xy 131.498248 102.599938) + (xy 131.502625 102.597708) + (xy 131.505427 102.594906) + (xy 131.506264 102.594346) + (xy 131.511316 102.591244) + (xy 131.525881 102.582301) + (xy 131.608058 102.491513) + (xy 131.661451 102.381311) + (xy 131.663317 102.370224) + (xy 131.681367 102.262928) + (xy 131.681767 102.260552) + (xy 131.681896 102.25) + (xy 131.664536 102.128781) + (xy 131.613852 102.017307) + (xy 131.599199 102.000302) + (xy 131.597708 101.997375) + (xy 131.5919 101.991567) + (xy 131.588746 101.98817) + (xy 131.536826 101.927914) + (xy 131.533918 101.924539) + (xy 131.513741 101.911461) + (xy 131.510155 101.908559) + (xy 131.510081 101.908661) + (xy 131.506106 101.905773) + (xy 131.502625 101.902292) + (xy 131.491343 101.896543) + (xy 131.485736 101.893309) + (xy 131.434893 101.860354) + (xy 131.43489 101.860353) + (xy 131.43116 101.857935) + (xy 131.426901 101.856661) + (xy 131.426898 101.85666) + (xy 131.408345 101.851112) + (xy 131.398839 101.848269) + (xy 131.388377 101.844079) + (xy 131.382813 101.841244) + (xy 131.371067 101.839384) + (xy 131.362951 101.837536) + (xy 131.34985 101.833618) + (xy 131.313838 101.822848) + (xy 131.269496 101.822577) + (xy 131.260103 101.821809) + (xy 131.254857 101.820978) + (xy 131.254856 101.820978) + (xy 131.25 101.820209) + (xy 131.241373 101.821576) + (xy 131.23122 101.822344) + (xy 131.212836 101.822231) + (xy 131.195838 101.822127) + (xy 131.195836 101.822127) + (xy 131.191385 101.8221) + (xy 131.14962 101.834037) + (xy 131.102099 101.828581) + (xy 131.088252 101.818137) + (xy 130.915628 101.645513) + (xy 130.911323 101.639439) + (xy 130.91075 101.639895) + (xy 130.906369 101.634387) + (xy 130.903322 101.628042) + (xy 130.880239 101.609582) + (xy 130.87508 101.604965) + (xy 130.869831 101.599716) + (xy 130.863828 101.595943) + (xy 130.858052 101.591838) + (xy 130.835267 101.573617) + (xy 130.828407 101.57204) + (xy 130.824647 101.570222) + (xy 130.820711 101.568844) + (xy 130.814752 101.565098) + (xy 130.807758 101.564307) + (xy 130.807757 101.564307) + (xy 130.785764 101.561821) + (xy 130.778787 101.560629) + (xy 130.771703 101.559) + (xy 130.764329 101.559) + (xy 130.757308 101.558604) + (xy 130.755627 101.558414) + (xy 130.728162 101.555309) + (xy 130.721517 101.55763) + (xy 130.714523 101.558414) + (xy 130.714447 101.557736) + (xy 130.706995 101.559) + (xy 129.204119 101.559) + (xy 129.159925 101.540694) + (xy 129.141619 101.4965) + (xy 129.152153 101.461776) + (xy 129.152441 101.461346) + (xy 129.190751 101.404012) + (xy 129.193024 101.392586) + (xy 129.201901 101.347957) + (xy 129.201901 101.347954) + (xy 129.2025 101.344944) + (xy 129.2025 101.155056) + (xy 129.193438 101.109494) + (xy 129.191952 101.102025) + (xy 129.191952 101.102024) + (xy 129.190751 101.095988) + (xy 129.145994 101.029006) + (xy 129.079012 100.984249) + (xy 129.072976 100.983048) + (xy 129.072975 100.983048) + (xy 129.022957 100.973099) + (xy 129.022954 100.973099) + (xy 129.019944 100.9725) + (xy 128.591 100.9725) + (xy 128.546806 100.954194) + (xy 128.5285 100.91) + (xy 128.5285 100.603493) + (xy 128.544663 100.561551) + (xy 128.568946 100.534724) + (xy 128.585151 100.516821) + (xy 129.473001 100.516821) + (xy 129.4736 100.522906) + (xy 129.48352 100.572776) + (xy 129.488143 100.583937) + (xy 129.525945 100.640514) + (xy 129.534486 100.649055) + (xy 129.591064 100.686858) + (xy 129.602221 100.69148) + (xy 129.652096 100.701401) + (xy 129.658177 100.702) + (xy 129.674069 100.702) + (xy 129.682859 100.698359) + (xy 129.6865 100.689569) + (xy 129.6865 100.689568) + (xy 129.8135 100.689568) + (xy 129.817141 100.698358) + (xy 129.825931 100.701999) + (xy 129.841821 100.701999) + (xy 129.847906 100.7014) + (xy 129.897776 100.69148) + (xy 129.908937 100.686857) + (xy 129.965278 100.649213) + (xy 130.012194 100.639881) + (xy 130.034722 100.649213) + (xy 130.091064 100.686858) + (xy 130.102221 100.69148) + (xy 130.152096 100.701401) + (xy 130.158177 100.702) + (xy 130.174069 100.702) + (xy 130.182859 100.698359) + (xy 130.1865 100.689569) + (xy 130.1865 99.913431) + (xy 130.182859 99.904641) + (xy 130.174069 99.901) + (xy 129.825931 99.901) + (xy 129.817141 99.904641) + (xy 129.8135 99.913431) + (xy 129.8135 100.689568) + (xy 129.6865 100.689568) + (xy 129.6865 99.913431) + (xy 129.682859 99.904641) + (xy 129.674069 99.901) + (xy 129.485432 99.901) + (xy 129.476642 99.904641) + (xy 129.473001 99.913431) + (xy 129.473001 100.516821) + (xy 128.585151 100.516821) + (xy 128.608058 100.491513) + (xy 128.661451 100.381311) + (xy 128.663317 100.370224) + (xy 128.680092 100.270509) + (xy 128.681767 100.260552) + (xy 128.681896 100.25) + (xy 128.664536 100.128781) + (xy 128.613852 100.017307) + (xy 128.599199 100.000302) + (xy 128.597708 99.997375) + (xy 128.5919 99.991567) + (xy 128.588746 99.98817) + (xy 128.536826 99.927914) + (xy 128.533918 99.924539) + (xy 128.513741 99.911461) + (xy 128.510155 99.908559) + (xy 128.510081 99.908661) + (xy 128.506106 99.905773) + (xy 128.502625 99.902292) + (xy 128.491343 99.896543) + (xy 128.485736 99.893309) + (xy 128.434893 99.860354) + (xy 128.43489 99.860353) + (xy 128.43116 99.857935) + (xy 128.426901 99.856661) + (xy 128.426898 99.85666) + (xy 128.399139 99.848359) + (xy 128.398839 99.848269) + (xy 128.388377 99.844079) + (xy 128.382813 99.841244) + (xy 128.371067 99.839384) + (xy 128.362951 99.837536) + (xy 128.313838 99.822848) + (xy 128.269496 99.822577) + (xy 128.260103 99.821809) + (xy 128.254857 99.820978) + (xy 128.254856 99.820978) + (xy 128.25 99.820209) + (xy 128.241373 99.821576) + (xy 128.23122 99.822344) + (xy 128.212836 99.822231) + (xy 128.195838 99.822127) + (xy 128.195836 99.822127) + (xy 128.191385 99.8221) + (xy 128.137011 99.837641) + (xy 128.129623 99.839275) + (xy 128.117187 99.841244) + (xy 128.112804 99.843477) + (xy 128.11187 99.843781) + (xy 128.102156 99.847603) + (xy 128.099821 99.84827) + (xy 128.077929 99.854526) + (xy 128.077927 99.854527) + (xy 128.073644 99.855751) + (xy 128.014119 99.893309) + (xy 128.012819 99.894129) + (xy 128.007842 99.896959) + (xy 128.001757 99.900059) + (xy 128.001756 99.90006) + (xy 127.997375 99.902292) + (xy 127.993897 99.90577) + (xy 127.992445 99.906825) + (xy 127.989058 99.90912) + (xy 127.97008 99.921095) + (xy 127.967137 99.924427) + (xy 127.967135 99.924429) + (xy 127.907156 99.992342) + (xy 127.906032 99.993538) + (xy 127.905775 99.993892) + (xy 127.905554 99.994113) + (xy 127.905405 99.994204) + (xy 127.904504 99.995163) + (xy 127.902292 99.997375) + (xy 127.901509 99.996592) + (xy 127.900362 99.997296) + (xy 127.901721 99.998496) + (xy 127.889018 100.012879) + (xy 127.879422 100.033317) + (xy 127.838868 100.119695) + (xy 127.838867 100.119698) + (xy 127.836976 100.123726) + (xy 127.818136 100.244724) + (xy 127.818713 100.249137) + (xy 127.818713 100.249138) + (xy 127.833436 100.361726) + (xy 127.834014 100.366145) + (xy 127.841185 100.382443) + (xy 127.841244 100.382813) + (xy 127.842007 100.384311) + (xy 127.844031 100.38891) + (xy 127.844031 100.388911) + (xy 127.86591 100.438633) + (xy 127.883333 100.47823) + (xy 127.897272 100.494813) + (xy 127.898029 100.495713) + (xy 127.900157 100.498434) + (xy 127.902292 100.502625) + (xy 127.910081 100.510414) + (xy 127.913729 100.514391) + (xy 127.962127 100.571968) + (xy 127.985399 100.587459) + (xy 127.991836 100.592733) + (xy 127.993899 100.594232) + (xy 127.997375 100.597708) + (xy 128.001753 100.599939) + (xy 128.001756 100.599941) + (xy 128.00873 100.603494) + (xy 128.014977 100.607148) + (xy 128.064064 100.639823) + (xy 128.068313 100.641151) + (xy 128.068314 100.641151) + (xy 128.100296 100.651143) + (xy 128.110016 100.655103) + (xy 128.112359 100.656296) + (xy 128.143436 100.692662) + (xy 128.1465 100.711992) + (xy 128.1465 100.91) + (xy 128.128194 100.954194) + (xy 128.084 100.9725) + (xy 127.655056 100.9725) + (xy 127.652046 100.973099) + (xy 127.652043 100.973099) + (xy 127.602025 100.983048) + (xy 127.602024 100.983048) + (xy 127.595988 100.984249) + (xy 127.529006 101.029006) + (xy 127.484249 101.095988) + (xy 127.483048 101.102024) + (xy 127.483048 101.102025) + (xy 127.481563 101.109494) + (xy 127.4725 101.155056) + (xy 127.4725 101.344944) + (xy 127.473099 101.347954) + (xy 127.473099 101.347957) + (xy 127.481976 101.392586) + (xy 127.484249 101.404012) + (xy 127.525186 101.465278) + (xy 127.534518 101.512193) + (xy 127.525187 101.534721) + (xy 127.484249 101.595988) + (xy 127.483048 101.602024) + (xy 127.483048 101.602025) + (xy 127.475516 101.639895) + (xy 127.4725 101.655056) + (xy 127.4725 101.844944) + (xy 127.473099 101.847954) + (xy 127.473099 101.847957) + (xy 127.483009 101.897779) + (xy 127.484249 101.904012) + (xy 127.525186 101.965278) + (xy 127.534518 102.012193) + (xy 127.525187 102.034721) + (xy 127.484249 102.095988) + (xy 127.483048 102.102024) + (xy 127.483048 102.102025) + (xy 127.477857 102.128126) + (xy 127.4725 102.155056) + (xy 127.4725 102.344944) + (xy 127.473099 102.347954) + (xy 127.473099 102.347957) + (xy 127.483009 102.397779) + (xy 127.484249 102.404012) + (xy 127.525186 102.465278) + (xy 127.534518 102.512193) + (xy 127.525187 102.534721) + (xy 127.484249 102.595988) + (xy 127.483048 102.602024) + (xy 127.483048 102.602025) + (xy 127.473494 102.65006) + (xy 127.4725 102.655056) + (xy 127.4725 102.844944) + (xy 127.484249 102.904012) + (xy 127.520033 102.957565) + (xy 127.525486 102.965726) + (xy 127.534819 103.012642) + (xy 127.525487 103.035173) + (xy 127.488141 103.091067) + (xy 127.48352 103.102221) + (xy 127.473599 103.152096) + (xy 127.473 103.158177) + (xy 127.473 103.174069) + (xy 127.476641 103.182859) + (xy 127.485431 103.1865) + (xy 129.189568 103.1865) + (xy 129.198358 103.182859) + (xy 129.201999 103.174069) + (xy 129.201999 103.158179) + (xy 129.2014 103.152094) + (xy 129.19148 103.102224) + (xy 129.186858 103.091066) + (xy 129.149513 103.035173) + (xy 129.140181 102.988256) + (xy 129.149514 102.965726) + (xy 129.154967 102.957565) + (xy 129.190751 102.904012) + (xy 129.2025 102.844944) + (xy 129.2025 102.655056) + (xy 129.201507 102.65006) + (xy 129.191952 102.602025) + (xy 129.191952 102.602024) + (xy 129.190751 102.595988) + (xy 129.152153 102.538223) + (xy 129.14282 102.491308) + (xy 129.169395 102.451534) + (xy 129.204119 102.441) + (xy 129.826187 102.441) + (xy 129.870381 102.459306) + (xy 129.879248 102.470473) + (xy 129.88154 102.474155) + (xy 129.883333 102.47823) + (xy 129.897272 102.494813) + (xy 129.898029 102.495713) + (xy 129.900157 102.498434) + (xy 129.902292 102.502625) + (xy 129.910081 102.510414) + (xy 129.913729 102.514391) + (xy 129.962127 102.571968) + (xy 129.985399 102.587459) + (xy 129.991836 102.592733) + (xy 129.993899 102.594232) + (xy 129.997375 102.597708) + (xy 130.001753 102.599939) + (xy 130.001756 102.599941) + (xy 130.00873 102.603494) + (xy 130.014983 102.607152) + (xy 130.02846 102.616123) + (xy 130.031134 102.617903) + (xy 130.057778 102.657631) + (xy 130.059 102.669929) + (xy 130.059 103.994101) + (xy 130.058962 104.038224) + (xy 130.058957 104.043404) + (xy 130.058993 104.04348) + (xy 130.059 104.043539) + (xy 130.059 105.4965) + (xy 130.040694 105.540694) + (xy 129.9965 105.559) + (xy 129.204119 105.559) + (xy 129.159925 105.540694) + (xy 129.141619 105.4965) + (xy 129.152153 105.461776) + (xy 129.153804 105.459306) + (xy 129.190751 105.404012) + (xy 129.191991 105.397779) + (xy 129.201901 105.347957) + (xy 129.201901 105.347954) + (xy 129.2025 105.344944) + (xy 129.2025 105.155056) + (xy 129.201007 105.147546) + (xy 129.191952 105.102025) + (xy 129.191952 105.102024) + (xy 129.190751 105.095988) + (xy 129.149814 105.034722) + (xy 129.140482 104.987807) + (xy 129.149813 104.965279) + (xy 129.190751 104.904012) + (xy 129.191991 104.897779) + (xy 129.201901 104.847957) + (xy 129.201901 104.847954) + (xy 129.2025 104.844944) + (xy 129.2025 104.655056) + (xy 129.199345 104.639191) + (xy 129.191952 104.602025) + (xy 129.191952 104.602024) + (xy 129.190751 104.595988) + (xy 129.149814 104.534722) + (xy 129.140482 104.487807) + (xy 129.149813 104.465279) + (xy 129.190751 104.404012) + (xy 129.192717 104.394129) + (xy 129.201901 104.347957) + (xy 129.201901 104.347954) + (xy 129.2025 104.344944) + (xy 129.2025 104.155056) + (xy 129.201007 104.147546) + (xy 129.191952 104.102025) + (xy 129.191952 104.102024) + (xy 129.190751 104.095988) + (xy 129.149514 104.034274) + (xy 129.140181 103.987358) + (xy 129.149513 103.964827) + (xy 129.186859 103.908933) + (xy 129.19148 103.897779) + (xy 129.201401 103.847904) + (xy 129.202 103.841823) + (xy 129.202 103.825931) + (xy 129.198359 103.817141) + (xy 129.189569 103.8135) + (xy 127.485432 103.8135) + (xy 127.476642 103.817141) + (xy 127.473001 103.825931) + (xy 127.473001 103.841821) + (xy 127.4736 103.847906) + (xy 127.48352 103.897776) + (xy 127.488142 103.908934) + (xy 127.523449 103.961777) + (xy 127.532781 104.008694) + (xy 127.506205 104.048467) + (xy 127.471482 104.059) + (xy 126.923049 104.059) + (xy 126.878855 104.040694) + (xy 126.866156 104.022373) + (xy 126.865695 104.02136) + (xy 126.865694 104.021359) + (xy 126.863852 104.017307) + (xy 126.849199 104.000302) + (xy 126.847708 103.997375) + (xy 126.8419 103.991567) + (xy 126.838746 103.98817) + (xy 126.81154 103.956596) + (xy 126.783918 103.924539) + (xy 126.763741 103.911461) + (xy 126.760155 103.908559) + (xy 126.760081 103.908661) + (xy 126.756106 103.905773) + (xy 126.752625 103.902292) + (xy 126.741343 103.896543) + (xy 126.735736 103.893309) + (xy 126.723408 103.885318) + (xy 126.713322 103.878781) + (xy 126.684893 103.860354) + (xy 126.68489 103.860353) + (xy 126.68116 103.857935) + (xy 126.676901 103.856661) + (xy 126.676898 103.85666) + (xy 126.658345 103.851112) + (xy 126.648839 103.848269) + (xy 126.638377 103.844079) + (xy 126.632813 103.841244) + (xy 126.621067 103.839384) + (xy 126.612951 103.837536) + (xy 126.563838 103.822848) + (xy 126.519496 103.822577) + (xy 126.510103 103.821809) + (xy 126.504857 103.820978) + (xy 126.504856 103.820978) + (xy 126.5 103.820209) + (xy 126.491373 103.821576) + (xy 126.48122 103.822344) + (xy 126.462836 103.822231) + (xy 126.445838 103.822127) + (xy 126.445836 103.822127) + (xy 126.441385 103.8221) + (xy 126.387011 103.837641) + (xy 126.379623 103.839275) + (xy 126.367187 103.841244) + (xy 126.362804 103.843477) + (xy 126.36187 103.843781) + (xy 126.352156 103.847603) + (xy 126.350916 103.847957) + (xy 126.327929 103.854526) + (xy 126.327927 103.854527) + (xy 126.323644 103.855751) + (xy 126.264119 103.893309) + (xy 126.262819 103.894129) + (xy 126.257842 103.896959) + (xy 126.251757 103.900059) + (xy 126.251756 103.90006) + (xy 126.247375 103.902292) + (xy 126.243897 103.90577) + (xy 126.242445 103.906825) + (xy 126.239059 103.90912) + (xy 126.239043 103.90913) + (xy 126.22008 103.921095) + (xy 126.217137 103.924427) + (xy 126.217135 103.924429) + (xy 126.157156 103.992342) + (xy 126.156032 103.993538) + (xy 126.155775 103.993892) + (xy 126.155554 103.994113) + (xy 126.155405 103.994204) + (xy 126.154504 103.995163) + (xy 126.152292 103.997375) + (xy 126.151509 103.996592) + (xy 126.150362 103.997296) + (xy 126.151721 103.998496) + (xy 126.145002 104.006104) + (xy 126.139018 104.012879) + (xy 126.137127 104.016906) + (xy 126.137126 104.016908) + (xy 126.134237 104.023062) + (xy 126.098884 104.055287) + (xy 126.077662 104.059) + (xy 124.230731 104.059) + (xy 124.223392 104.05775) + (xy 124.223309 104.058477) + (xy 124.216318 104.05768) + (xy 124.209677 104.055348) + (xy 124.202685 104.056126) + (xy 124.202683 104.056126) + (xy 124.180303 104.058617) + (xy 124.17339 104.059) + (xy 124.165967 104.059) + (xy 124.162543 104.059781) + (xy 124.159053 104.060577) + (xy 124.152067 104.061759) + (xy 124.144383 104.062614) + (xy 124.123071 104.064986) + (xy 124.117108 104.068719) + (xy 124.113162 104.070093) + (xy 124.109402 104.071903) + (xy 124.102541 104.073468) + (xy 124.097039 104.077853) + (xy 124.097038 104.077853) + (xy 124.091456 104.082301) + (xy 124.07973 104.091646) + (xy 124.079721 104.091653) + (xy 124.073941 104.095746) + (xy 124.067789 104.099597) + (xy 124.062581 104.104805) + (xy 124.057337 104.10949) + (xy 124.048986 104.116145) + (xy 124.034391 104.127775) + (xy 124.031333 104.134114) + (xy 124.026942 104.139615) + (xy 124.026409 104.139189) + (xy 124.022031 104.145355) + (xy 123.813192 104.354194) + (xy 123.768998 104.3725) + (xy 123.08377 104.3725) + (xy 123.08194 104.372718) + (xy 123.081936 104.372718) + (xy 123.075353 104.373502) + (xy 123.059576 104.375379) + (xy 123.05529 104.377283) + (xy 123.055289 104.377283) + (xy 122.970372 104.415001) + (xy 122.970371 104.415002) + (xy 122.9651 104.417343) + (xy 122.961024 104.421426) + (xy 122.953879 104.428584) + (xy 122.892065 104.490506) + (xy 122.878426 104.521357) + (xy 122.852165 104.580756) + (xy 122.852164 104.580759) + (xy 122.850265 104.585055) + (xy 122.849721 104.589722) + (xy 122.84972 104.589725) + (xy 122.849564 104.591066) + (xy 122.8475 104.60877) + (xy 122.8475 104.99123) + (xy 122.1525 104.99123) + (xy 122.1525 104.60877) + (xy 122.152027 104.60479) + (xy 122.150349 104.590694) + (xy 122.149621 104.584576) + (xy 122.138261 104.559) + (xy 122.109999 104.495372) + (xy 122.109998 104.495371) + (xy 122.107657 104.4901) + (xy 122.08279 104.465276) + (xy 122.052474 104.435014) + (xy 122.034494 104.417065) + (xy 121.987695 104.396375) + (xy 121.944244 104.377165) + (xy 121.944241 104.377164) + (xy 121.939945 104.375265) + (xy 121.935278 104.374721) + (xy 121.935275 104.37472) + (xy 121.923962 104.373401) + (xy 121.91623 104.3725) + (xy 120.80877 104.3725) + (xy 120.80694 104.372718) + (xy 120.806936 104.372718) + (xy 120.800353 104.373502) + (xy 120.784576 104.375379) + (xy 120.78029 104.377283) + (xy 120.780289 104.377283) + (xy 120.695372 104.415001) + (xy 120.695371 104.415002) + (xy 120.6901 104.417343) + (xy 120.686024 104.421426) + (xy 120.678879 104.428584) + (xy 120.617065 104.490506) + (xy 120.603426 104.521357) + (xy 120.581137 104.571772) + (xy 120.546524 104.60479) + (xy 120.523974 104.609) + (xy 119.945782 104.609) + (xy 119.901588 104.590694) + (xy 119.888887 104.572369) + (xy 119.888616 104.571772) + (xy 119.863852 104.517307) + (xy 119.849199 104.500302) + (xy 119.847708 104.497375) + (xy 119.8419 104.491567) + (xy 119.838746 104.48817) + (xy 119.78778 104.429021) + (xy 119.783918 104.424539) + (xy 119.763741 104.411461) + (xy 119.760155 104.408559) + (xy 119.760081 104.408661) + (xy 119.756106 104.405773) + (xy 119.752625 104.402292) + (xy 119.741343 104.396543) + (xy 119.735736 104.393309) + (xy 119.684893 104.360354) + (xy 119.68489 104.360353) + (xy 119.68116 104.357935) + (xy 119.676901 104.356661) + (xy 119.676898 104.35666) + (xy 119.654429 104.349941) + (xy 119.648839 104.348269) + (xy 119.638377 104.344079) + (xy 119.632813 104.341244) + (xy 119.621067 104.339384) + (xy 119.612951 104.337536) + (xy 119.563838 104.322848) + (xy 119.519496 104.322577) + (xy 119.510103 104.321809) + (xy 119.504857 104.320978) + (xy 119.504856 104.320978) + (xy 119.5 104.320209) + (xy 119.491373 104.321576) + (xy 119.48122 104.322344) + (xy 119.462836 104.322231) + (xy 119.445838 104.322127) + (xy 119.445836 104.322127) + (xy 119.441385 104.3221) + (xy 119.387011 104.337641) + (xy 119.379623 104.339275) + (xy 119.367187 104.341244) + (xy 119.362804 104.343477) + (xy 119.36187 104.343781) + (xy 119.352156 104.347603) + (xy 119.350916 104.347957) + (xy 119.327929 104.354526) + (xy 119.327927 104.354527) + (xy 119.323644 104.355751) + (xy 119.319875 104.358129) + (xy 119.315624 104.360811) + (xy 119.264119 104.393309) + (xy 119.262819 104.394129) + (xy 119.257842 104.396959) + (xy 119.251757 104.400059) + (xy 119.251756 104.40006) + (xy 119.247375 104.402292) + (xy 119.243897 104.40577) + (xy 119.242445 104.406825) + (xy 119.239059 104.40912) + (xy 119.239043 104.40913) + (xy 119.22008 104.421095) + (xy 119.217137 104.424427) + (xy 119.217135 104.424429) + (xy 119.169619 104.47823) + (xy 119.158778 104.490506) + (xy 119.157156 104.492342) + (xy 119.156032 104.493538) + (xy 119.155775 104.493892) + (xy 119.155554 104.494113) + (xy 119.155405 104.494204) + (xy 119.154504 104.495163) + (xy 119.152292 104.497375) + (xy 119.151509 104.496592) + (xy 119.150362 104.497296) + (xy 119.151721 104.498496) + (xy 119.139018 104.512879) + (xy 119.13113 104.52968) + (xy 119.088868 104.619695) + (xy 119.088867 104.619698) + (xy 119.086976 104.623726) + (xy 119.068136 104.744724) + (xy 119.068713 104.749137) + (xy 119.068713 104.749138) + (xy 119.08251 104.854647) + (xy 119.084014 104.866145) + (xy 119.091185 104.882443) + (xy 119.091244 104.882813) + (xy 119.092007 104.884311) + (xy 119.094031 104.88891) + (xy 119.094031 104.888911) + (xy 119.130812 104.9725) + (xy 119.133333 104.97823) + (xy 119.145787 104.993046) + (xy 119.148029 104.995713) + (xy 119.150157 104.998434) + (xy 119.152292 105.002625) + (xy 119.160081 105.010414) + (xy 119.163729 105.014391) + (xy 119.212127 105.071968) + (xy 119.235399 105.087459) + (xy 119.241836 105.092733) + (xy 119.243899 105.094232) + (xy 119.247375 105.097708) + (xy 119.251753 105.099939) + (xy 119.251756 105.099941) + (xy 119.25873 105.103494) + (xy 119.264977 105.107148) + (xy 119.314064 105.139823) + (xy 119.318313 105.141151) + (xy 119.318314 105.141151) + (xy 119.350296 105.151143) + (xy 119.360027 105.155109) + (xy 119.362799 105.156521) + (xy 119.362803 105.156522) + (xy 119.367187 105.158756) + (xy 119.377852 105.160445) + (xy 119.386713 105.16252) + (xy 119.426696 105.175012) + (xy 119.426699 105.175012) + (xy 119.430948 105.17634) + (xy 119.480069 105.177241) + (xy 119.488683 105.177999) + (xy 119.49514 105.179022) + (xy 119.495144 105.179022) + (xy 119.5 105.179791) + (xy 119.506947 105.17869) + (xy 119.517853 105.177933) + (xy 119.553383 105.178584) + (xy 119.613532 105.162185) + (xy 119.62019 105.160755) + (xy 119.622147 105.160445) + (xy 119.632813 105.158756) + (xy 119.637196 105.156522) + (xy 119.641875 105.155002) + (xy 119.641878 105.15501) + (xy 119.646727 105.153136) + (xy 119.671527 105.146375) + (xy 119.708415 105.123726) + (xy 119.739709 105.104511) + (xy 119.744037 105.102085) + (xy 119.74824 105.099944) + (xy 119.748248 105.099938) + (xy 119.752625 105.097708) + (xy 119.755427 105.094906) + (xy 119.756264 105.094346) + (xy 119.761606 105.091066) + (xy 119.775881 105.082301) + (xy 119.839914 105.011558) + (xy 119.886251 104.991) + (xy 120.523903 104.991) + (xy 120.568097 105.009306) + (xy 120.581022 105.028129) + (xy 120.614498 105.103494) + (xy 120.617343 105.1099) + (xy 120.690506 105.182935) + (xy 120.746943 105.207886) + (xy 120.769377 105.217804) + (xy 120.802395 105.252417) + (xy 120.801268 105.300239) + (xy 120.769476 105.332086) + (xy 120.695372 105.365001) + (xy 120.695371 105.365002) + (xy 120.6901 105.367343) + (xy 120.686024 105.371426) + (xy 120.660944 105.39655) + (xy 120.617065 105.440506) + (xy 120.601264 105.476248) + (xy 120.581137 105.521772) + (xy 120.546524 105.55479) + (xy 120.523974 105.559) + (xy 119.923049 105.559) + (xy 119.878855 105.540694) + (xy 119.866156 105.522373) + (xy 119.865695 105.52136) + (xy 119.865694 105.521359) + (xy 119.863852 105.517307) + (xy 119.849199 105.500302) + (xy 119.847708 105.497375) + (xy 119.8419 105.491567) + (xy 119.838746 105.48817) + (xy 119.786826 105.427914) + (xy 119.783918 105.424539) + (xy 119.763741 105.411461) + (xy 119.760155 105.408559) + (xy 119.760081 105.408661) + (xy 119.756106 105.405773) + (xy 119.752625 105.402292) + (xy 119.741343 105.396543) + (xy 119.735736 105.393309) + (xy 119.684893 105.360354) + (xy 119.68489 105.360353) + (xy 119.68116 105.357935) + (xy 119.676901 105.356661) + (xy 119.676898 105.35666) + (xy 119.658345 105.351112) + (xy 119.648839 105.348269) + (xy 119.638377 105.344079) + (xy 119.632813 105.341244) + (xy 119.621067 105.339384) + (xy 119.612951 105.337536) + (xy 119.602886 105.334526) + (xy 119.563838 105.322848) + (xy 119.519496 105.322577) + (xy 119.510103 105.321809) + (xy 119.504857 105.320978) + (xy 119.504856 105.320978) + (xy 119.5 105.320209) + (xy 119.491373 105.321576) + (xy 119.48122 105.322344) + (xy 119.462836 105.322231) + (xy 119.445838 105.322127) + (xy 119.445836 105.322127) + (xy 119.441385 105.3221) + (xy 119.387011 105.337641) + (xy 119.379623 105.339275) + (xy 119.367187 105.341244) + (xy 119.362804 105.343477) + (xy 119.36187 105.343781) + (xy 119.352156 105.347603) + (xy 119.350916 105.347957) + (xy 119.327929 105.354526) + (xy 119.327927 105.354527) + (xy 119.323644 105.355751) + (xy 119.264119 105.393309) + (xy 119.262819 105.394129) + (xy 119.257842 105.396959) + (xy 119.251757 105.400059) + (xy 119.251756 105.40006) + (xy 119.247375 105.402292) + (xy 119.243897 105.40577) + (xy 119.242445 105.406825) + (xy 119.239059 105.40912) + (xy 119.239043 105.40913) + (xy 119.22008 105.421095) + (xy 119.217137 105.424427) + (xy 119.217135 105.424429) + (xy 119.157156 105.492342) + (xy 119.156032 105.493538) + (xy 119.155775 105.493892) + (xy 119.155554 105.494113) + (xy 119.155405 105.494204) + (xy 119.154504 105.495163) + (xy 119.152292 105.497375) + (xy 119.151509 105.496592) + (xy 119.150362 105.497296) + (xy 119.151721 105.498496) + (xy 119.145002 105.506104) + (xy 119.139018 105.512879) + (xy 119.130844 105.530289) + (xy 119.088868 105.619695) + (xy 119.088867 105.619698) + (xy 119.086976 105.623726) + (xy 119.068136 105.744724) + (xy 119.068713 105.749137) + (xy 119.068713 105.749138) + (xy 119.082655 105.855751) + (xy 119.084014 105.866145) + (xy 119.091185 105.882443) + (xy 119.091244 105.882813) + (xy 119.092007 105.884311) + (xy 119.094031 105.88891) + (xy 119.094031 105.888911) + (xy 119.129533 105.969593) + (xy 119.133333 105.97823) + (xy 119.147273 105.994814) + (xy 119.148029 105.995713) + (xy 119.150157 105.998434) + (xy 119.152292 106.002625) + (xy 119.160081 106.010414) + (xy 119.16373 106.014392) + (xy 119.204832 106.063289) + (xy 119.212127 106.071968) + (xy 119.235399 106.087459) + (xy 119.241836 106.092733) + (xy 119.243899 106.094232) + (xy 119.247375 106.097708) + (xy 119.251753 106.099939) + (xy 119.251756 106.099941) + (xy 119.25873 106.103494) + (xy 119.264977 106.107148) + (xy 119.314064 106.139823) + (xy 119.318313 106.141151) + (xy 119.318314 106.141151) + (xy 119.350296 106.151143) + (xy 119.360027 106.155109) + (xy 119.362799 106.156521) + (xy 119.362803 106.156522) + (xy 119.367187 106.158756) + (xy 119.377852 106.160445) + (xy 119.386713 106.16252) + (xy 119.426696 106.175012) + (xy 119.426699 106.175012) + (xy 119.430948 106.17634) + (xy 119.480069 106.177241) + (xy 119.488683 106.177999) + (xy 119.49514 106.179022) + (xy 119.495144 106.179022) + (xy 119.5 106.179791) + (xy 119.506947 106.17869) + (xy 119.517853 106.177933) + (xy 119.553383 106.178584) + (xy 119.613532 106.162185) + (xy 119.62019 106.160755) + (xy 119.622147 106.160445) + (xy 119.632813 106.158756) + (xy 119.637196 106.156522) + (xy 119.641875 106.155002) + (xy 119.641878 106.15501) + (xy 119.646727 106.153136) + (xy 119.671527 106.146375) + (xy 119.676521 106.143309) + (xy 119.739709 106.104511) + (xy 119.744037 106.102085) + (xy 119.74824 106.099944) + (xy 119.748248 106.099938) + (xy 119.752625 106.097708) + (xy 119.755427 106.094906) + (xy 119.756264 106.094346) + (xy 119.757681 106.093476) + (xy 119.775881 106.082301) + (xy 119.858058 105.991513) + (xy 119.865454 105.976248) + (xy 119.901198 105.944458) + (xy 119.9217 105.941) + (xy 120.523903 105.941) + (xy 120.568097 105.959306) + (xy 120.581022 105.978129) + (xy 120.614692 106.053931) + (xy 120.617343 106.0599) + (xy 120.621426 106.063976) + (xy 120.646769 106.089275) + (xy 120.690506 106.132935) + (xy 120.744544 106.156825) + (xy 120.769377 106.167804) + (xy 120.802395 106.202417) + (xy 120.801268 106.250239) + (xy 120.769476 106.282086) + (xy 120.695372 106.315001) + (xy 120.695371 106.315002) + (xy 120.6901 106.317343) + (xy 120.686024 106.321426) + (xy 120.668799 106.338681) + (xy 120.617065 106.390506) + (xy 120.607801 106.411461) + (xy 120.581137 106.471772) + (xy 120.546524 106.50479) + (xy 120.523974 106.509) + (xy 119.885342 106.509) + (xy 119.837995 106.487298) + (xy 119.783918 106.424539) + (xy 119.763741 106.411461) + (xy 119.760155 106.408559) + (xy 119.760081 106.408661) + (xy 119.756106 106.405773) + (xy 119.752625 106.402292) + (xy 119.741343 106.396543) + (xy 119.735736 106.393309) + (xy 119.723408 106.385318) + (xy 119.713322 106.378781) + (xy 119.684893 106.360354) + (xy 119.68489 106.360353) + (xy 119.68116 106.357935) + (xy 119.676901 106.356661) + (xy 119.676898 106.35666) + (xy 119.658345 106.351112) + (xy 119.648839 106.348269) + (xy 119.638377 106.344079) + (xy 119.632813 106.341244) + (xy 119.621067 106.339384) + (xy 119.612951 106.337536) + (xy 119.563838 106.322848) + (xy 119.519496 106.322577) + (xy 119.510103 106.321809) + (xy 119.504857 106.320978) + (xy 119.504856 106.320978) + (xy 119.5 106.320209) + (xy 119.491373 106.321576) + (xy 119.48122 106.322344) + (xy 119.462836 106.322231) + (xy 119.445838 106.322127) + (xy 119.445836 106.322127) + (xy 119.441385 106.3221) + (xy 119.387011 106.337641) + (xy 119.379623 106.339275) + (xy 119.367187 106.341244) + (xy 119.362804 106.343477) + (xy 119.36187 106.343781) + (xy 119.352156 106.347603) + (xy 119.350916 106.347957) + (xy 119.327929 106.354526) + (xy 119.327927 106.354527) + (xy 119.323644 106.355751) + (xy 119.264119 106.393309) + (xy 119.262819 106.394129) + (xy 119.257842 106.396959) + (xy 119.251757 106.400059) + (xy 119.251756 106.40006) + (xy 119.247375 106.402292) + (xy 119.243897 106.40577) + (xy 119.242445 106.406825) + (xy 119.239059 106.40912) + (xy 119.239043 106.40913) + (xy 119.22008 106.421095) + (xy 119.217137 106.424427) + (xy 119.217135 106.424429) + (xy 119.157156 106.492342) + (xy 119.156032 106.493538) + (xy 119.155775 106.493892) + (xy 119.155554 106.494113) + (xy 119.155405 106.494204) + (xy 119.154504 106.495163) + (xy 119.152292 106.497375) + (xy 119.151509 106.496592) + (xy 119.150362 106.497296) + (xy 119.151721 106.498496) + (xy 119.145002 106.506104) + (xy 119.139018 106.512879) + (xy 119.128763 106.534722) + (xy 119.088868 106.619695) + (xy 119.088867 106.619698) + (xy 119.086976 106.623726) + (xy 119.068136 106.744724) + (xy 99.3 106.744724) + (xy 99.3 103.3625) + (xy 99.318306 103.318306) + (xy 99.3625 103.3) + (xy 100.594123 103.3) + (xy 100.638411 103.300039) + (xy 100.638412 103.300039) + (xy 100.645449 103.300045) + (xy 100.651794 103.296998) + (xy 100.651795 103.296998) + (xy 100.660034 103.293042) + (xy 100.673188 103.288448) + (xy 100.673776 103.288314) + (xy 100.688962 103.28485) + (xy 100.701435 103.274911) + (xy 100.713329 103.26745) + (xy 100.727705 103.260547) + (xy 100.732102 103.255049) + (xy 100.737813 103.247908) + (xy 100.747673 103.238065) + (xy 100.748373 103.237507) + (xy 100.760323 103.227985) + (xy 100.76338 103.221648) + (xy 100.763382 103.221645) + (xy 100.767251 103.213622) + (xy 100.774735 103.201738) + (xy 100.780298 103.194782) + (xy 100.784694 103.189285) + (xy 100.786271 103.182428) + (xy 100.786273 103.182423) + (xy 100.788322 103.173511) + (xy 100.792938 103.160366) + (xy 100.795499 103.155056) + (xy 100.799965 103.145798) + (xy 100.799985 103.122799) + (xy 100.799986 103.122783) + (xy 100.8 103.122723) + (xy 100.8 103.105877) + (xy 100.800039 103.061365) + (xy 100.800045 103.054808) + (xy 100.800045 103.054551) + (xy 100.800007 103.054472) + (xy 100.8 103.054407) + (xy 100.8 100.3625) + (xy 100.818306 100.318306) + (xy 100.8625 100.3) + (xy 103.094123 100.3) + (xy 103.138411 100.300039) + (xy 103.138412 100.300039) + (xy 103.145449 100.300045) + (xy 103.151794 100.296998) + (xy 103.151795 100.296998) + (xy 103.160034 100.293042) + (xy 103.173188 100.288448) + (xy 103.173776 100.288314) + (xy 103.188962 100.28485) + (xy 103.201435 100.274911) + (xy 103.213329 100.26745) + (xy 103.227705 100.260547) + (xy 103.232102 100.255049) + (xy 103.237813 100.247908) + (xy 103.247673 100.238065) + (xy 103.249311 100.23676) + (xy 103.260323 100.227985) + (xy 103.26338 100.221648) + (xy 103.263382 100.221645) + (xy 103.267251 100.213622) + (xy 103.274735 100.201738) + (xy 103.280298 100.194782) + (xy 103.284694 100.189285) + (xy 103.286271 100.182428) + (xy 103.286273 100.182423) + (xy 103.288322 100.173511) + (xy 103.292938 100.160366) + (xy 103.295499 100.155056) + (xy 103.299965 100.145798) + (xy 103.299985 100.122799) + (xy 103.299986 100.122783) + (xy 103.3 100.122723) + (xy 103.3 100.105877) + (xy 103.300008 100.096355) + (xy 103.300045 100.054808) + (xy 103.300045 100.054551) + (xy 103.300007 100.054472) + (xy 103.3 100.054407) + (xy 103.3 98.605877) + (xy 103.300039 98.561589) + (xy 103.300039 98.561588) + (xy 103.300045 98.554551) + (xy 103.296998 98.548205) + (xy 103.293042 98.539966) + (xy 103.288448 98.526812) + (xy 103.286415 98.517901) + (xy 103.28485 98.511038) + (xy 103.276054 98.5) + (xy 103.274911 98.498565) + (xy 103.26745 98.486671) + (xy 103.263593 98.478639) + (xy 103.260547 98.472295) + (xy 103.255049 98.467898) + (xy 103.247908 98.462187) + (xy 103.238065 98.452327) + (xy 103.227985 98.439677) + (xy 103.221648 98.43662) + (xy 103.221645 98.436618) + (xy 103.213622 98.432749) + (xy 103.201738 98.425265) + (xy 103.194782 98.419702) + (xy 103.189285 98.415306) + (xy 103.182428 98.413729) + (xy 103.182423 98.413727) + (xy 103.173511 98.411678) + (xy 103.160366 98.407062) + (xy 103.1598 98.406789) + (xy 103.145798 98.400035) + (xy 103.124114 98.400016) + (xy 103.122799 98.400015) + (xy 103.122783 98.400014) + (xy 103.122723 98.4) + (xy 103.105877 98.4) + (xy 103.054808 98.399955) + (xy 103.054551 98.399955) + (xy 103.054472 98.399993) + (xy 103.054407 98.4) + (xy 99.3625 98.4) + (xy 99.318306 98.381694) + (xy 99.3 98.3375) + (xy 99.3 96.893415) + (xy 99.626392 96.893415) + (xy 99.635958 96.984436) + (xy 99.637447 96.991207) + (xy 99.691375 97.149618) + (xy 99.694331 97.1559) + (xy 99.782009 97.298417) + (xy 99.786289 97.303896) + (xy 99.903359 97.423443) + (xy 99.908745 97.427836) + (xy 100.049396 97.51848) + (xy 100.055613 97.521566) + (xy 100.212854 97.578798) + (xy 100.219607 97.580431) + (xy 100.348804 97.596753) + (xy 100.352734 97.597) + (xy 100.974069 97.597) + (xy 100.982859 97.593359) + (xy 100.9865 97.584569) + (xy 101.1135 97.584569) + (xy 101.117141 97.593359) + (xy 101.125931 97.597) + (xy 101.742089 97.597) + (xy 101.745567 97.596806) + (xy 101.86976 97.582876) + (xy 101.876538 97.581335) + (xy 102.034549 97.526309) + (xy 102.040822 97.523304) + (xy 102.182721 97.434636) + (xy 102.188167 97.430319) + (xy 102.306902 97.312411) + (xy 102.311248 97.307006) + (xy 102.400913 97.165716) + (xy 102.403952 97.159484) + (xy 102.460085 97.001844) + (xy 102.461672 96.995076) + (xy 102.473505 96.895844) + (xy 102.472822 96.893415) + (xy 103.601392 96.893415) + (xy 103.610958 96.984436) + (xy 103.612447 96.991207) + (xy 103.666375 97.149618) + (xy 103.669331 97.1559) + (xy 103.757009 97.298417) + (xy 103.761289 97.303896) + (xy 103.878359 97.423443) + (xy 103.883745 97.427836) + (xy 104.024396 97.51848) + (xy 104.030613 97.521566) + (xy 104.187854 97.578798) + (xy 104.194607 97.580431) + (xy 104.323804 97.596753) + (xy 104.327734 97.597) + (xy 104.799069 97.597) + (xy 104.807859 97.593359) + (xy 104.8115 97.584569) + (xy 104.9385 97.584569) + (xy 104.942141 97.593359) + (xy 104.950931 97.597) + (xy 105.417089 97.597) + (xy 105.420567 97.596806) + (xy 105.54476 97.582876) + (xy 105.551538 97.581335) + (xy 105.709549 97.526309) + (xy 105.715822 97.523304) + (xy 105.857721 97.434636) + (xy 105.863167 97.430319) + (xy 105.981902 97.312411) + (xy 105.986248 97.307006) + (xy 106.075913 97.165716) + (xy 106.078952 97.159484) + (xy 106.135085 97.001844) + (xy 106.136672 96.995076) + (xy 106.148505 96.895844) + (xy 106.145931 96.886685) + (xy 106.140255 96.8835) + (xy 104.950931 96.8835) + (xy 104.942141 96.887141) + (xy 104.9385 96.895931) + (xy 104.9385 97.584569) + (xy 104.8115 97.584569) + (xy 104.8115 96.895931) + (xy 104.807859 96.887141) + (xy 104.799069 96.8835) + (xy 103.612781 96.8835) + (xy 103.603991 96.887141) + (xy 103.601392 96.893415) + (xy 102.472822 96.893415) + (xy 102.470931 96.886685) + (xy 102.465255 96.8835) + (xy 101.125931 96.8835) + (xy 101.117141 96.887141) + (xy 101.1135 96.895931) + (xy 101.1135 97.584569) + (xy 100.9865 97.584569) + (xy 100.9865 96.895931) + (xy 100.982859 96.887141) + (xy 100.974069 96.8835) + (xy 99.637781 96.8835) + (xy 99.628991 96.887141) + (xy 99.626392 96.893415) + (xy 99.3 96.893415) + (xy 99.3 96.744156) + (xy 99.626495 96.744156) + (xy 99.629069 96.753315) + (xy 99.634745 96.7565) + (xy 100.974069 96.7565) + (xy 100.982859 96.752859) + (xy 100.9865 96.744069) + (xy 101.1135 96.744069) + (xy 101.117141 96.752859) + (xy 101.125931 96.7565) + (xy 102.462219 96.7565) + (xy 102.471009 96.752859) + (xy 102.473608 96.746585) + (xy 102.464042 96.655564) + (xy 102.462553 96.648793) + (xy 102.408625 96.490382) + (xy 102.405669 96.4841) + (xy 102.317991 96.341583) + (xy 102.313711 96.336104) + (xy 102.196641 96.216557) + (xy 102.191255 96.212164) + (xy 102.050604 96.12152) + (xy 102.044387 96.118434) + (xy 101.887146 96.061202) + (xy 101.880393 96.059569) + (xy 101.751196 96.043247) + (xy 101.747266 96.043) + (xy 101.125931 96.043) + (xy 101.117141 96.046641) + (xy 101.1135 96.055431) + (xy 101.1135 96.744069) + (xy 100.9865 96.744069) + (xy 100.9865 96.055431) + (xy 100.982859 96.046641) + (xy 100.974069 96.043) + (xy 100.357911 96.043) + (xy 100.354433 96.043194) + (xy 100.23024 96.057124) + (xy 100.223462 96.058665) + (xy 100.065451 96.113691) + (xy 100.059178 96.116696) + (xy 99.917279 96.205364) + (xy 99.911833 96.209681) + (xy 99.793098 96.327589) + (xy 99.788752 96.332994) + (xy 99.699087 96.474284) + (xy 99.696048 96.480516) + (xy 99.639915 96.638156) + (xy 99.638328 96.644924) + (xy 99.626495 96.744156) + (xy 99.3 96.744156) + (xy 99.3 94.412558) + (xy 99.9225 94.412558) + (xy 99.923099 94.415569) + (xy 99.927967 94.440039) + (xy 99.929898 94.449748) + (xy 99.958078 94.491922) + (xy 100.000252 94.520102) + (xy 100.006285 94.521302) + (xy 100.006287 94.521303) + (xy 100.032384 94.526494) + (xy 100.037442 94.5275) + (xy 102.062558 94.5275) + (xy 102.067616 94.526494) + (xy 102.093713 94.521303) + (xy 102.093715 94.521302) + (xy 102.099748 94.520102) + (xy 102.141922 94.491922) + (xy 102.170102 94.449748) + (xy 102.172034 94.440039) + (xy 102.176901 94.415569) + (xy 102.1775 94.412558) + (xy 102.1775 93.387442) + (xy 102.173264 93.366145) + (xy 102.171303 93.356287) + (xy 102.171302 93.356285) + (xy 102.170102 93.350252) + (xy 102.141922 93.308078) + (xy 102.099748 93.279898) + (xy 102.093715 93.278698) + (xy 102.093713 93.278697) + (xy 102.065569 93.273099) + (xy 102.062558 93.2725) + (xy 100.037442 93.2725) + (xy 100.034431 93.273099) + (xy 100.006287 93.278697) + (xy 100.006285 93.278698) + (xy 100.000252 93.279898) + (xy 99.958078 93.308078) + (xy 99.929898 93.350252) + (xy 99.928698 93.356285) + (xy 99.928697 93.356287) + (xy 99.926736 93.366145) + (xy 99.9225 93.387442) + (xy 99.9225 94.412558) + (xy 99.3 94.412558) + (xy 99.3 91.612558) + (xy 99.9225 91.612558) + (xy 99.923099 91.615569) + (xy 99.926004 91.630171) + (xy 99.929898 91.649748) + (xy 99.958078 91.691922) + (xy 100.000252 91.720102) + (xy 100.006285 91.721302) + (xy 100.006287 91.721303) + (xy 100.032384 91.726494) + (xy 100.037442 91.7275) + (xy 102.062558 91.7275) + (xy 102.067616 91.726494) + (xy 102.093713 91.721303) + (xy 102.093715 91.721302) + (xy 102.099748 91.720102) + (xy 102.141922 91.691922) + (xy 102.170102 91.649748) + (xy 102.173997 91.630171) + (xy 102.176901 91.615569) + (xy 102.1775 91.612558) + (xy 102.1775 90.587442) + (xy 102.174692 90.573324) + (xy 102.171303 90.556287) + (xy 102.171302 90.556285) + (xy 102.170102 90.550252) + (xy 102.141922 90.508078) + (xy 102.099748 90.479898) + (xy 102.093715 90.478698) + (xy 102.093713 90.478697) + (xy 102.065569 90.473099) + (xy 102.062558 90.4725) + (xy 100.037442 90.4725) + (xy 100.034431 90.473099) + (xy 100.006287 90.478697) + (xy 100.006285 90.478698) + (xy 100.000252 90.479898) + (xy 99.958078 90.508078) + (xy 99.929898 90.550252) + (xy 99.928698 90.556285) + (xy 99.928697 90.556287) + (xy 99.925308 90.573324) + (xy 99.9225 90.587442) + (xy 99.9225 91.612558) + (xy 99.3 91.612558) + (xy 99.3 89.535145) + (xy 103.591391 89.535145) + (xy 103.606917 89.612143) + (xy 103.620179 89.677914) + (xy 103.62142 89.684071) + (xy 103.690392 89.819436) + (xy 103.718236 89.849716) + (xy 103.790144 89.927914) + (xy 103.793226 89.931266) + (xy 103.796847 89.933511) + (xy 103.796848 89.933512) + (xy 103.918719 90.009076) + (xy 103.918722 90.009078) + (xy 103.922344 90.011323) + (xy 104.068235 90.053709) + (xy 104.071501 90.053949) + (xy 104.071502 90.053949) + (xy 104.077859 90.054416) + (xy 104.077867 90.054416) + (xy 104.079007 90.0545) + (xy 104.188057 90.0545) + (xy 104.300518 90.039095) + (xy 104.304429 90.037403) + (xy 104.304431 90.037402) + (xy 104.368807 90.009543) + (xy 104.439946 89.978758) + (xy 104.520668 89.913391) + (xy 104.566533 89.899805) + (xy 104.608572 89.922631) + (xy 104.6225 89.961963) + (xy 104.6225 90.422558) + (xy 104.629898 90.459748) + (xy 104.633317 90.464865) + (xy 104.641944 90.477776) + (xy 104.651277 90.524692) + (xy 104.641944 90.547224) + (xy 104.629898 90.565252) + (xy 104.628698 90.571285) + (xy 104.628697 90.571287) + (xy 104.624223 90.593781) + (xy 104.6225 90.602442) + (xy 104.6225 90.897558) + (xy 104.623099 90.900569) + (xy 104.628672 90.928584) + (xy 104.629898 90.934748) + (xy 104.650297 90.965277) + (xy 104.659629 91.012193) + (xy 104.650297 91.034723) + (xy 104.629898 91.065252) + (xy 104.6225 91.102442) + (xy 104.6225 91.397558) + (xy 104.623099 91.400569) + (xy 104.628539 91.427914) + (xy 104.629898 91.434748) + (xy 104.641132 91.45156) + (xy 104.650297 91.465277) + (xy 104.659629 91.512193) + (xy 104.650297 91.534723) + (xy 104.629898 91.565252) + (xy 104.628698 91.571285) + (xy 104.628697 91.571287) + (xy 104.624164 91.594076) + (xy 104.6225 91.602442) + (xy 104.6225 91.897558) + (xy 104.629898 91.934748) + (xy 104.638207 91.947183) + (xy 104.650297 91.965277) + (xy 104.659629 92.012193) + (xy 104.650297 92.034723) + (xy 104.629898 92.065252) + (xy 104.628698 92.071285) + (xy 104.628697 92.071287) + (xy 104.626304 92.083317) + (xy 104.6225 92.102442) + (xy 104.6225 92.397558) + (xy 104.623099 92.400569) + (xy 104.628672 92.428584) + (xy 104.629898 92.434748) + (xy 104.635783 92.443555) + (xy 104.650297 92.465277) + (xy 104.659629 92.512193) + (xy 104.650297 92.534723) + (xy 104.629898 92.565252) + (xy 104.628698 92.571285) + (xy 104.628697 92.571287) + (xy 104.627772 92.575939) + (xy 104.6225 92.602442) + (xy 104.6225 92.897558) + (xy 104.623099 92.900569) + (xy 104.628539 92.927914) + (xy 104.629898 92.934748) + (xy 104.640279 92.950284) + (xy 104.650297 92.965277) + (xy 104.659629 93.012193) + (xy 104.650297 93.034723) + (xy 104.629898 93.065252) + (xy 104.628698 93.071285) + (xy 104.628697 93.071287) + (xy 104.623953 93.095136) + (xy 104.6225 93.102442) + (xy 104.6225 93.397558) + (xy 104.623099 93.400569) + (xy 104.628264 93.426532) + (xy 104.629898 93.434748) + (xy 104.643339 93.454864) + (xy 104.650297 93.465277) + (xy 104.659629 93.512193) + (xy 104.650297 93.534723) + (xy 104.629898 93.565252) + (xy 104.628698 93.571285) + (xy 104.628697 93.571287) + (xy 104.626043 93.584631) + (xy 104.6225 93.602442) + (xy 104.6225 93.897558) + (xy 104.629898 93.934748) + (xy 104.646307 93.959306) + (xy 104.650297 93.965277) + (xy 104.659629 94.012193) + (xy 104.650297 94.034723) + (xy 104.629898 94.065252) + (xy 104.628698 94.071285) + (xy 104.628697 94.071287) + (xy 104.625484 94.087442) + (xy 104.6225 94.102442) + (xy 104.6225 94.397558) + (xy 104.623099 94.400569) + (xy 104.628539 94.427914) + (xy 104.629898 94.434748) + (xy 104.633317 94.439865) + (xy 104.641944 94.452776) + (xy 104.651277 94.499692) + (xy 104.641944 94.522224) + (xy 104.629898 94.540252) + (xy 104.628698 94.546285) + (xy 104.628697 94.546287) + (xy 104.626566 94.557) + (xy 104.6225 94.577442) + (xy 104.6225 94.909394) + (xy 104.604194 94.953588) + (xy 104.56 94.971894) + (xy 104.523795 94.960339) + (xy 104.412787 94.881449) + (xy 104.412788 94.881449) + (xy 104.409313 94.87898) + (xy 104.28314 94.833555) + (xy 104.27038 94.828961) + (xy 104.270378 94.828961) + (xy 104.266371 94.827518) + (xy 104.244083 94.825881) + (xy 104.119107 94.816703) + (xy 104.119105 94.816703) + (xy 104.114855 94.816391) + (xy 104.029732 94.833555) + (xy 103.970103 94.845578) + (xy 103.9701 94.845579) + (xy 103.965929 94.84642) + (xy 103.830564 94.915392) + (xy 103.718734 95.018226) + (xy 103.716489 95.021847) + (xy 103.716488 95.021848) + (xy 103.640924 95.143719) + (xy 103.640922 95.143722) + (xy 103.638677 95.147344) + (xy 103.596291 95.293235) + (xy 103.596051 95.296501) + (xy 103.596051 95.296502) + (xy 103.595815 95.299723) + (xy 103.5955 95.304007) + (xy 103.5955 95.663057) + (xy 103.610905 95.775518) + (xy 103.671242 95.914946) + (xy 103.76685 96.033013) + (xy 103.77032 96.035479) + (xy 103.770322 96.035481) + (xy 103.879981 96.113412) + (xy 103.905401 96.153934) + (xy 103.894721 96.200562) + (xy 103.887815 96.208705) + (xy 103.768102 96.327584) + (xy 103.763752 96.332994) + (xy 103.674087 96.474284) + (xy 103.671048 96.480516) + (xy 103.614915 96.638156) + (xy 103.613328 96.644924) + (xy 103.601495 96.744156) + (xy 103.604069 96.753315) + (xy 103.609745 96.7565) + (xy 106.137219 96.7565) + (xy 106.146009 96.752859) + (xy 106.148608 96.746585) + (xy 106.139042 96.655564) + (xy 106.137553 96.648793) + (xy 106.083625 96.490382) + (xy 106.080669 96.4841) + (xy 105.992991 96.341583) + (xy 105.988711 96.336104) + (xy 105.871641 96.216557) + (xy 105.866255 96.212164) + (xy 105.725604 96.12152) + (xy 105.719387 96.118434) + (xy 105.691355 96.108231) + (xy 105.656087 96.075914) + (xy 105.654 96.028124) + (xy 105.686317 95.992856) + (xy 105.712731 95.987) + (xy 105.759438 95.987) + (xy 105.765519 95.986401) + (xy 105.793518 95.980831) + (xy 105.80467 95.976212) + (xy 105.836445 95.954981) + (xy 105.844981 95.946445) + (xy 105.866212 95.91467) + (xy 105.870831 95.903518) + (xy 105.876401 95.875519) + (xy 105.877 95.869438) + (xy 105.877 95.675931) + (xy 105.873359 95.667141) + (xy 105.864569 95.6635) + (xy 104.767 95.6635) + (xy 104.722806 95.645194) + (xy 104.7045 95.601) + (xy 104.7045 95.599) + (xy 104.722806 95.554806) + (xy 104.767 95.5365) + (xy 105.174069 95.5365) + (xy 105.182859 95.532859) + (xy 105.1865 95.524069) + (xy 105.1865 95.3) + (xy 105.204806 95.255806) + (xy 105.249 95.2375) + (xy 105.251 95.2375) + (xy 105.295194 95.255806) + (xy 105.3135 95.3) + (xy 105.3135 95.524069) + (xy 105.317141 95.532859) + (xy 105.325931 95.5365) + (xy 105.864569 95.5365) + (xy 105.873359 95.532859) + (xy 105.877 95.524069) + (xy 105.877 95.330562) + (xy 105.876401 95.324484) + (xy 105.872763 95.306195) + (xy 105.882094 95.259278) + (xy 105.921867 95.232701) + (xy 105.934062 95.2315) + (xy 106.352624 95.2315) + (xy 106.365778 95.2329) + (xy 106.38178 95.236345) + (xy 106.38691 95.235738) + (xy 106.386913 95.235738) + (xy 106.409837 95.233025) + (xy 106.455876 95.246011) + (xy 106.461376 95.250898) + (xy 107.450194 96.239717) + (xy 107.4685 96.283911) + (xy 107.4685 97.536743) + (xy 107.468007 97.544575) + (xy 107.467754 97.546579) + (xy 107.466275 97.551524) + (xy 107.468274 97.602396) + (xy 107.468452 97.606924) + (xy 107.4685 97.609378) + (xy 107.4685 99.102624) + (xy 107.4671 99.115778) + (xy 107.463655 99.13178) + (xy 107.464262 99.13691) + (xy 107.464262 99.136912) + (xy 107.468067 99.169059) + (xy 107.4685 99.176405) + (xy 107.4685 102.26) + (xy 107.450194 102.304194) + (xy 107.406 102.3225) + (xy 106.837442 102.3225) + (xy 106.834431 102.323099) + (xy 106.806287 102.328697) + (xy 106.806285 102.328698) + (xy 106.800252 102.329898) + (xy 106.758078 102.358078) + (xy 106.729898 102.400252) + (xy 106.728698 102.406285) + (xy 106.728697 102.406287) + (xy 106.727894 102.410326) + (xy 106.7225 102.437442) + (xy 106.7225 103.962558) + (xy 106.723099 103.965569) + (xy 106.728663 103.993538) + (xy 106.729898 103.999748) + (xy 106.758078 104.041922) + (xy 106.800252 104.070102) + (xy 106.806285 104.071302) + (xy 106.806287 104.071303) + (xy 106.832384 104.076494) + (xy 106.837442 104.0775) + (xy 108.862558 104.0775) + (xy 108.867616 104.076494) + (xy 108.893713 104.071303) + (xy 108.893715 104.071302) + (xy 108.899748 104.070102) + (xy 108.941922 104.041922) + (xy 108.970102 103.999748) + (xy 108.971338 103.993538) + (xy 108.976901 103.965569) + (xy 108.9775 103.962558) + (xy 108.9775 103.674069) + (xy 127.473 103.674069) + (xy 127.476641 103.682859) + (xy 127.485431 103.6865) + (xy 128.261569 103.6865) + (xy 128.270359 103.682859) + (xy 128.274 103.674069) + (xy 128.401 103.674069) + (xy 128.404641 103.682859) + (xy 128.413431 103.6865) + (xy 129.189568 103.6865) + (xy 129.198358 103.682859) + (xy 129.201999 103.674069) + (xy 129.201999 103.658179) + (xy 129.2014 103.652094) + (xy 129.19148 103.602224) + (xy 129.186857 103.591063) + (xy 129.149213 103.534722) + (xy 129.139881 103.487806) + (xy 129.149213 103.465278) + (xy 129.186858 103.408936) + (xy 129.19148 103.397779) + (xy 129.201401 103.347904) + (xy 129.202 103.341823) + (xy 129.202 103.325931) + (xy 129.198359 103.317141) + (xy 129.189569 103.3135) + (xy 128.413431 103.3135) + (xy 128.404641 103.317141) + (xy 128.401 103.325931) + (xy 128.401 103.674069) + (xy 128.274 103.674069) + (xy 128.274 103.325931) + (xy 128.270359 103.317141) + (xy 128.261569 103.3135) + (xy 127.485432 103.3135) + (xy 127.476642 103.317141) + (xy 127.473001 103.325931) + (xy 127.473001 103.341821) + (xy 127.4736 103.347906) + (xy 127.48352 103.397776) + (xy 127.488143 103.408937) + (xy 127.525787 103.465278) + (xy 127.535119 103.512194) + (xy 127.525787 103.534722) + (xy 127.488142 103.591064) + (xy 127.48352 103.602221) + (xy 127.473599 103.652096) + (xy 127.473 103.658177) + (xy 127.473 103.674069) + (xy 108.9775 103.674069) + (xy 108.9775 102.994724) + (xy 119.068136 102.994724) + (xy 119.068713 102.999137) + (xy 119.068713 102.999138) + (xy 119.082671 103.105877) + (xy 119.084014 103.116145) + (xy 119.086942 103.122799) + (xy 119.090727 103.131401) + (xy 119.091185 103.132443) + (xy 119.091244 103.132813) + (xy 119.092007 103.134311) + (xy 119.094031 103.13891) + (xy 119.094031 103.138911) + (xy 119.118473 103.194458) + (xy 119.133333 103.22823) + (xy 119.147273 103.244814) + (xy 119.148029 103.245713) + (xy 119.150157 103.248434) + (xy 119.152292 103.252625) + (xy 119.160081 103.260414) + (xy 119.16373 103.264392) + (xy 119.20807 103.317141) + (xy 119.212127 103.321968) + (xy 119.235399 103.337459) + (xy 119.241836 103.342733) + (xy 119.243899 103.344232) + (xy 119.247375 103.347708) + (xy 119.251753 103.349939) + (xy 119.251756 103.349941) + (xy 119.25873 103.353494) + (xy 119.264977 103.357148) + (xy 119.314064 103.389823) + (xy 119.318313 103.391151) + (xy 119.318314 103.391151) + (xy 119.350296 103.401143) + (xy 119.360027 103.405109) + (xy 119.362799 103.406521) + (xy 119.362803 103.406522) + (xy 119.367187 103.408756) + (xy 119.377852 103.410445) + (xy 119.386713 103.41252) + (xy 119.426696 103.425012) + (xy 119.426699 103.425012) + (xy 119.430948 103.42634) + (xy 119.480069 103.427241) + (xy 119.488683 103.427999) + (xy 119.49514 103.429022) + (xy 119.495144 103.429022) + (xy 119.5 103.429791) + (xy 119.506947 103.42869) + (xy 119.517853 103.427933) + (xy 119.553383 103.428584) + (xy 119.613532 103.412185) + (xy 119.62019 103.410755) + (xy 119.622147 103.410445) + (xy 119.632813 103.408756) + (xy 119.637196 103.406522) + (xy 119.641875 103.405002) + (xy 119.641878 103.40501) + (xy 119.646727 103.403136) + (xy 119.671527 103.396375) + (xy 119.676521 103.393309) + (xy 119.739709 103.354511) + (xy 119.744037 103.352085) + (xy 119.74824 103.349944) + (xy 119.748248 103.349938) + (xy 119.752625 103.347708) + (xy 119.755427 103.344906) + (xy 119.756264 103.344346) + (xy 119.758891 103.342733) + (xy 119.775881 103.332301) + (xy 119.858058 103.241513) + (xy 119.865454 103.226248) + (xy 119.901198 103.194458) + (xy 119.9217 103.191) + (xy 121.085001 103.191) + (xy 121.129195 103.209306) + (xy 121.147501 103.2535) + (xy 121.147501 103.28341) + (xy 121.157699 103.352695) + (xy 121.209419 103.458036) + (xy 121.213071 103.461682) + (xy 121.213072 103.461683) + (xy 121.288817 103.537296) + (xy 121.288819 103.537298) + (xy 121.292472 103.540944) + (xy 121.365762 103.576769) + (xy 121.393158 103.590161) + (xy 121.397902 103.59248) + (xy 121.402705 103.593181) + (xy 121.402706 103.593181) + (xy 121.421949 103.595988) + (xy 121.466589 103.6025) + (xy 121.724317 103.6025) + (xy 121.98341 103.602499) + (xy 122.052695 103.592301) + (xy 122.05826 103.589569) + (xy 122.077053 103.580342) + (xy 122.158036 103.540581) + (xy 122.169591 103.529006) + (xy 122.237296 103.461183) + (xy 122.237298 103.461181) + (xy 122.240944 103.457528) + (xy 122.276769 103.384238) + (xy 122.290349 103.356458) + (xy 122.290349 103.356457) + (xy 122.29248 103.352098) + (xy 122.293218 103.347043) + (xy 122.297372 103.318561) + (xy 122.3025 103.283411) + (xy 122.3025 103.281083) + (xy 122.698001 103.281083) + (xy 122.698333 103.285614) + (xy 122.707478 103.347743) + (xy 122.710326 103.35691) + (xy 122.757556 103.453105) + (xy 122.763484 103.461387) + (xy 122.839111 103.536883) + (xy 122.847406 103.542799) + (xy 122.943687 103.589863) + (xy 122.952851 103.592695) + (xy 123.014391 103.601672) + (xy 123.018904 103.602) + (xy 123.199069 103.602) + (xy 123.207859 103.598359) + (xy 123.2115 103.589569) + (xy 123.2115 103.589568) + (xy 123.3385 103.589568) + (xy 123.342141 103.598358) + (xy 123.350931 103.601999) + (xy 123.531083 103.601999) + (xy 123.535614 103.601667) + (xy 123.597743 103.592522) + (xy 123.60691 103.589674) + (xy 123.703105 103.542444) + (xy 123.711387 103.536516) + (xy 123.786883 103.460889) + (xy 123.792799 103.452594) + (xy 123.839863 103.356313) + (xy 123.842695 103.347149) + (xy 123.851672 103.285609) + (xy 123.852 103.281096) + (xy 123.852 103.075931) + (xy 123.848359 103.067141) + (xy 123.839569 103.0635) + (xy 123.350931 103.0635) + (xy 123.342141 103.067141) + (xy 123.3385 103.075931) + (xy 123.3385 103.589568) + (xy 123.2115 103.589568) + (xy 123.2115 103.075931) + (xy 123.207859 103.067141) + (xy 123.199069 103.0635) + (xy 122.710432 103.0635) + (xy 122.701642 103.067141) + (xy 122.698001 103.075931) + (xy 122.698001 103.281083) + (xy 122.3025 103.281083) + (xy 122.302499 102.924069) + (xy 122.698 102.924069) + (xy 122.701641 102.932859) + (xy 122.710431 102.9365) + (xy 123.199069 102.9365) + (xy 123.207859 102.932859) + (xy 123.2115 102.924069) + (xy 123.3385 102.924069) + (xy 123.342141 102.932859) + (xy 123.350931 102.9365) + (xy 123.839568 102.9365) + (xy 123.848358 102.932859) + (xy 123.851999 102.924069) + (xy 123.851999 102.718917) + (xy 123.851667 102.714386) + (xy 123.842522 102.652257) + (xy 123.839674 102.64309) + (xy 123.792444 102.546895) + (xy 123.786516 102.538613) + (xy 123.710889 102.463117) + (xy 123.702594 102.457201) + (xy 123.606313 102.410137) + (xy 123.597149 102.407305) + (xy 123.535609 102.398328) + (xy 123.531096 102.398) + (xy 123.350931 102.398) + (xy 123.342141 102.401641) + (xy 123.3385 102.410431) + (xy 123.3385 102.924069) + (xy 123.2115 102.924069) + (xy 123.2115 102.410432) + (xy 123.207859 102.401642) + (xy 123.199069 102.398001) + (xy 123.018917 102.398001) + (xy 123.014386 102.398333) + (xy 122.952257 102.407478) + (xy 122.94309 102.410326) + (xy 122.846895 102.457556) + (xy 122.838613 102.463484) + (xy 122.763117 102.539111) + (xy 122.757201 102.547406) + (xy 122.710137 102.643687) + (xy 122.707305 102.652851) + (xy 122.698328 102.714391) + (xy 122.698 102.718904) + (xy 122.698 102.924069) + (xy 122.302499 102.924069) + (xy 122.302499 102.71659) + (xy 122.292301 102.647305) + (xy 122.286973 102.636452) + (xy 122.270097 102.602081) + (xy 122.240581 102.541964) + (xy 122.236928 102.538317) + (xy 122.161183 102.462704) + (xy 122.161181 102.462702) + (xy 122.157528 102.459056) + (xy 122.084238 102.423231) + (xy 122.056458 102.409651) + (xy 122.056457 102.409651) + (xy 122.052098 102.40752) + (xy 122.047295 102.406819) + (xy 122.047294 102.406819) + (xy 122.011804 102.401642) + (xy 121.983411 102.3975) + (xy 121.725683 102.3975) + (xy 121.46659 102.397501) + (xy 121.397305 102.407699) + (xy 121.392947 102.409839) + (xy 121.392946 102.409839) + (xy 121.373777 102.419251) + (xy 121.291964 102.459419) + (xy 121.288318 102.463071) + (xy 121.288317 102.463072) + (xy 121.212704 102.538817) + (xy 121.212702 102.538819) + (xy 121.209056 102.542472) + (xy 121.178731 102.604509) + (xy 121.159943 102.642946) + (xy 121.15752 102.647902) + (xy 121.156819 102.652705) + (xy 121.156819 102.652706) + (xy 121.154483 102.668721) + (xy 121.1475 102.716589) + (xy 121.1475 102.7465) + (xy 121.129194 102.790694) + (xy 121.085 102.809) + (xy 119.923049 102.809) + (xy 119.878855 102.790694) + (xy 119.866156 102.772373) + (xy 119.865695 102.77136) + (xy 119.865694 102.771359) + (xy 119.863852 102.767307) + (xy 119.849199 102.750302) + (xy 119.847708 102.747375) + (xy 119.8419 102.741567) + (xy 119.838746 102.73817) + (xy 119.786826 102.677914) + (xy 119.783918 102.674539) + (xy 119.763741 102.661461) + (xy 119.760155 102.658559) + (xy 119.760081 102.658661) + (xy 119.756106 102.655773) + (xy 119.752625 102.652292) + (xy 119.741343 102.646543) + (xy 119.735736 102.643309) + (xy 119.735399 102.64309) + (xy 119.707782 102.62519) + (xy 119.684893 102.610354) + (xy 119.68489 102.610353) + (xy 119.68116 102.607935) + (xy 119.676901 102.606661) + (xy 119.676898 102.60666) + (xy 119.654429 102.599941) + (xy 119.648839 102.598269) + (xy 119.638377 102.594079) + (xy 119.632813 102.591244) + (xy 119.621067 102.589384) + (xy 119.612951 102.587536) + (xy 119.563838 102.572848) + (xy 119.519496 102.572577) + (xy 119.510103 102.571809) + (xy 119.504857 102.570978) + (xy 119.504856 102.570978) + (xy 119.5 102.570209) + (xy 119.491373 102.571576) + (xy 119.48122 102.572344) + (xy 119.462836 102.572231) + (xy 119.445838 102.572127) + (xy 119.445836 102.572127) + (xy 119.441385 102.5721) + (xy 119.387011 102.587641) + (xy 119.379623 102.589275) + (xy 119.367187 102.591244) + (xy 119.362804 102.593477) + (xy 119.36187 102.593781) + (xy 119.352156 102.597603) + (xy 119.349821 102.59827) + (xy 119.327929 102.604526) + (xy 119.327927 102.604527) + (xy 119.323644 102.605751) + (xy 119.26352 102.643687) + (xy 119.262819 102.644129) + (xy 119.257842 102.646959) + (xy 119.251757 102.650059) + (xy 119.251756 102.65006) + (xy 119.247375 102.652292) + (xy 119.243897 102.65577) + (xy 119.242445 102.656825) + (xy 119.239058 102.65912) + (xy 119.22008 102.671095) + (xy 119.217137 102.674427) + (xy 119.217135 102.674429) + (xy 119.157156 102.742342) + (xy 119.156032 102.743538) + (xy 119.155775 102.743892) + (xy 119.155554 102.744113) + (xy 119.155405 102.744204) + (xy 119.154504 102.745163) + (xy 119.152292 102.747375) + (xy 119.151509 102.746592) + (xy 119.150362 102.747296) + (xy 119.151721 102.748496) + (xy 119.139018 102.762879) + (xy 119.137127 102.766907) + (xy 119.088868 102.869695) + (xy 119.088867 102.869698) + (xy 119.086976 102.873726) + (xy 119.068136 102.994724) + (xy 108.9775 102.994724) + (xy 108.9775 102.437442) + (xy 108.972106 102.410326) + (xy 108.971303 102.406287) + (xy 108.971302 102.406285) + (xy 108.970102 102.400252) + (xy 108.941922 102.358078) + (xy 108.899748 102.329898) + (xy 108.893715 102.328698) + (xy 108.893713 102.328697) + (xy 108.865569 102.323099) + (xy 108.862558 102.3225) + (xy 108.294 102.3225) + (xy 108.249806 102.304194) + (xy 108.2315 102.26) + (xy 108.2315 99.694) + (xy 108.249806 99.649806) + (xy 108.294 99.6315) + (xy 108.632901 99.6315) + (xy 108.677095 99.649806) + (xy 108.689004 99.666455) + (xy 108.709419 99.708036) + (xy 108.713071 99.711682) + (xy 108.713072 99.711683) + (xy 108.788817 99.787296) + (xy 108.788819 99.787298) + (xy 108.792472 99.790944) + (xy 108.86035 99.824124) + (xy 108.893158 99.840161) + (xy 108.897902 99.84248) + (xy 108.902705 99.843181) + (xy 108.902706 99.843181) + (xy 108.910693 99.844346) + (xy 108.966589 99.8525) + (xy 108.9715 99.8525) + (xy 109.015694 99.870806) + (xy 109.034 99.915) + (xy 109.034 100.681768) + (xy 109.03275 100.689107) + (xy 109.033477 100.68919) + (xy 109.03268 100.696181) + (xy 109.030348 100.702822) + (xy 109.031126 100.709815) + (xy 109.031126 100.709816) + (xy 109.033617 100.732197) + (xy 109.034 100.73911) + (xy 109.034 100.746533) + (xy 109.035581 100.753465) + (xy 109.036757 100.760418) + (xy 109.039986 100.789429) + (xy 109.043719 100.795393) + (xy 109.045094 100.799341) + (xy 109.046903 100.8031) + (xy 109.048468 100.809959) + (xy 109.052853 100.815461) + (xy 109.052853 100.815462) + (xy 109.066648 100.832773) + (xy 109.070744 100.838559) + (xy 109.071297 100.839442) + (xy 109.074596 100.844711) + (xy 109.079808 100.849923) + (xy 109.084493 100.855167) + (xy 109.102775 100.878109) + (xy 109.109114 100.881167) + (xy 109.114615 100.885558) + (xy 109.114189 100.886092) + (xy 109.120353 100.890468) + (xy 109.584371 101.354485) + (xy 109.588678 101.36056) + (xy 109.58925 101.360105) + (xy 109.593631 101.365613) + (xy 109.596678 101.371958) + (xy 109.607273 101.380431) + (xy 109.619766 101.390422) + (xy 109.624921 101.395035) + (xy 109.630169 101.400283) + (xy 109.633132 101.402145) + (xy 109.633139 101.402151) + (xy 109.636174 101.404058) + (xy 109.64195 101.408163) + (xy 109.664733 101.426383) + (xy 109.671591 101.42796) + (xy 109.675356 101.42978) + (xy 109.679292 101.431158) + (xy 109.685248 101.434902) + (xy 109.692239 101.435692) + (xy 109.692244 101.435694) + (xy 109.714237 101.43818) + (xy 109.721223 101.439373) + (xy 109.728297 101.441) + (xy 109.735677 101.441) + (xy 109.742698 101.441396) + (xy 109.771838 101.44469) + (xy 109.778484 101.442369) + (xy 109.785478 101.441585) + (xy 109.785554 101.442264) + (xy 109.793004 101.441) + (xy 121.085001 101.441) + (xy 121.129195 101.459306) + (xy 121.147501 101.5035) + (xy 121.147501 101.563374) + (xy 121.147766 101.565385) + (xy 121.147766 101.56539) + (xy 121.152955 101.604809) + (xy 121.153779 101.611071) + (xy 121.1558 101.615404) + (xy 121.1558 101.615405) + (xy 121.200277 101.710787) + (xy 121.200279 101.710789) + (xy 121.202589 101.715744) + (xy 121.284256 101.797411) + (xy 121.289211 101.799721) + (xy 121.289213 101.799723) + (xy 121.336076 101.821575) + (xy 121.388929 101.846221) + (xy 121.402116 101.847957) + (xy 121.434593 101.852233) + (xy 121.434601 101.852233) + (xy 121.436625 101.8525) + (xy 121.438672 101.8525) + (xy 121.675642 101.852499) + (xy 121.913374 101.852499) + (xy 121.915385 101.852234) + (xy 121.91539 101.852234) + (xy 121.956331 101.846845) + (xy 121.956332 101.846845) + (xy 121.961071 101.846221) + (xy 122.010176 101.823323) + (xy 122.060787 101.799723) + (xy 122.060789 101.799721) + (xy 122.065744 101.797411) + (xy 122.147411 101.715744) + (xy 122.149721 101.710789) + (xy 122.149723 101.710787) + (xy 122.17571 101.655056) + (xy 122.196221 101.611071) + (xy 122.201152 101.573617) + (xy 122.202233 101.565407) + (xy 122.202233 101.565399) + (xy 122.2025 101.563375) + (xy 122.202499 100.936626) + (xy 122.202499 100.936625) + (xy 122.7975 100.936625) + (xy 122.797501 101.563374) + (xy 122.797766 101.565385) + (xy 122.797766 101.56539) + (xy 122.802955 101.604809) + (xy 122.803779 101.611071) + (xy 122.8058 101.615404) + (xy 122.8058 101.615405) + (xy 122.850277 101.710787) + (xy 122.850279 101.710789) + (xy 122.852589 101.715744) + (xy 122.934256 101.797411) + (xy 122.939211 101.799721) + (xy 122.939213 101.799723) + (xy 122.986076 101.821575) + (xy 123.038929 101.846221) + (xy 123.052116 101.847957) + (xy 123.084593 101.852233) + (xy 123.084601 101.852233) + (xy 123.086625 101.8525) + (xy 123.088672 101.8525) + (xy 123.325642 101.852499) + (xy 123.563374 101.852499) + (xy 123.565385 101.852234) + (xy 123.56539 101.852234) + (xy 123.606331 101.846845) + (xy 123.606332 101.846845) + (xy 123.611071 101.846221) + (xy 123.660176 101.823323) + (xy 123.710787 101.799723) + (xy 123.710789 101.799721) + (xy 123.715744 101.797411) + (xy 123.797411 101.715744) + (xy 123.799721 101.710789) + (xy 123.799723 101.710787) + (xy 123.82571 101.655056) + (xy 123.846221 101.611071) + (xy 123.851152 101.573617) + (xy 123.852233 101.565407) + (xy 123.852233 101.565399) + (xy 123.8525 101.563375) + (xy 123.852499 100.936626) + (xy 123.852232 100.934593) + (xy 123.846845 100.893669) + (xy 123.846845 100.893668) + (xy 123.846221 100.888929) + (xy 123.839761 100.875076) + (xy 123.799723 100.789213) + (xy 123.799721 100.789211) + (xy 123.797411 100.784256) + (xy 123.715744 100.702589) + (xy 123.710789 100.700279) + (xy 123.710787 100.700277) + (xy 123.661985 100.677521) + (xy 123.611071 100.653779) + (xy 123.590887 100.651122) + (xy 123.570342 100.648417) + (xy 123.528915 100.6245) + (xy 123.516 100.586452) + (xy 123.516 100.163548) + (xy 123.534306 100.119354) + (xy 123.570343 100.101583) + (xy 123.606325 100.096847) + (xy 123.606333 100.096845) + (xy 123.611071 100.096221) + (xy 123.622546 100.09087) + (xy 123.710787 100.049723) + (xy 123.710789 100.049721) + (xy 123.715744 100.047411) + (xy 123.797411 99.965744) + (xy 123.799721 99.960789) + (xy 123.799723 99.960787) + (xy 123.823902 99.908934) + (xy 123.846221 99.861071) + (xy 123.848663 99.842522) + (xy 123.852233 99.815407) + (xy 123.852233 99.815399) + (xy 123.8525 99.813375) + (xy 123.8525 99.7535) + (xy 123.870806 99.709306) + (xy 123.915 99.691) + (xy 124.326187 99.691) + (xy 124.370381 99.709306) + (xy 124.379248 99.720473) + (xy 124.38154 99.724155) + (xy 124.383333 99.72823) + (xy 124.397272 99.744813) + (xy 124.398029 99.745713) + (xy 124.400157 99.748434) + (xy 124.402292 99.752625) + (xy 124.410081 99.760414) + (xy 124.41373 99.764392) + (xy 124.45807 99.817141) + (xy 124.462127 99.821968) + (xy 124.485399 99.837459) + (xy 124.491836 99.842733) + (xy 124.493899 99.844232) + (xy 124.497375 99.847708) + (xy 124.501753 99.849939) + (xy 124.501756 99.849941) + (xy 124.50873 99.853494) + (xy 124.514977 99.857148) + (xy 124.564064 99.889823) + (xy 124.568313 99.891151) + (xy 124.568314 99.891151) + (xy 124.600296 99.901143) + (xy 124.610027 99.905109) + (xy 124.612799 99.906521) + (xy 124.612803 99.906522) + (xy 124.617187 99.908756) + (xy 124.627852 99.910445) + (xy 124.636713 99.91252) + (xy 124.676696 99.925012) + (xy 124.676699 99.925012) + (xy 124.680948 99.92634) + (xy 124.730069 99.927241) + (xy 124.738683 99.927999) + (xy 124.74514 99.929022) + (xy 124.745144 99.929022) + (xy 124.75 99.929791) + (xy 124.756947 99.92869) + (xy 124.767853 99.927933) + (xy 124.803383 99.928584) + (xy 124.863532 99.912185) + (xy 124.87019 99.910755) + (xy 124.872147 99.910445) + (xy 124.882813 99.908756) + (xy 124.887196 99.906522) + (xy 124.891875 99.905002) + (xy 124.891878 99.90501) + (xy 124.896727 99.903136) + (xy 124.921527 99.896375) + (xy 124.926521 99.893309) + (xy 124.989709 99.854511) + (xy 124.994037 99.852085) + (xy 124.99824 99.849944) + (xy 124.998248 99.849938) + (xy 125.002625 99.847708) + (xy 125.005427 99.844906) + (xy 125.006264 99.844346) + (xy 125.007681 99.843476) + (xy 125.025881 99.832301) + (xy 125.089904 99.761569) + (xy 129.473 99.761569) + (xy 129.476641 99.770359) + (xy 129.485431 99.774) + (xy 129.674069 99.774) + (xy 129.682859 99.770359) + (xy 129.6865 99.761569) + (xy 129.8135 99.761569) + (xy 129.817141 99.770359) + (xy 129.825931 99.774) + (xy 130.174069 99.774) + (xy 130.182859 99.770359) + (xy 130.1865 99.761569) + (xy 130.1865 98.985432) + (xy 130.182859 98.976642) + (xy 130.174069 98.973001) + (xy 130.158179 98.973001) + (xy 130.152094 98.9736) + (xy 130.102224 98.98352) + (xy 130.091063 98.988143) + (xy 130.034722 99.025787) + (xy 129.987806 99.035119) + (xy 129.965278 99.025787) + (xy 129.908936 98.988142) + (xy 129.897779 98.98352) + (xy 129.847904 98.973599) + (xy 129.841823 98.973) + (xy 129.825931 98.973) + (xy 129.817141 98.976641) + (xy 129.8135 98.985431) + (xy 129.8135 99.761569) + (xy 129.6865 99.761569) + (xy 129.6865 98.985432) + (xy 129.682859 98.976642) + (xy 129.674069 98.973001) + (xy 129.658179 98.973001) + (xy 129.652094 98.9736) + (xy 129.602224 98.98352) + (xy 129.591063 98.988143) + (xy 129.534486 99.025945) + (xy 129.525945 99.034486) + (xy 129.488142 99.091064) + (xy 129.48352 99.102221) + (xy 129.473599 99.152096) + (xy 129.473 99.158177) + (xy 129.473 99.761569) + (xy 125.089904 99.761569) + (xy 125.108058 99.741513) + (xy 125.161451 99.631311) + (xy 125.166345 99.602224) + (xy 125.177551 99.535614) + (xy 125.181767 99.510552) + (xy 125.181896 99.5) + (xy 125.164536 99.378781) + (xy 125.113852 99.267307) + (xy 125.099199 99.250302) + (xy 125.097708 99.247375) + (xy 125.0919 99.241567) + (xy 125.088746 99.23817) + (xy 125.036826 99.177914) + (xy 125.033918 99.174539) + (xy 125.013741 99.161461) + (xy 125.010155 99.158559) + (xy 125.010081 99.158661) + (xy 125.006106 99.155773) + (xy 125.002625 99.152292) + (xy 124.991343 99.146543) + (xy 124.985736 99.143309) + (xy 124.934893 99.110354) + (xy 124.93489 99.110353) + (xy 124.93116 99.107935) + (xy 124.926901 99.106661) + (xy 124.926898 99.10666) + (xy 124.908345 99.101112) + (xy 124.898839 99.098269) + (xy 124.888377 99.094079) + (xy 124.882813 99.091244) + (xy 124.871067 99.089384) + (xy 124.862951 99.087536) + (xy 124.823889 99.075854) + (xy 124.813838 99.072848) + (xy 124.769496 99.072577) + (xy 124.760103 99.071809) + (xy 124.754857 99.070978) + (xy 124.754856 99.070978) + (xy 124.75 99.070209) + (xy 124.741373 99.071576) + (xy 124.73122 99.072344) + (xy 124.712836 99.072231) + (xy 124.695838 99.072127) + (xy 124.695836 99.072127) + (xy 124.691385 99.0721) + (xy 124.637011 99.087641) + (xy 124.629623 99.089275) + (xy 124.617187 99.091244) + (xy 124.612804 99.093477) + (xy 124.61187 99.093781) + (xy 124.602155 99.097603) + (xy 124.577929 99.104526) + (xy 124.577927 99.104527) + (xy 124.573644 99.105751) + (xy 124.514119 99.143309) + (xy 124.512819 99.144129) + (xy 124.507842 99.146959) + (xy 124.501757 99.150059) + (xy 124.501756 99.15006) + (xy 124.497375 99.152292) + (xy 124.493897 99.15577) + (xy 124.492445 99.156825) + (xy 124.489058 99.15912) + (xy 124.47008 99.171095) + (xy 124.467137 99.174427) + (xy 124.467135 99.174429) + (xy 124.407156 99.242342) + (xy 124.406032 99.243538) + (xy 124.405775 99.243892) + (xy 124.405554 99.244113) + (xy 124.405405 99.244204) + (xy 124.404504 99.245163) + (xy 124.402292 99.247375) + (xy 124.401509 99.246592) + (xy 124.400362 99.247296) + (xy 124.401721 99.248496) + (xy 124.389018 99.262879) + (xy 124.387127 99.266906) + (xy 124.387126 99.266908) + (xy 124.384237 99.273062) + (xy 124.348884 99.305287) + (xy 124.327662 99.309) + (xy 123.914999 99.309) + (xy 123.870805 99.290694) + (xy 123.852499 99.2465) + (xy 123.852499 99.186626) + (xy 123.852232 99.184593) + (xy 123.846845 99.143669) + (xy 123.846845 99.143668) + (xy 123.846221 99.138929) + (xy 123.837252 99.119695) + (xy 123.799723 99.039213) + (xy 123.799721 99.039211) + (xy 123.797411 99.034256) + (xy 123.715744 98.952589) + (xy 123.710789 98.950279) + (xy 123.710787 98.950277) + (xy 123.642224 98.918306) + (xy 123.611071 98.903779) + (xy 123.594831 98.901641) + (xy 123.565407 98.897767) + (xy 123.565399 98.897767) + (xy 123.563375 98.8975) + (xy 123.561328 98.8975) + (xy 123.324358 98.897501) + (xy 123.086626 98.897501) + (xy 123.084615 98.897766) + (xy 123.08461 98.897766) + (xy 123.043669 98.903155) + (xy 123.043668 98.903155) + (xy 123.038929 98.903779) + (xy 123.034595 98.9058) + (xy 122.939213 98.950277) + (xy 122.939211 98.950279) + (xy 122.934256 98.952589) + (xy 122.852589 99.034256) + (xy 122.850279 99.039211) + (xy 122.850277 99.039213) + (xy 122.834606 99.072821) + (xy 122.803779 99.138929) + (xy 122.80297 99.145073) + (xy 122.797767 99.184593) + (xy 122.797767 99.184601) + (xy 122.7975 99.186625) + (xy 122.7975 99.188672) + (xy 122.797501 99.500844) + (xy 122.797501 99.813374) + (xy 122.797766 99.815385) + (xy 122.797766 99.81539) + (xy 122.802998 99.855139) + (xy 122.803779 99.861071) + (xy 122.8058 99.865404) + (xy 122.8058 99.865405) + (xy 122.850277 99.960787) + (xy 122.850279 99.960789) + (xy 122.852589 99.965744) + (xy 122.934256 100.047411) + (xy 122.939211 100.049721) + (xy 122.939213 100.049723) + (xy 122.988015 100.072479) + (xy 123.038929 100.096221) + (xy 123.059113 100.098878) + (xy 123.079658 100.101583) + (xy 123.121085 100.1255) + (xy 123.134 100.163548) + (xy 123.134 100.586452) + (xy 123.115694 100.630646) + (xy 123.079657 100.648417) + (xy 123.043675 100.653153) + (xy 123.043667 100.653155) + (xy 123.038929 100.653779) + (xy 123.034595 100.6558) + (xy 122.939213 100.700277) + (xy 122.939211 100.700279) + (xy 122.934256 100.702589) + (xy 122.852589 100.784256) + (xy 122.850279 100.789211) + (xy 122.850277 100.789213) + (xy 122.840603 100.809959) + (xy 122.803779 100.888929) + (xy 122.803155 100.893669) + (xy 122.803155 100.89367) + (xy 122.797767 100.934593) + (xy 122.797767 100.934601) + (xy 122.7975 100.936625) + (xy 122.202499 100.936625) + (xy 122.202232 100.934593) + (xy 122.196845 100.893669) + (xy 122.196845 100.893668) + (xy 122.196221 100.888929) + (xy 122.189761 100.875076) + (xy 122.149723 100.789213) + (xy 122.149721 100.789211) + (xy 122.147411 100.784256) + (xy 122.065744 100.702589) + (xy 122.060789 100.700279) + (xy 122.060787 100.700277) + (xy 122.011985 100.677521) + (xy 121.961071 100.653779) + (xy 121.941048 100.651143) + (xy 121.915407 100.647767) + (xy 121.915399 100.647767) + (xy 121.913375 100.6475) + (xy 121.911328 100.6475) + (xy 121.674358 100.647501) + (xy 121.436626 100.647501) + (xy 121.434615 100.647766) + (xy 121.43461 100.647766) + (xy 121.393669 100.653155) + (xy 121.393668 100.653155) + (xy 121.388929 100.653779) + (xy 121.384595 100.6558) + (xy 121.289213 100.700277) + (xy 121.289211 100.700279) + (xy 121.284256 100.702589) + (xy 121.202589 100.784256) + (xy 121.200279 100.789211) + (xy 121.200277 100.789213) + (xy 121.190603 100.809959) + (xy 121.153779 100.888929) + (xy 121.153155 100.893669) + (xy 121.153155 100.89367) + (xy 121.147767 100.934593) + (xy 121.147767 100.934601) + (xy 121.1475 100.936625) + (xy 121.1475 100.9965) + (xy 121.129194 101.040694) + (xy 121.085 101.059) + (xy 109.855003 101.059) + (xy 109.810809 101.040694) + (xy 109.434306 100.664191) + (xy 109.416 100.619997) + (xy 109.416 99.914999) + (xy 109.434306 99.870805) + (xy 109.4785 99.852499) + (xy 109.48341 99.852499) + (xy 109.552695 99.842301) + (xy 109.55826 99.839569) + (xy 109.589717 99.824124) + (xy 109.658036 99.790581) + (xy 109.678223 99.770359) + (xy 109.737296 99.711183) + (xy 109.737298 99.711181) + (xy 109.740944 99.707528) + (xy 109.79248 99.602098) + (xy 109.793218 99.597043) + (xy 109.802172 99.535657) + (xy 109.8025 99.533411) + (xy 109.8025 99.531083) + (xy 110.198001 99.531083) + (xy 110.198333 99.535614) + (xy 110.207478 99.597743) + (xy 110.210326 99.60691) + (xy 110.257556 99.703105) + (xy 110.263484 99.711387) + (xy 110.339111 99.786883) + (xy 110.347406 99.792799) + (xy 110.443687 99.839863) + (xy 110.452851 99.842695) + (xy 110.514391 99.851672) + (xy 110.518904 99.852) + (xy 110.699069 99.852) + (xy 110.707859 99.848359) + (xy 110.7115 99.839569) + (xy 110.7115 99.839568) + (xy 110.8385 99.839568) + (xy 110.842141 99.848358) + (xy 110.850931 99.851999) + (xy 111.031083 99.851999) + (xy 111.035614 99.851667) + (xy 111.097743 99.842522) + (xy 111.10691 99.839674) + (xy 111.164733 99.811284) + (xy 121.148001 99.811284) + (xy 121.148266 99.815335) + (xy 121.153645 99.8562) + (xy 121.15629 99.865274) + (xy 121.200693 99.960495) + (xy 121.206873 99.969321) + (xy 121.280679 100.043127) + (xy 121.289505 100.049307) + (xy 121.384727 100.09371) + (xy 121.393801 100.096355) + (xy 121.434647 100.101733) + (xy 121.438726 100.102) + (xy 121.599069 100.102) + (xy 121.607859 100.098359) + (xy 121.6115 100.089569) + (xy 121.6115 100.089568) + (xy 121.7385 100.089568) + (xy 121.742141 100.098358) + (xy 121.750931 100.101999) + (xy 121.911284 100.101999) + (xy 121.915335 100.101734) + (xy 121.9562 100.096355) + (xy 121.965274 100.09371) + (xy 122.060495 100.049307) + (xy 122.069321 100.043127) + (xy 122.143127 99.969321) + (xy 122.149307 99.960495) + (xy 122.19371 99.865273) + (xy 122.196355 99.856199) + (xy 122.201733 99.815353) + (xy 122.202 99.811274) + (xy 122.202 99.575931) + (xy 122.198359 99.567141) + (xy 122.189569 99.5635) + (xy 121.750931 99.5635) + (xy 121.742141 99.567141) + (xy 121.7385 99.575931) + (xy 121.7385 100.089568) + (xy 121.6115 100.089568) + (xy 121.6115 99.575931) + (xy 121.607859 99.567141) + (xy 121.599069 99.5635) + (xy 121.160432 99.5635) + (xy 121.151642 99.567141) + (xy 121.148001 99.575931) + (xy 121.148001 99.811284) + (xy 111.164733 99.811284) + (xy 111.203105 99.792444) + (xy 111.211387 99.786516) + (xy 111.286883 99.710889) + (xy 111.292799 99.702594) + (xy 111.339863 99.606313) + (xy 111.342695 99.597149) + (xy 111.351672 99.535609) + (xy 111.352 99.531096) + (xy 111.352 99.424069) + (xy 121.148 99.424069) + (xy 121.151641 99.432859) + (xy 121.160431 99.4365) + (xy 121.599069 99.4365) + (xy 121.607859 99.432859) + (xy 121.6115 99.424069) + (xy 121.7385 99.424069) + (xy 121.742141 99.432859) + (xy 121.750931 99.4365) + (xy 122.189568 99.4365) + (xy 122.198358 99.432859) + (xy 122.201999 99.424069) + (xy 122.201999 99.188716) + (xy 122.201734 99.184665) + (xy 122.196355 99.1438) + (xy 122.19371 99.134726) + (xy 122.149307 99.039505) + (xy 122.143127 99.030679) + (xy 122.069321 98.956873) + (xy 122.060495 98.950693) + (xy 121.965273 98.90629) + (xy 121.956199 98.903645) + (xy 121.915353 98.898267) + (xy 121.911274 98.898) + (xy 121.750931 98.898) + (xy 121.742141 98.901641) + (xy 121.7385 98.910431) + (xy 121.7385 99.424069) + (xy 121.6115 99.424069) + (xy 121.6115 98.910432) + (xy 121.607859 98.901642) + (xy 121.599069 98.898001) + (xy 121.438716 98.898001) + (xy 121.434665 98.898266) + (xy 121.3938 98.903645) + (xy 121.384726 98.90629) + (xy 121.289505 98.950693) + (xy 121.280679 98.956873) + (xy 121.206873 99.030679) + (xy 121.200693 99.039505) + (xy 121.15629 99.134727) + (xy 121.153645 99.143801) + (xy 121.148267 99.184647) + (xy 121.148 99.188726) + (xy 121.148 99.424069) + (xy 111.352 99.424069) + (xy 111.352 99.325931) + (xy 111.348359 99.317141) + (xy 111.339569 99.3135) + (xy 110.850931 99.3135) + (xy 110.842141 99.317141) + (xy 110.8385 99.325931) + (xy 110.8385 99.839568) + (xy 110.7115 99.839568) + (xy 110.7115 99.325931) + (xy 110.707859 99.317141) + (xy 110.699069 99.3135) + (xy 110.210432 99.3135) + (xy 110.201642 99.317141) + (xy 110.198001 99.325931) + (xy 110.198001 99.531083) + (xy 109.8025 99.531083) + (xy 109.802499 99.174069) + (xy 110.198 99.174069) + (xy 110.201641 99.182859) + (xy 110.210431 99.1865) + (xy 110.699069 99.1865) + (xy 110.707859 99.182859) + (xy 110.7115 99.174069) + (xy 110.8385 99.174069) + (xy 110.842141 99.182859) + (xy 110.850931 99.1865) + (xy 111.339568 99.1865) + (xy 111.348358 99.182859) + (xy 111.351999 99.174069) + (xy 111.351999 98.968917) + (xy 111.351667 98.964386) + (xy 111.342522 98.902257) + (xy 111.339674 98.89309) + (xy 111.292444 98.796895) + (xy 111.286516 98.788613) + (xy 111.210889 98.713117) + (xy 111.202594 98.707201) + (xy 111.106313 98.660137) + (xy 111.097149 98.657305) + (xy 111.035609 98.648328) + (xy 111.031096 98.648) + (xy 110.850931 98.648) + (xy 110.842141 98.651641) + (xy 110.8385 98.660431) + (xy 110.8385 99.174069) + (xy 110.7115 99.174069) + (xy 110.7115 98.660432) + (xy 110.707859 98.651642) + (xy 110.699069 98.648001) + (xy 110.518917 98.648001) + (xy 110.514386 98.648333) + (xy 110.452257 98.657478) + (xy 110.44309 98.660326) + (xy 110.346895 98.707556) + (xy 110.338613 98.713484) + (xy 110.263117 98.789111) + (xy 110.257201 98.797406) + (xy 110.210137 98.893687) + (xy 110.207305 98.902851) + (xy 110.198328 98.964391) + (xy 110.198 98.968904) + (xy 110.198 99.174069) + (xy 109.802499 99.174069) + (xy 109.802499 98.96659) + (xy 109.792301 98.897305) + (xy 109.740581 98.791964) + (xy 109.736928 98.788317) + (xy 109.661183 98.712704) + (xy 109.661181 98.712702) + (xy 109.657528 98.709056) + (xy 109.570168 98.666353) + (xy 109.556458 98.659651) + (xy 109.556457 98.659651) + (xy 109.552098 98.65752) + (xy 109.547295 98.656819) + (xy 109.547294 98.656819) + (xy 109.511804 98.651642) + (xy 109.483411 98.6475) + (xy 109.225683 98.6475) + (xy 108.96659 98.647501) + (xy 108.897305 98.657699) + (xy 108.892947 98.659839) + (xy 108.892946 98.659839) + (xy 108.879679 98.666353) + (xy 108.791964 98.709419) + (xy 108.788318 98.713071) + (xy 108.788317 98.713072) + (xy 108.712705 98.788816) + (xy 108.712703 98.788818) + (xy 108.709056 98.792472) + (xy 108.706786 98.797115) + (xy 108.706785 98.797117) + (xy 108.689025 98.833448) + (xy 108.653171 98.865113) + (xy 108.632875 98.8685) + (xy 108.294 98.8685) + (xy 108.249806 98.850194) + (xy 108.2315 98.806) + (xy 108.2315 97.944) + (xy 108.249806 97.899806) + (xy 108.294 97.8815) + (xy 108.632901 97.8815) + (xy 108.677095 97.899806) + (xy 108.689004 97.916455) + (xy 108.709419 97.958036) + (xy 108.713071 97.961682) + (xy 108.713072 97.961683) + (xy 108.788817 98.037296) + (xy 108.788819 98.037298) + (xy 108.792472 98.040944) + (xy 108.860982 98.074433) + (xy 108.893158 98.090161) + (xy 108.897902 98.09248) + (xy 108.902705 98.093181) + (xy 108.902706 98.093181) + (xy 108.910693 98.094346) + (xy 108.966589 98.1025) + (xy 109.224317 98.1025) + (xy 109.48341 98.102499) + (xy 109.552695 98.092301) + (xy 109.55826 98.089569) + (xy 109.594108 98.071968) + (xy 109.658036 98.040581) + (xy 109.680997 98.01758) + (xy 109.737296 97.961183) + (xy 109.737298 97.961181) + (xy 109.740944 97.957528) + (xy 109.79248 97.852098) + (xy 109.8025 97.783411) + (xy 109.8025 97.781083) + (xy 110.198001 97.781083) + (xy 110.198333 97.785614) + (xy 110.207478 97.847743) + (xy 110.210326 97.85691) + (xy 110.257556 97.953105) + (xy 110.263484 97.961387) + (xy 110.339111 98.036883) + (xy 110.347406 98.042799) + (xy 110.443687 98.089863) + (xy 110.452851 98.092695) + (xy 110.514391 98.101672) + (xy 110.518904 98.102) + (xy 110.699069 98.102) + (xy 110.707859 98.098359) + (xy 110.7115 98.089569) + (xy 110.7115 98.089568) + (xy 110.8385 98.089568) + (xy 110.842141 98.098358) + (xy 110.850931 98.101999) + (xy 111.031083 98.101999) + (xy 111.035614 98.101667) + (xy 111.097743 98.092522) + (xy 111.10691 98.089674) + (xy 111.203105 98.042444) + (xy 111.211387 98.036516) + (xy 111.286883 97.960889) + (xy 111.292799 97.952594) + (xy 111.339863 97.856313) + (xy 111.342695 97.847149) + (xy 111.351672 97.785609) + (xy 111.352 97.781096) + (xy 111.352 97.744724) + (xy 119.068136 97.744724) + (xy 119.068713 97.749137) + (xy 119.068713 97.749138) + (xy 119.082177 97.852098) + (xy 119.084014 97.866145) + (xy 119.085809 97.870224) + (xy 119.089286 97.878126) + (xy 119.091185 97.882443) + (xy 119.091244 97.882813) + (xy 119.092007 97.884311) + (xy 119.094031 97.88891) + (xy 119.094031 97.888911) + (xy 119.131202 97.973386) + (xy 119.133333 97.97823) + (xy 119.147198 97.994724) + (xy 119.148029 97.995713) + (xy 119.150157 97.998434) + (xy 119.152292 98.002625) + (xy 119.160081 98.010414) + (xy 119.16373 98.014392) + (xy 119.182983 98.037296) + (xy 119.212127 98.071968) + (xy 119.235399 98.087459) + (xy 119.241836 98.092733) + (xy 119.243899 98.094232) + (xy 119.247375 98.097708) + (xy 119.251753 98.099939) + (xy 119.251756 98.099941) + (xy 119.25873 98.103494) + (xy 119.264977 98.107148) + (xy 119.314064 98.139823) + (xy 119.318313 98.141151) + (xy 119.318314 98.141151) + (xy 119.350296 98.151143) + (xy 119.360027 98.155109) + (xy 119.362799 98.156521) + (xy 119.362803 98.156522) + (xy 119.367187 98.158756) + (xy 119.377852 98.160445) + (xy 119.386713 98.16252) + (xy 119.426696 98.175012) + (xy 119.426699 98.175012) + (xy 119.430948 98.17634) + (xy 119.480069 98.177241) + (xy 119.488683 98.177999) + (xy 119.49514 98.179022) + (xy 119.495144 98.179022) + (xy 119.5 98.179791) + (xy 119.506947 98.17869) + (xy 119.517853 98.177933) + (xy 119.553383 98.178584) + (xy 119.613532 98.162185) + (xy 119.62019 98.160755) + (xy 119.622147 98.160445) + (xy 119.632813 98.158756) + (xy 119.637196 98.156522) + (xy 119.641875 98.155002) + (xy 119.641878 98.15501) + (xy 119.646727 98.153136) + (xy 119.671527 98.146375) + (xy 119.694218 98.132443) + (xy 119.739709 98.104511) + (xy 119.744037 98.102085) + (xy 119.74824 98.099944) + (xy 119.748248 98.099938) + (xy 119.752625 98.097708) + (xy 119.755427 98.094906) + (xy 119.756264 98.094346) + (xy 119.775881 98.082301) + (xy 119.858058 97.991513) + (xy 119.865454 97.976248) + (xy 119.901198 97.944458) + (xy 119.9217 97.941) + (xy 121.085001 97.941) + (xy 121.129195 97.959306) + (xy 121.147501 98.0035) + (xy 121.147501 98.063374) + (xy 121.147766 98.065385) + (xy 121.147766 98.06539) + (xy 121.152998 98.105139) + (xy 121.153779 98.111071) + (xy 121.1558 98.115404) + (xy 121.1558 98.115405) + (xy 121.200277 98.210787) + (xy 121.200279 98.210789) + (xy 121.202589 98.215744) + (xy 121.284256 98.297411) + (xy 121.289211 98.299721) + (xy 121.289213 98.299723) + (xy 121.322606 98.315294) + (xy 121.388929 98.346221) + (xy 121.402116 98.347957) + (xy 121.434593 98.352233) + (xy 121.434601 98.352233) + (xy 121.436625 98.3525) + (xy 121.438672 98.3525) + (xy 121.675642 98.352499) + (xy 121.913374 98.352499) + (xy 121.915385 98.352234) + (xy 121.91539 98.352234) + (xy 121.956331 98.346845) + (xy 121.956332 98.346845) + (xy 121.961071 98.346221) + (xy 121.979868 98.337456) + (xy 122.060787 98.299723) + (xy 122.060789 98.299721) + (xy 122.065744 98.297411) + (xy 122.147411 98.215744) + (xy 122.149721 98.210789) + (xy 122.149723 98.210787) + (xy 122.175732 98.15501) + (xy 122.196221 98.111071) + (xy 122.198663 98.092522) + (xy 122.202233 98.065407) + (xy 122.202233 98.065399) + (xy 122.2025 98.063375) + (xy 122.202499 97.436626) + (xy 122.202232 97.434593) + (xy 122.196845 97.393669) + (xy 122.196845 97.393668) + (xy 122.196221 97.388929) + (xy 122.180179 97.354526) + (xy 122.149723 97.289213) + (xy 122.149721 97.289211) + (xy 122.147411 97.284256) + (xy 122.065744 97.202589) + (xy 122.060789 97.200279) + (xy 122.060787 97.200277) + (xy 122.003148 97.1734) + (xy 121.961071 97.153779) + (xy 121.941048 97.151143) + (xy 121.915407 97.147767) + (xy 121.915399 97.147767) + (xy 121.913375 97.1475) + (xy 121.911328 97.1475) + (xy 121.674358 97.147501) + (xy 121.436626 97.147501) + (xy 121.434615 97.147766) + (xy 121.43461 97.147766) + (xy 121.393669 97.153155) + (xy 121.393668 97.153155) + (xy 121.388929 97.153779) + (xy 121.384595 97.1558) + (xy 121.289213 97.200277) + (xy 121.289211 97.200279) + (xy 121.284256 97.202589) + (xy 121.202589 97.284256) + (xy 121.200279 97.289211) + (xy 121.200277 97.289213) + (xy 121.184606 97.322821) + (xy 121.153779 97.388929) + (xy 121.152975 97.395035) + (xy 121.147767 97.434593) + (xy 121.147767 97.434601) + (xy 121.1475 97.436625) + (xy 121.1475 97.4965) + (xy 121.129194 97.540694) + (xy 121.085 97.559) + (xy 119.923049 97.559) + (xy 119.878855 97.540694) + (xy 119.866156 97.522373) + (xy 119.865695 97.52136) + (xy 119.865694 97.521359) + (xy 119.863852 97.517307) + (xy 119.849199 97.500302) + (xy 119.847708 97.497375) + (xy 119.8419 97.491567) + (xy 119.838746 97.48817) + (xy 119.786826 97.427914) + (xy 119.783918 97.424539) + (xy 119.763741 97.411461) + (xy 119.760155 97.408559) + (xy 119.760081 97.408661) + (xy 119.756106 97.405773) + (xy 119.752625 97.402292) + (xy 119.741343 97.396543) + (xy 119.735736 97.393309) + (xy 119.734615 97.392582) + (xy 119.709578 97.376354) + (xy 119.684893 97.360354) + (xy 119.68489 97.360353) + (xy 119.68116 97.357935) + (xy 119.676901 97.356661) + (xy 119.676898 97.35666) + (xy 119.658345 97.351112) + (xy 119.648839 97.348269) + (xy 119.638377 97.344079) + (xy 119.632813 97.341244) + (xy 119.621067 97.339384) + (xy 119.612951 97.337536) + (xy 119.563838 97.322848) + (xy 119.519496 97.322577) + (xy 119.510103 97.321809) + (xy 119.504857 97.320978) + (xy 119.504856 97.320978) + (xy 119.5 97.320209) + (xy 119.491373 97.321576) + (xy 119.48122 97.322344) + (xy 119.462836 97.322231) + (xy 119.445838 97.322127) + (xy 119.445836 97.322127) + (xy 119.441385 97.3221) + (xy 119.387011 97.337641) + (xy 119.379623 97.339275) + (xy 119.367187 97.341244) + (xy 119.362804 97.343477) + (xy 119.36187 97.343781) + (xy 119.352155 97.347603) + (xy 119.327929 97.354526) + (xy 119.327927 97.354527) + (xy 119.323644 97.355751) + (xy 119.264119 97.393309) + (xy 119.262819 97.394129) + (xy 119.257842 97.396959) + (xy 119.251757 97.400059) + (xy 119.251756 97.40006) + (xy 119.247375 97.402292) + (xy 119.243897 97.40577) + (xy 119.242445 97.406825) + (xy 119.239059 97.40912) + (xy 119.237794 97.409918) + (xy 119.22008 97.421095) + (xy 119.217137 97.424427) + (xy 119.217135 97.424429) + (xy 119.157156 97.492342) + (xy 119.156032 97.493538) + (xy 119.155775 97.493892) + (xy 119.155554 97.494113) + (xy 119.155405 97.494204) + (xy 119.154504 97.495163) + (xy 119.152292 97.497375) + (xy 119.151509 97.496592) + (xy 119.150362 97.497296) + (xy 119.151721 97.498496) + (xy 119.139018 97.512879) + (xy 119.128762 97.534724) + (xy 119.088868 97.619695) + (xy 119.088867 97.619698) + (xy 119.086976 97.623726) + (xy 119.068136 97.744724) + (xy 111.352 97.744724) + (xy 111.352 97.575931) + (xy 111.348359 97.567141) + (xy 111.339569 97.5635) + (xy 110.850931 97.5635) + (xy 110.842141 97.567141) + (xy 110.8385 97.575931) + (xy 110.8385 98.089568) + (xy 110.7115 98.089568) + (xy 110.7115 97.575931) + (xy 110.707859 97.567141) + (xy 110.699069 97.5635) + (xy 110.210432 97.5635) + (xy 110.201642 97.567141) + (xy 110.198001 97.575931) + (xy 110.198001 97.781083) + (xy 109.8025 97.781083) + (xy 109.802499 97.424069) + (xy 110.198 97.424069) + (xy 110.201641 97.432859) + (xy 110.210431 97.4365) + (xy 110.699069 97.4365) + (xy 110.707859 97.432859) + (xy 110.7115 97.424069) + (xy 110.8385 97.424069) + (xy 110.842141 97.432859) + (xy 110.850931 97.4365) + (xy 111.339568 97.4365) + (xy 111.348358 97.432859) + (xy 111.351999 97.424069) + (xy 111.351999 97.218917) + (xy 111.351667 97.214386) + (xy 111.342522 97.152257) + (xy 111.339674 97.14309) + (xy 111.292444 97.046895) + (xy 111.286516 97.038613) + (xy 111.210889 96.963117) + (xy 111.202594 96.957201) + (xy 111.106313 96.910137) + (xy 111.097149 96.907305) + (xy 111.035609 96.898328) + (xy 111.031096 96.898) + (xy 110.850931 96.898) + (xy 110.842141 96.901641) + (xy 110.8385 96.910431) + (xy 110.8385 97.424069) + (xy 110.7115 97.424069) + (xy 110.7115 96.910432) + (xy 110.707859 96.901642) + (xy 110.699069 96.898001) + (xy 110.518917 96.898001) + (xy 110.514386 96.898333) + (xy 110.452257 96.907478) + (xy 110.44309 96.910326) + (xy 110.346895 96.957556) + (xy 110.338613 96.963484) + (xy 110.263117 97.039111) + (xy 110.257201 97.047406) + (xy 110.210137 97.143687) + (xy 110.207305 97.152851) + (xy 110.198328 97.214391) + (xy 110.198 97.218904) + (xy 110.198 97.424069) + (xy 109.802499 97.424069) + (xy 109.802499 97.21659) + (xy 109.792301 97.147305) + (xy 109.788526 97.139615) + (xy 109.770099 97.102085) + (xy 109.740581 97.041964) + (xy 109.736928 97.038317) + (xy 109.661183 96.962704) + (xy 109.661181 96.962702) + (xy 109.657528 96.959056) + (xy 109.568358 96.915468) + (xy 109.556458 96.909651) + (xy 109.556457 96.909651) + (xy 109.552098 96.90752) + (xy 109.547295 96.906819) + (xy 109.547294 96.906819) + (xy 109.525341 96.903617) + (xy 109.483411 96.8975) + (xy 109.225683 96.8975) + (xy 108.96659 96.897501) + (xy 108.897305 96.907699) + (xy 108.892947 96.909839) + (xy 108.892946 96.909839) + (xy 108.891482 96.910558) + (xy 108.791964 96.959419) + (xy 108.788318 96.963071) + (xy 108.788317 96.963072) + (xy 108.712705 97.038816) + (xy 108.712703 97.038818) + (xy 108.709056 97.042472) + (xy 108.706786 97.047115) + (xy 108.706785 97.047117) + (xy 108.689025 97.083448) + (xy 108.653171 97.115113) + (xy 108.632875 97.1185) + (xy 108.294 97.1185) + (xy 108.249806 97.100194) + (xy 108.2315 97.056) + (xy 108.2315 96.147376) + (xy 108.2329 96.134221) + (xy 108.234212 96.128126) + (xy 108.236345 96.11822) + (xy 108.234429 96.102025) + (xy 108.231933 96.08094) + (xy 108.2315 96.073595) + (xy 108.2315 96.068308) + (xy 108.228062 96.047653) + (xy 108.227654 96.044784) + (xy 108.221418 95.992092) + (xy 108.219183 95.987438) + (xy 108.218076 95.983627) + (xy 108.216771 95.979815) + (xy 108.215922 95.974717) + (xy 108.190738 95.928043) + (xy 108.189406 95.925428) + (xy 108.168145 95.881151) + (xy 108.168142 95.881146) + (xy 108.16644 95.877602) + (xy 108.16283 95.873308) + (xy 108.162645 95.873123) + (xy 108.160056 95.870056) + (xy 108.158065 95.86749) + (xy 108.155612 95.862943) + (xy 108.114892 95.825302) + (xy 108.113123 95.823601) + (xy 106.919407 94.629884) + (xy 106.906706 94.611559) + (xy 106.879094 94.550831) + (xy 106.863852 94.517307) + (xy 106.849199 94.500302) + (xy 106.847708 94.497375) + (xy 106.8419 94.491567) + (xy 106.838746 94.48817) + (xy 106.810622 94.455531) + (xy 106.783918 94.424539) + (xy 106.763741 94.411461) + (xy 106.760155 94.408559) + (xy 106.760081 94.408661) + (xy 106.756106 94.405773) + (xy 106.752625 94.402292) + (xy 106.741343 94.396543) + (xy 106.735736 94.393309) + (xy 106.735652 94.393254) + (xy 106.707418 94.374954) + (xy 106.684893 94.360354) + (xy 106.68489 94.360353) + (xy 106.68116 94.357935) + (xy 106.676901 94.356661) + (xy 106.676898 94.35666) + (xy 106.658345 94.351112) + (xy 106.648839 94.348269) + (xy 106.638377 94.344079) + (xy 106.632813 94.341244) + (xy 106.621067 94.339384) + (xy 106.612951 94.337536) + (xy 106.563838 94.322848) + (xy 106.519496 94.322577) + (xy 106.510103 94.321809) + (xy 106.504857 94.320978) + (xy 106.504856 94.320978) + (xy 106.5 94.320209) + (xy 106.491373 94.321576) + (xy 106.48122 94.322344) + (xy 106.462836 94.322231) + (xy 106.445838 94.322127) + (xy 106.445836 94.322127) + (xy 106.441385 94.3221) + (xy 106.387011 94.337641) + (xy 106.379623 94.339275) + (xy 106.367187 94.341244) + (xy 106.362804 94.343477) + (xy 106.36187 94.343781) + (xy 106.352155 94.347603) + (xy 106.327929 94.354526) + (xy 106.327927 94.354527) + (xy 106.323644 94.355751) + (xy 106.264119 94.393309) + (xy 106.262819 94.394129) + (xy 106.257842 94.396959) + (xy 106.251757 94.400059) + (xy 106.251756 94.40006) + (xy 106.247375 94.402292) + (xy 106.243897 94.40577) + (xy 106.242445 94.406825) + (xy 106.239058 94.40912) + (xy 106.22008 94.421095) + (xy 106.217137 94.424427) + (xy 106.217135 94.424429) + (xy 106.196872 94.447373) + (xy 106.150026 94.4685) + (xy 105.939169 94.4685) + (xy 105.894975 94.450194) + (xy 105.876669 94.406) + (xy 105.876947 94.400336) + (xy 105.8775 94.397558) + (xy 105.8775 94.102442) + (xy 105.874516 94.087442) + (xy 105.871303 94.071287) + (xy 105.871302 94.071285) + (xy 105.870102 94.065252) + (xy 105.858664 94.048134) + (xy 105.852042 94.038223) + (xy 105.84271 93.991307) + (xy 105.869286 93.951533) + (xy 105.904009 93.941) + (xy 108.069997 93.941) + (xy 108.114191 93.959306) + (xy 108.629194 94.474309) + (xy 108.6475 94.518503) + (xy 108.647501 94.790102) + (xy 108.647501 95.063374) + (xy 108.647766 95.065385) + (xy 108.647766 95.06539) + (xy 108.649337 95.077326) + (xy 108.653779 95.111071) + (xy 108.6558 95.115404) + (xy 108.6558 95.115405) + (xy 108.700277 95.210787) + (xy 108.700279 95.210789) + (xy 108.702589 95.215744) + (xy 108.784256 95.297411) + (xy 108.789211 95.299721) + (xy 108.789213 95.299723) + (xy 108.838015 95.322479) + (xy 108.888929 95.346221) + (xy 108.902295 95.347981) + (xy 108.934593 95.352233) + (xy 108.934601 95.352233) + (xy 108.936625 95.3525) + (xy 108.938672 95.3525) + (xy 109.175642 95.352499) + (xy 109.413374 95.352499) + (xy 109.415385 95.352234) + (xy 109.41539 95.352234) + (xy 109.456331 95.346845) + (xy 109.456332 95.346845) + (xy 109.461071 95.346221) + (xy 109.507686 95.324484) + (xy 109.560787 95.299723) + (xy 109.560789 95.299721) + (xy 109.565744 95.297411) + (xy 109.647411 95.215744) + (xy 109.649721 95.210789) + (xy 109.649723 95.210787) + (xy 109.67372 95.159324) + (xy 109.696221 95.111071) + (xy 109.699768 95.08413) + (xy 109.702233 95.065407) + (xy 109.702233 95.065399) + (xy 109.7025 95.063375) + (xy 109.7025 95.061284) + (xy 110.298001 95.061284) + (xy 110.298266 95.065335) + (xy 110.303645 95.1062) + (xy 110.30629 95.115274) + (xy 110.350693 95.210495) + (xy 110.356873 95.219321) + (xy 110.430679 95.293127) + (xy 110.439505 95.299307) + (xy 110.534727 95.34371) + (xy 110.543801 95.346355) + (xy 110.584647 95.351733) + (xy 110.588726 95.352) + (xy 110.749069 95.352) + (xy 110.757859 95.348359) + (xy 110.7615 95.339569) + (xy 110.7615 95.339568) + (xy 110.8885 95.339568) + (xy 110.892141 95.348358) + (xy 110.900931 95.351999) + (xy 111.061284 95.351999) + (xy 111.065335 95.351734) + (xy 111.1062 95.346355) + (xy 111.115274 95.34371) + (xy 111.182079 95.312558) + (xy 137.9725 95.312558) + (xy 137.973099 95.315569) + (xy 137.978697 95.34371) + (xy 137.979898 95.349748) + (xy 138.008078 95.391922) + (xy 138.050252 95.420102) + (xy 138.056285 95.421302) + (xy 138.056287 95.421303) + (xy 138.081917 95.426401) + (xy 138.087442 95.4275) + (xy 139.512558 95.4275) + (xy 139.518083 95.426401) + (xy 139.543713 95.421303) + (xy 139.543715 95.421302) + (xy 139.549748 95.420102) + (xy 139.591922 95.391922) + (xy 139.620102 95.349748) + (xy 139.621304 95.34371) + (xy 139.626901 95.315569) + (xy 139.6275 95.312558) + (xy 139.6275 95.309438) + (xy 140.373 95.309438) + (xy 140.373599 95.315519) + (xy 140.379169 95.343518) + (xy 140.383788 95.35467) + (xy 140.405019 95.386445) + (xy 140.413555 95.394981) + (xy 140.44533 95.416212) + (xy 140.456482 95.420831) + (xy 140.484481 95.426401) + (xy 140.490562 95.427) + (xy 141.124069 95.427) + (xy 141.132859 95.423359) + (xy 141.1365 95.414569) + (xy 141.2635 95.414569) + (xy 141.267141 95.423359) + (xy 141.275931 95.427) + (xy 141.909438 95.427) + (xy 141.915519 95.426401) + (xy 141.943518 95.420831) + (xy 141.95467 95.416212) + (xy 141.986445 95.394981) + (xy 141.994981 95.386445) + (xy 142.016212 95.35467) + (xy 142.020831 95.343518) + (xy 142.026401 95.315519) + (xy 142.027 95.309438) + (xy 142.027 94.775931) + (xy 142.023359 94.767141) + (xy 142.014569 94.7635) + (xy 141.275931 94.7635) + (xy 141.267141 94.767141) + (xy 141.2635 94.775931) + (xy 141.2635 95.414569) + (xy 141.1365 95.414569) + (xy 141.1365 94.775931) + (xy 141.132859 94.767141) + (xy 141.124069 94.7635) + (xy 140.385431 94.7635) + (xy 140.376641 94.767141) + (xy 140.373 94.775931) + (xy 140.373 95.309438) + (xy 139.6275 95.309438) + (xy 139.6275 94.624069) + (xy 140.373 94.624069) + (xy 140.376641 94.632859) + (xy 140.385431 94.6365) + (xy 141.124069 94.6365) + (xy 141.132859 94.632859) + (xy 141.1365 94.624069) + (xy 141.2635 94.624069) + (xy 141.267141 94.632859) + (xy 141.275931 94.6365) + (xy 142.014569 94.6365) + (xy 142.023359 94.632859) + (xy 142.027 94.624069) + (xy 142.027 94.090562) + (xy 142.026401 94.084481) + (xy 142.020831 94.056482) + (xy 142.016212 94.04533) + (xy 141.994981 94.013555) + (xy 141.986445 94.005019) + (xy 141.95467 93.983788) + (xy 141.943518 93.979169) + (xy 141.915519 93.973599) + (xy 141.909438 93.973) + (xy 141.275931 93.973) + (xy 141.267141 93.976641) + (xy 141.2635 93.985431) + (xy 141.2635 94.624069) + (xy 141.1365 94.624069) + (xy 141.1365 93.985431) + (xy 141.132859 93.976641) + (xy 141.124069 93.973) + (xy 140.490562 93.973) + (xy 140.484481 93.973599) + (xy 140.456482 93.979169) + (xy 140.44533 93.983788) + (xy 140.413555 94.005019) + (xy 140.405019 94.013555) + (xy 140.383788 94.04533) + (xy 140.379169 94.056482) + (xy 140.373599 94.084481) + (xy 140.373 94.090562) + (xy 140.373 94.624069) + (xy 139.6275 94.624069) + (xy 139.6275 94.087442) + (xy 139.626494 94.082384) + (xy 139.621303 94.056287) + (xy 139.621302 94.056285) + (xy 139.620102 94.050252) + (xy 139.591922 94.008078) + (xy 139.549748 93.979898) + (xy 139.543715 93.978698) + (xy 139.543713 93.978697) + (xy 139.515569 93.973099) + (xy 139.512558 93.9725) + (xy 138.087442 93.9725) + (xy 138.084431 93.973099) + (xy 138.056287 93.978697) + (xy 138.056285 93.978698) + (xy 138.050252 93.979898) + (xy 138.008078 94.008078) + (xy 137.979898 94.050252) + (xy 137.978698 94.056285) + (xy 137.978697 94.056287) + (xy 137.973506 94.082384) + (xy 137.9725 94.087442) + (xy 137.9725 95.312558) + (xy 111.182079 95.312558) + (xy 111.210495 95.299307) + (xy 111.219321 95.293127) + (xy 111.293127 95.219321) + (xy 111.299307 95.210495) + (xy 111.34371 95.115273) + (xy 111.346355 95.106199) + (xy 111.351733 95.065353) + (xy 111.352 95.061274) + (xy 111.352 94.825931) + (xy 111.348359 94.817141) + (xy 111.339569 94.8135) + (xy 110.900931 94.8135) + (xy 110.892141 94.817141) + (xy 110.8885 94.825931) + (xy 110.8885 95.339568) + (xy 110.7615 95.339568) + (xy 110.7615 94.825931) + (xy 110.757859 94.817141) + (xy 110.749069 94.8135) + (xy 110.310432 94.8135) + (xy 110.301642 94.817141) + (xy 110.298001 94.825931) + (xy 110.298001 95.061284) + (xy 109.7025 95.061284) + (xy 109.702499 94.674069) + (xy 110.298 94.674069) + (xy 110.301641 94.682859) + (xy 110.310431 94.6865) + (xy 110.749069 94.6865) + (xy 110.757859 94.682859) + (xy 110.7615 94.674069) + (xy 110.8885 94.674069) + (xy 110.892141 94.682859) + (xy 110.900931 94.6865) + (xy 111.339568 94.6865) + (xy 111.348358 94.682859) + (xy 111.351999 94.674069) + (xy 111.351999 94.438716) + (xy 111.351734 94.434665) + (xy 111.346355 94.3938) + (xy 111.34371 94.384726) + (xy 111.299307 94.289505) + (xy 111.293127 94.280679) + (xy 111.219321 94.206873) + (xy 111.210495 94.200693) + (xy 111.115273 94.15629) + (xy 111.106199 94.153645) + (xy 111.065353 94.148267) + (xy 111.061274 94.148) + (xy 110.900931 94.148) + (xy 110.892141 94.151641) + (xy 110.8885 94.160431) + (xy 110.8885 94.674069) + (xy 110.7615 94.674069) + (xy 110.7615 94.160432) + (xy 110.757859 94.151642) + (xy 110.749069 94.148001) + (xy 110.588716 94.148001) + (xy 110.584665 94.148266) + (xy 110.5438 94.153645) + (xy 110.534726 94.15629) + (xy 110.439505 94.200693) + (xy 110.430679 94.206873) + (xy 110.356873 94.280679) + (xy 110.350693 94.289505) + (xy 110.30629 94.384727) + (xy 110.303645 94.393801) + (xy 110.298267 94.434647) + (xy 110.298 94.438726) + (xy 110.298 94.674069) + (xy 109.702499 94.674069) + (xy 109.702499 94.436626) + (xy 109.701458 94.428713) + (xy 109.696845 94.393669) + (xy 109.696845 94.393668) + (xy 109.696221 94.388929) + (xy 109.680179 94.354526) + (xy 109.649723 94.289213) + (xy 109.649721 94.289211) + (xy 109.647411 94.284256) + (xy 109.565744 94.202589) + (xy 109.560789 94.200279) + (xy 109.560787 94.200277) + (xy 109.511816 94.177442) + (xy 109.461071 94.153779) + (xy 109.444831 94.151641) + (xy 109.415407 94.147767) + (xy 109.415399 94.147767) + (xy 109.413375 94.1475) + (xy 109.411328 94.1475) + (xy 109.174358 94.147501) + (xy 108.936626 94.147501) + (xy 108.934615 94.147766) + (xy 108.93461 94.147766) + (xy 108.888929 94.153779) + (xy 108.888756 94.152468) + (xy 108.846492 94.147844) + (xy 108.832354 94.137239) + (xy 108.340628 93.645513) + (xy 108.336323 93.639439) + (xy 108.33575 93.639895) + (xy 108.331369 93.634387) + (xy 108.328322 93.628042) + (xy 108.305239 93.609582) + (xy 108.30008 93.604965) + (xy 108.294831 93.599716) + (xy 108.288828 93.595943) + (xy 108.283052 93.591838) + (xy 108.260267 93.573617) + (xy 108.253407 93.57204) + (xy 108.249647 93.570222) + (xy 108.245712 93.568844) + (xy 108.239752 93.565098) + (xy 108.232757 93.564307) + (xy 108.227562 93.562488) + (xy 108.191901 93.530604) + (xy 108.18923 93.482844) + (xy 108.221114 93.447183) + (xy 108.248218 93.441) + (xy 108.476187 93.441) + (xy 108.520381 93.459306) + (xy 108.529248 93.470473) + (xy 108.53154 93.474155) + (xy 108.533333 93.47823) + (xy 108.544842 93.491922) + (xy 108.548029 93.495713) + (xy 108.550157 93.498434) + (xy 108.552292 93.502625) + (xy 108.560081 93.510414) + (xy 108.563729 93.514391) + (xy 108.612127 93.571968) + (xy 108.635399 93.587459) + (xy 108.641836 93.592733) + (xy 108.643899 93.594232) + (xy 108.647375 93.597708) + (xy 108.651753 93.599939) + (xy 108.651756 93.599941) + (xy 108.65873 93.603494) + (xy 108.664977 93.607148) + (xy 108.714064 93.639823) + (xy 108.718313 93.641151) + (xy 108.718314 93.641151) + (xy 108.750296 93.651143) + (xy 108.760027 93.655109) + (xy 108.762799 93.656521) + (xy 108.762803 93.656522) + (xy 108.767187 93.658756) + (xy 108.777852 93.660445) + (xy 108.786713 93.66252) + (xy 108.826696 93.675012) + (xy 108.826699 93.675012) + (xy 108.830948 93.67634) + (xy 108.880069 93.677241) + (xy 108.888683 93.677999) + (xy 108.89514 93.679022) + (xy 108.895144 93.679022) + (xy 108.9 93.679791) + (xy 108.906947 93.67869) + (xy 108.917853 93.677933) + (xy 108.953383 93.678584) + (xy 109.013532 93.662185) + (xy 109.02019 93.660755) + (xy 109.022147 93.660445) + (xy 109.032813 93.658756) + (xy 109.037196 93.656522) + (xy 109.041875 93.655002) + (xy 109.041878 93.65501) + (xy 109.046727 93.653136) + (xy 109.071527 93.646375) + (xy 109.082824 93.639439) + (xy 109.139709 93.604511) + (xy 109.144037 93.602085) + (xy 109.14824 93.599944) + (xy 109.148248 93.599938) + (xy 109.152625 93.597708) + (xy 109.155427 93.594906) + (xy 109.156264 93.594346) + (xy 109.158891 93.592733) + (xy 109.175881 93.582301) + (xy 109.258058 93.491513) + (xy 109.261578 93.484249) + (xy 109.265454 93.476248) + (xy 109.301198 93.444458) + (xy 109.3217 93.441) + (xy 109.478041 93.441) + (xy 109.48538 93.44225) + (xy 109.485463 93.441523) + (xy 109.492454 93.44232) + (xy 109.499095 93.444652) + (xy 109.506088 93.443874) + (xy 109.506089 93.443874) + (xy 109.52847 93.441383) + (xy 109.535383 93.441) + (xy 109.542806 93.441) + (xy 109.549738 93.439419) + (xy 109.556691 93.438243) + (xy 109.585702 93.435014) + (xy 109.591666 93.431281) + (xy 109.595614 93.429906) + (xy 109.599373 93.428097) + (xy 109.606232 93.426532) + (xy 109.629047 93.408351) + (xy 109.634832 93.404256) + (xy 109.637988 93.40228) + (xy 109.63799 93.402279) + (xy 109.640984 93.400404) + (xy 109.646197 93.395191) + (xy 109.651441 93.390506) + (xy 109.668877 93.376612) + (xy 109.668877 93.376611) + (xy 109.674382 93.372225) + (xy 109.67744 93.365886) + (xy 109.681831 93.360385) + (xy 109.682365 93.360811) + (xy 109.686741 93.354647) + (xy 109.768582 93.272806) + (xy 109.812776 93.2545) + (xy 130.691917 93.2545) + (xy 130.736111 93.272806) + (xy 131.135226 93.671921) + (xy 131.153532 93.716115) + (xy 131.137877 93.757488) + (xy 131.132862 93.763167) + (xy 131.106634 93.792864) + (xy 131.105511 93.794058) + (xy 131.105252 93.794415) + (xy 131.105033 93.794634) + (xy 131.104884 93.794725) + (xy 131.103983 93.795684) + (xy 131.101771 93.797896) + (xy 131.100988 93.797113) + (xy 131.099841 93.797817) + (xy 131.1012 93.799017) + (xy 131.092333 93.809057) + (xy 131.088497 93.8134) + (xy 131.086606 93.817428) + (xy 131.038347 93.920216) + (xy 131.038346 93.920219) + (xy 131.036455 93.924247) + (xy 131.017615 94.045245) + (xy 131.018192 94.049658) + (xy 131.018192 94.049659) + (xy 131.031032 94.147844) + (xy 131.033493 94.166666) + (xy 131.040664 94.182964) + (xy 131.040723 94.183334) + (xy 131.041486 94.184832) + (xy 131.082812 94.278751) + (xy 131.096751 94.295334) + (xy 131.097508 94.296234) + (xy 131.099636 94.298955) + (xy 131.101771 94.303146) + (xy 131.10956 94.310935) + (xy 131.113209 94.314913) + (xy 131.151406 94.360354) + (xy 131.161606 94.372489) + (xy 131.184878 94.38798) + (xy 131.191315 94.393254) + (xy 131.193378 94.394753) + (xy 131.196854 94.398229) + (xy 131.201232 94.40046) + (xy 131.201235 94.400462) + (xy 131.208209 94.404015) + (xy 131.214456 94.407669) + (xy 131.263543 94.440344) + (xy 131.267792 94.441672) + (xy 131.267793 94.441672) + (xy 131.299775 94.451664) + (xy 131.309506 94.45563) + (xy 131.312278 94.457042) + (xy 131.312282 94.457043) + (xy 131.316666 94.459277) + (xy 131.327331 94.460966) + (xy 131.336192 94.463041) + (xy 131.376175 94.475533) + (xy 131.376178 94.475533) + (xy 131.380427 94.476861) + (xy 131.429548 94.477762) + (xy 131.438162 94.47852) + (xy 131.444619 94.479543) + (xy 131.444623 94.479543) + (xy 131.449479 94.480312) + (xy 131.456426 94.479211) + (xy 131.467332 94.478454) + (xy 131.502862 94.479105) + (xy 131.563011 94.462706) + (xy 131.569669 94.461276) + (xy 131.571626 94.460966) + (xy 131.582292 94.459277) + (xy 131.586675 94.457043) + (xy 131.591354 94.455523) + (xy 131.591357 94.455531) + (xy 131.596206 94.453657) + (xy 131.621006 94.446896) + (xy 131.633153 94.439438) + (xy 131.689188 94.405032) + (xy 131.693516 94.402606) + (xy 131.697719 94.400465) + (xy 131.697727 94.400459) + (xy 131.702104 94.398229) + (xy 131.704906 94.395427) + (xy 131.705743 94.394867) + (xy 131.707694 94.393669) + (xy 131.72536 94.382822) + (xy 131.807537 94.292034) + (xy 131.86093 94.181832) + (xy 131.862796 94.170745) + (xy 131.877316 94.084431) + (xy 131.881246 94.061073) + (xy 131.881375 94.050521) + (xy 131.864538 93.932955) + (xy 131.876394 93.886612) + (xy 131.917547 93.862226) + (xy 131.945044 93.864439) + (xy 131.981469 93.875819) + (xy 132.03059 93.87672) + (xy 132.039204 93.877478) + (xy 132.045661 93.878501) + (xy 132.045665 93.878501) + (xy 132.050521 93.87927) + (xy 132.057468 93.878169) + (xy 132.068374 93.877412) + (xy 132.103904 93.878063) + (xy 132.164053 93.861664) + (xy 132.170711 93.860234) + (xy 132.172221 93.859995) + (xy 132.183334 93.858235) + (xy 132.187717 93.856001) + (xy 132.192396 93.854481) + (xy 132.192399 93.854489) + (xy 132.197248 93.852615) + (xy 132.222048 93.845854) + (xy 132.280338 93.810064) + (xy 132.29023 93.80399) + (xy 132.294558 93.801564) + (xy 132.298761 93.799423) + (xy 132.298769 93.799417) + (xy 132.303146 93.797187) + (xy 132.305948 93.794385) + (xy 132.306785 93.793825) + (xy 132.30835 93.792864) + (xy 132.326402 93.78178) + (xy 132.408579 93.690992) + (xy 132.461972 93.58079) + (xy 132.463042 93.574433) + (xy 132.477638 93.487669) + (xy 132.482288 93.460031) + (xy 132.482417 93.449479) + (xy 132.465057 93.32826) + (xy 132.414373 93.216786) + (xy 132.39972 93.199781) + (xy 132.398229 93.196854) + (xy 132.392421 93.191046) + (xy 132.389267 93.187649) + (xy 132.337347 93.127393) + (xy 132.334439 93.124018) + (xy 132.314262 93.11094) + (xy 132.310676 93.108038) + (xy 132.310602 93.10814) + (xy 132.306627 93.105252) + (xy 132.303146 93.101771) + (xy 132.291864 93.096022) + (xy 132.286257 93.092788) + (xy 132.285085 93.092028) + (xy 132.265162 93.079115) + (xy 132.235414 93.059833) + (xy 132.235411 93.059832) + (xy 132.231681 93.057414) + (xy 132.227422 93.05614) + (xy 132.227419 93.056139) + (xy 132.208866 93.050591) + (xy 132.19936 93.047748) + (xy 132.188898 93.043558) + (xy 132.183334 93.040723) + (xy 132.171588 93.038863) + (xy 132.163472 93.037015) + (xy 132.159055 93.035694) + (xy 132.114359 93.022327) + (xy 132.070017 93.022056) + (xy 132.060624 93.021288) + (xy 132.055378 93.020457) + (xy 132.055377 93.020457) + (xy 132.050521 93.019688) + (xy 132.041894 93.021055) + (xy 132.031741 93.021823) + (xy 132.013357 93.02171) + (xy 131.996359 93.021606) + (xy 131.996357 93.021606) + (xy 131.991906 93.021579) + (xy 131.937532 93.03712) + (xy 131.930144 93.038754) + (xy 131.917708 93.040723) + (xy 131.913325 93.042956) + (xy 131.912391 93.04326) + (xy 131.902676 93.047082) + (xy 131.87845 93.054005) + (xy 131.878448 93.054006) + (xy 131.874165 93.05523) + (xy 131.81464 93.092788) + (xy 131.81334 93.093608) + (xy 131.808363 93.096438) + (xy 131.802278 93.099538) + (xy 131.802277 93.099539) + (xy 131.797896 93.101771) + (xy 131.794418 93.105249) + (xy 131.792966 93.106304) + (xy 131.789579 93.108599) + (xy 131.770601 93.120574) + (xy 131.767657 93.123908) + (xy 131.767654 93.12391) + (xy 131.761467 93.130915) + (xy 131.71849 93.15192) + (xy 131.670429 93.133734) + (xy 131.118708 92.582013) + (xy 131.114403 92.575939) + (xy 131.11383 92.576395) + (xy 131.109449 92.570887) + (xy 131.106402 92.564542) + (xy 131.083319 92.546082) + (xy 131.07816 92.541465) + (xy 131.072911 92.536216) + (xy 131.066908 92.532443) + (xy 131.061132 92.528338) + (xy 131.038347 92.510117) + (xy 131.031487 92.50854) + (xy 131.027727 92.506722) + (xy 131.023791 92.505344) + (xy 131.017832 92.501598) + (xy 131.010838 92.500807) + (xy 131.010837 92.500807) + (xy 130.988844 92.498321) + (xy 130.981867 92.497129) + (xy 130.974783 92.4955) + (xy 130.967409 92.4955) + (xy 130.960388 92.495104) + (xy 130.958707 92.494914) + (xy 130.931242 92.491809) + (xy 130.924597 92.49413) + (xy 130.917603 92.494914) + (xy 130.917527 92.494236) + (xy 130.910075 92.4955) + (xy 109.812776 92.4955) + (xy 109.768582 92.477194) + (xy 109.686901 92.395513) + (xy 109.682596 92.389439) + (xy 109.682023 92.389895) + (xy 109.677642 92.384387) + (xy 109.674595 92.378042) + (xy 109.651512 92.359582) + (xy 109.646353 92.354965) + (xy 109.641104 92.349716) + (xy 109.635101 92.345943) + (xy 109.629325 92.341838) + (xy 109.60654 92.323617) + (xy 109.59968 92.32204) + (xy 109.59592 92.320222) + (xy 109.591984 92.318844) + (xy 109.586025 92.315098) + (xy 109.579031 92.314307) + (xy 109.57903 92.314307) + (xy 109.557037 92.311821) + (xy 109.55006 92.310629) + (xy 109.542976 92.309) + (xy 109.535602 92.309) + (xy 109.528581 92.308604) + (xy 109.5269 92.308414) + (xy 109.499435 92.305309) + (xy 109.49279 92.30763) + (xy 109.485796 92.308414) + (xy 109.48572 92.307736) + (xy 109.478268 92.309) + (xy 107.105002 92.309) + (xy 107.060808 92.290694) + (xy 106.915628 92.145514) + (xy 106.911323 92.13944) + (xy 106.910749 92.139896) + (xy 106.90637 92.134391) + (xy 106.903322 92.128042) + (xy 106.880239 92.109582) + (xy 106.87508 92.104965) + (xy 106.869831 92.099716) + (xy 106.863828 92.095943) + (xy 106.858052 92.091838) + (xy 106.835267 92.073617) + (xy 106.828407 92.07204) + (xy 106.824647 92.070222) + (xy 106.820712 92.068844) + (xy 106.814752 92.065098) + (xy 106.807757 92.064307) + (xy 106.802562 92.062488) + (xy 106.766901 92.030604) + (xy 106.76423 91.982844) + (xy 106.796114 91.947183) + (xy 106.823218 91.941) + (xy 106.976187 91.941) + (xy 107.020381 91.959306) + (xy 107.029248 91.970473) + (xy 107.03154 91.974155) + (xy 107.033333 91.97823) + (xy 107.047198 91.994724) + (xy 107.048029 91.995713) + (xy 107.050157 91.998434) + (xy 107.052292 92.002625) + (xy 107.060081 92.010414) + (xy 107.063729 92.014391) + (xy 107.112127 92.071968) + (xy 107.135399 92.087459) + (xy 107.141836 92.092733) + (xy 107.143899 92.094232) + (xy 107.147375 92.097708) + (xy 107.151753 92.099939) + (xy 107.151756 92.099941) + (xy 107.15873 92.103494) + (xy 107.164977 92.107148) + (xy 107.214064 92.139823) + (xy 107.218313 92.141151) + (xy 107.218314 92.141151) + (xy 107.250296 92.151143) + (xy 107.260027 92.155109) + (xy 107.262799 92.156521) + (xy 107.262803 92.156522) + (xy 107.267187 92.158756) + (xy 107.277852 92.160445) + (xy 107.286713 92.16252) + (xy 107.326696 92.175012) + (xy 107.326699 92.175012) + (xy 107.330948 92.17634) + (xy 107.380069 92.177241) + (xy 107.388683 92.177999) + (xy 107.39514 92.179022) + (xy 107.395144 92.179022) + (xy 107.4 92.179791) + (xy 107.406947 92.17869) + (xy 107.417853 92.177933) + (xy 107.453383 92.178584) + (xy 107.513532 92.162185) + (xy 107.52019 92.160755) + (xy 107.522147 92.160445) + (xy 107.532813 92.158756) + (xy 107.537196 92.156522) + (xy 107.541875 92.155002) + (xy 107.541878 92.15501) + (xy 107.546727 92.153136) + (xy 107.571527 92.146375) + (xy 107.594218 92.132443) + (xy 107.639709 92.104511) + (xy 107.644037 92.102085) + (xy 107.64824 92.099944) + (xy 107.648248 92.099938) + (xy 107.652625 92.097708) + (xy 107.655427 92.094906) + (xy 107.656264 92.094346) + (xy 107.658891 92.092733) + (xy 107.675881 92.082301) + (xy 107.758058 91.991513) + (xy 107.811451 91.881311) + (xy 107.814632 91.862406) + (xy 107.829949 91.771357) + (xy 107.831767 91.760552) + (xy 107.831896 91.75) + (xy 107.814536 91.628781) + (xy 107.763852 91.517307) + (xy 107.749199 91.500302) + (xy 107.747708 91.497375) + (xy 107.7419 91.491567) + (xy 107.738746 91.48817) + (xy 107.692715 91.434748) + (xy 107.683918 91.424539) + (xy 107.663741 91.411461) + (xy 107.660155 91.408559) + (xy 107.660081 91.408661) + (xy 107.656106 91.405773) + (xy 107.652625 91.402292) + (xy 107.641343 91.396543) + (xy 107.635736 91.393309) + (xy 107.584893 91.360354) + (xy 107.58489 91.360353) + (xy 107.58116 91.357935) + (xy 107.576901 91.356661) + (xy 107.576898 91.35666) + (xy 107.554429 91.349941) + (xy 107.548839 91.348269) + (xy 107.538377 91.344079) + (xy 107.532813 91.341244) + (xy 107.521067 91.339384) + (xy 107.512951 91.337536) + (xy 107.463838 91.322848) + (xy 107.419496 91.322577) + (xy 107.410103 91.321809) + (xy 107.404857 91.320978) + (xy 107.404856 91.320978) + (xy 107.4 91.320209) + (xy 107.391373 91.321576) + (xy 107.38122 91.322344) + (xy 107.362836 91.322231) + (xy 107.345838 91.322127) + (xy 107.345836 91.322127) + (xy 107.341385 91.3221) + (xy 107.287011 91.337641) + (xy 107.279623 91.339275) + (xy 107.267187 91.341244) + (xy 107.262804 91.343477) + (xy 107.26187 91.343781) + (xy 107.252156 91.347603) + (xy 107.249821 91.34827) + (xy 107.227929 91.354526) + (xy 107.227927 91.354527) + (xy 107.223644 91.355751) + (xy 107.164119 91.393309) + (xy 107.162819 91.394129) + (xy 107.157842 91.396959) + (xy 107.151757 91.400059) + (xy 107.151756 91.40006) + (xy 107.147375 91.402292) + (xy 107.143897 91.40577) + (xy 107.142445 91.406825) + (xy 107.139058 91.40912) + (xy 107.12008 91.421095) + (xy 107.117137 91.424427) + (xy 107.117135 91.424429) + (xy 107.057156 91.492342) + (xy 107.056032 91.493538) + (xy 107.055775 91.493892) + (xy 107.055554 91.494113) + (xy 107.055405 91.494204) + (xy 107.054504 91.495163) + (xy 107.052292 91.497375) + (xy 107.051509 91.496592) + (xy 107.050362 91.497296) + (xy 107.051721 91.498496) + (xy 107.039018 91.512879) + (xy 107.037127 91.516906) + (xy 107.037126 91.516908) + (xy 107.034237 91.523062) + (xy 106.998884 91.555287) + (xy 106.977662 91.559) + (xy 105.904009 91.559) + (xy 105.859815 91.540694) + (xy 105.841509 91.4965) + (xy 105.852042 91.461777) + (xy 105.866683 91.439865) + (xy 105.870102 91.434748) + (xy 105.871462 91.427914) + (xy 105.876901 91.400569) + (xy 105.8775 91.397558) + (xy 105.8775 91.102442) + (xy 105.870102 91.065252) + (xy 105.852042 91.038223) + (xy 105.84271 90.991307) + (xy 105.869286 90.951533) + (xy 105.904009 90.941) + (xy 108.131768 90.941) + (xy 108.139107 90.94225) + (xy 108.13919 90.941523) + (xy 108.146181 90.94232) + (xy 108.152822 90.944652) + (xy 108.159815 90.943874) + (xy 108.159816 90.943874) + (xy 108.182197 90.941383) + (xy 108.18911 90.941) + (xy 108.196533 90.941) + (xy 108.203465 90.939419) + (xy 108.210418 90.938243) + (xy 108.239429 90.935014) + (xy 108.245393 90.931281) + (xy 108.249341 90.929906) + (xy 108.2531 90.928097) + (xy 108.259959 90.926532) + (xy 108.282774 90.908351) + (xy 108.288559 90.904256) + (xy 108.291715 90.90228) + (xy 108.291717 90.902279) + (xy 108.294711 90.900404) + (xy 108.299924 90.895191) + (xy 108.305168 90.890506) + (xy 108.322604 90.876612) + (xy 108.322604 90.876611) + (xy 108.328109 90.872225) + (xy 108.331167 90.865886) + (xy 108.335558 90.860385) + (xy 108.336092 90.860811) + (xy 108.340468 90.854647) + (xy 108.832354 90.362761) + (xy 108.876548 90.344455) + (xy 108.888929 90.346222) + (xy 108.888929 90.346221) + (xy 108.934593 90.352233) + (xy 108.934601 90.352233) + (xy 108.936625 90.3525) + (xy 108.938672 90.3525) + (xy 109.175642 90.352499) + (xy 109.413374 90.352499) + (xy 109.415385 90.352234) + (xy 109.41539 90.352234) + (xy 109.456331 90.346845) + (xy 109.456332 90.346845) + (xy 109.461071 90.346221) + (xy 109.48355 90.335739) + (xy 109.560787 90.299723) + (xy 109.560789 90.299721) + (xy 109.565744 90.297411) + (xy 109.647411 90.215744) + (xy 109.649721 90.210789) + (xy 109.649723 90.210787) + (xy 109.677064 90.152153) + (xy 109.696221 90.111071) + (xy 109.701085 90.074124) + (xy 109.702233 90.065407) + (xy 109.702233 90.065399) + (xy 109.7025 90.063375) + (xy 109.7025 90.061284) + (xy 110.298001 90.061284) + (xy 110.298266 90.065335) + (xy 110.303645 90.1062) + (xy 110.30629 90.115274) + (xy 110.350693 90.210495) + (xy 110.356873 90.219321) + (xy 110.430679 90.293127) + (xy 110.439505 90.299307) + (xy 110.534727 90.34371) + (xy 110.543801 90.346355) + (xy 110.584647 90.351733) + (xy 110.588726 90.352) + (xy 110.749069 90.352) + (xy 110.757859 90.348359) + (xy 110.7615 90.339569) + (xy 110.7615 90.339568) + (xy 110.8885 90.339568) + (xy 110.892141 90.348358) + (xy 110.900931 90.351999) + (xy 111.061284 90.351999) + (xy 111.065335 90.351734) + (xy 111.1062 90.346355) + (xy 111.115274 90.34371) + (xy 111.210495 90.299307) + (xy 111.219321 90.293127) + (xy 111.293127 90.219321) + (xy 111.299307 90.210495) + (xy 111.34371 90.115273) + (xy 111.346355 90.106199) + (xy 111.351733 90.065353) + (xy 111.352 90.061274) + (xy 111.352 89.825931) + (xy 111.348359 89.817141) + (xy 111.339569 89.8135) + (xy 110.900931 89.8135) + (xy 110.892141 89.817141) + (xy 110.8885 89.825931) + (xy 110.8885 90.339568) + (xy 110.7615 90.339568) + (xy 110.7615 89.825931) + (xy 110.757859 89.817141) + (xy 110.749069 89.8135) + (xy 110.310432 89.8135) + (xy 110.301642 89.817141) + (xy 110.298001 89.825931) + (xy 110.298001 90.061284) + (xy 109.7025 90.061284) + (xy 109.702499 89.674069) + (xy 110.298 89.674069) + (xy 110.301641 89.682859) + (xy 110.310431 89.6865) + (xy 110.749069 89.6865) + (xy 110.757859 89.682859) + (xy 110.7615 89.674069) + (xy 110.8885 89.674069) + (xy 110.892141 89.682859) + (xy 110.900931 89.6865) + (xy 111.339568 89.6865) + (xy 111.348358 89.682859) + (xy 111.351999 89.674069) + (xy 111.351999 89.559438) + (xy 114.423 89.559438) + (xy 114.423599 89.565519) + (xy 114.429169 89.593518) + (xy 114.433788 89.60467) + (xy 114.455019 89.636445) + (xy 114.463555 89.644981) + (xy 114.49533 89.666212) + (xy 114.506482 89.670831) + (xy 114.534481 89.676401) + (xy 114.540562 89.677) + (xy 115.174069 89.677) + (xy 115.182859 89.673359) + (xy 115.1865 89.664569) + (xy 115.3135 89.664569) + (xy 115.317141 89.673359) + (xy 115.325931 89.677) + (xy 115.959438 89.677) + (xy 115.965519 89.676401) + (xy 115.993518 89.670831) + (xy 116.00467 89.666212) + (xy 116.036445 89.644981) + (xy 116.044981 89.636445) + (xy 116.066212 89.60467) + (xy 116.070831 89.593518) + (xy 116.076401 89.565519) + (xy 116.076693 89.562558) + (xy 118.9225 89.562558) + (xy 118.923099 89.565569) + (xy 118.928659 89.593518) + (xy 118.929898 89.599748) + (xy 118.958078 89.641922) + (xy 119.000252 89.670102) + (xy 119.006285 89.671302) + (xy 119.006287 89.671303) + (xy 119.027468 89.675516) + (xy 119.037442 89.6775) + (xy 119.631497 89.6775) + (xy 119.675691 89.695806) + (xy 120.084372 90.104487) + (xy 120.088677 90.110561) + (xy 120.08925 90.110105) + (xy 120.093631 90.115613) + (xy 120.096678 90.121958) + (xy 120.110723 90.13319) + (xy 120.119761 90.140418) + (xy 120.12492 90.145035) + (xy 120.130169 90.150284) + (xy 120.133143 90.152153) + (xy 120.136172 90.154057) + (xy 120.141948 90.158162) + (xy 120.164733 90.176383) + (xy 120.171593 90.17796) + (xy 120.175353 90.179778) + (xy 120.179289 90.181156) + (xy 120.185248 90.184902) + (xy 120.192242 90.185693) + (xy 120.192243 90.185693) + (xy 120.214236 90.188179) + (xy 120.221213 90.189371) + (xy 120.228297 90.191) + (xy 120.235671 90.191) + (xy 120.242692 90.191396) + (xy 120.271838 90.194691) + (xy 120.278483 90.19237) + (xy 120.285477 90.191586) + (xy 120.285553 90.192264) + (xy 120.293005 90.191) + (xy 127.076187 90.191) + (xy 127.120381 90.209306) + (xy 127.129248 90.220473) + (xy 127.13154 90.224155) + (xy 127.133333 90.22823) + (xy 127.147198 90.244724) + (xy 127.148029 90.245713) + (xy 127.150157 90.248434) + (xy 127.152292 90.252625) + (xy 127.160081 90.260414) + (xy 127.16373 90.264392) + (xy 127.199913 90.307437) + (xy 127.212127 90.321968) + (xy 127.235399 90.337459) + (xy 127.241836 90.342733) + (xy 127.243899 90.344232) + (xy 127.247375 90.347708) + (xy 127.251753 90.349939) + (xy 127.251756 90.349941) + (xy 127.25873 90.353494) + (xy 127.264977 90.357148) + (xy 127.314064 90.389823) + (xy 127.318313 90.391151) + (xy 127.318314 90.391151) + (xy 127.350296 90.401143) + (xy 127.360027 90.405109) + (xy 127.362799 90.406521) + (xy 127.362803 90.406522) + (xy 127.367187 90.408756) + (xy 127.377852 90.410445) + (xy 127.386713 90.41252) + (xy 127.426696 90.425012) + (xy 127.426699 90.425012) + (xy 127.430948 90.42634) + (xy 127.480069 90.427241) + (xy 127.488683 90.427999) + (xy 127.49514 90.429022) + (xy 127.495144 90.429022) + (xy 127.5 90.429791) + (xy 127.506947 90.42869) + (xy 127.517853 90.427933) + (xy 127.553383 90.428584) + (xy 127.613532 90.412185) + (xy 127.62019 90.410755) + (xy 127.622147 90.410445) + (xy 127.632813 90.408756) + (xy 127.637196 90.406522) + (xy 127.641875 90.405002) + (xy 127.641878 90.40501) + (xy 127.646727 90.403136) + (xy 127.671527 90.396375) + (xy 127.694218 90.382443) + (xy 127.739709 90.354511) + (xy 127.744037 90.352085) + (xy 127.74824 90.349944) + (xy 127.748248 90.349938) + (xy 127.752625 90.347708) + (xy 127.755427 90.344906) + (xy 127.756264 90.344346) + (xy 127.758891 90.342733) + (xy 127.775881 90.332301) + (xy 127.858058 90.241513) + (xy 127.911451 90.131311) + (xy 127.913317 90.120224) + (xy 127.924373 90.0545) + (xy 127.931767 90.010552) + (xy 127.931896 90) + (xy 127.914536 89.878781) + (xy 127.863852 89.767307) + (xy 127.849199 89.750302) + (xy 127.847708 89.747375) + (xy 127.8419 89.741567) + (xy 127.838746 89.73817) + (xy 127.813875 89.709306) + (xy 127.783918 89.674539) + (xy 127.763741 89.661461) + (xy 127.760155 89.658559) + (xy 127.760081 89.658661) + (xy 127.756106 89.655773) + (xy 127.752625 89.652292) + (xy 127.741343 89.646543) + (xy 127.735736 89.643309) + (xy 127.733597 89.641922) + (xy 127.709976 89.626612) + (xy 127.684893 89.610354) + (xy 127.68489 89.610353) + (xy 127.68116 89.607935) + (xy 127.676901 89.606661) + (xy 127.676898 89.60666) + (xy 127.653784 89.599748) + (xy 127.648839 89.598269) + (xy 127.638377 89.594079) + (xy 127.632813 89.591244) + (xy 127.621067 89.589384) + (xy 127.612951 89.587536) + (xy 127.583041 89.578591) + (xy 127.563838 89.572848) + (xy 127.519496 89.572577) + (xy 127.510103 89.571809) + (xy 127.504857 89.570978) + (xy 127.504856 89.570978) + (xy 127.5 89.570209) + (xy 127.491373 89.571576) + (xy 127.48122 89.572344) + (xy 127.462836 89.572231) + (xy 127.445838 89.572127) + (xy 127.445836 89.572127) + (xy 127.441385 89.5721) + (xy 127.387011 89.587641) + (xy 127.379623 89.589275) + (xy 127.367187 89.591244) + (xy 127.362804 89.593477) + (xy 127.36187 89.593781) + (xy 127.352155 89.597603) + (xy 127.327929 89.604526) + (xy 127.327927 89.604527) + (xy 127.323644 89.605751) + (xy 127.319875 89.608129) + (xy 127.315624 89.610811) + (xy 127.264119 89.643309) + (xy 127.262819 89.644129) + (xy 127.257842 89.646959) + (xy 127.251757 89.650059) + (xy 127.251756 89.65006) + (xy 127.247375 89.652292) + (xy 127.243897 89.65577) + (xy 127.242445 89.656825) + (xy 127.239058 89.65912) + (xy 127.22008 89.671095) + (xy 127.217137 89.674427) + (xy 127.217135 89.674429) + (xy 127.157156 89.742342) + (xy 127.156032 89.743538) + (xy 127.155775 89.743892) + (xy 127.155554 89.744113) + (xy 127.155405 89.744204) + (xy 127.154504 89.745163) + (xy 127.152292 89.747375) + (xy 127.151509 89.746592) + (xy 127.150362 89.747296) + (xy 127.151721 89.748496) + (xy 127.139018 89.762879) + (xy 127.137127 89.766906) + (xy 127.137126 89.766908) + (xy 127.134237 89.773062) + (xy 127.098884 89.805287) + (xy 127.077662 89.809) + (xy 120.355003 89.809) + (xy 120.310809 89.790694) + (xy 120.304309 89.784194) + (xy 120.286003 89.74) + (xy 120.304309 89.695806) + (xy 120.348503 89.6775) + (xy 120.462558 89.6775) + (xy 120.472532 89.675516) + (xy 120.493713 89.671303) + (xy 120.493715 89.671302) + (xy 120.499748 89.670102) + (xy 120.541922 89.641922) + (xy 120.570102 89.599748) + (xy 120.571342 89.593518) + (xy 120.576901 89.565569) + (xy 120.5775 89.562558) + (xy 120.5775 88.600824) + (xy 130.230201 88.600824) + (xy 130.25981 88.773141) + (xy 130.328267 88.934024) + (xy 130.431898 89.074843) + (xy 130.434664 89.077193) + (xy 130.434666 89.077195) + (xy 130.481344 89.11685) + (xy 130.565146 89.188045) + (xy 130.720862 89.267558) + (xy 130.890693 89.309115) + (xy 130.898268 89.309585) + (xy 130.900765 89.30974) + (xy 130.900769 89.30974) + (xy 130.901734 89.3098) + (xy 131.027779 89.3098) + (xy 131.029569 89.309591) + (xy 131.029574 89.309591) + (xy 131.082022 89.303476) + (xy 131.157665 89.294657) + (xy 131.16108 89.293418) + (xy 131.161082 89.293417) + (xy 131.218109 89.272717) + (xy 131.322015 89.235001) + (xy 131.468233 89.139136) + (xy 131.588475 89.012205) + (xy 131.590297 89.009067) + (xy 131.5903 89.009064) + (xy 131.674473 88.864149) + (xy 131.674474 88.864148) + (xy 131.676292 88.861017) + (xy 131.726973 88.693682) + (xy 131.737799 88.519176) + (xy 131.70819 88.346859) + (xy 131.639733 88.185976) + (xy 131.536102 88.045157) + (xy 131.512022 88.024699) + (xy 131.442407 87.965558) + (xy 131.402854 87.931955) + (xy 131.392288 87.926559) + (xy 131.361254 87.890158) + (xy 131.365049 87.842473) + (xy 131.401451 87.811439) + (xy 131.412554 87.808932) + (xy 131.49687 87.797832) + (xy 131.504711 87.795731) + (xy 131.623812 87.746398) + (xy 131.630849 87.742335) + (xy 131.675691 87.707927) + (xy 131.680449 87.699685) + (xy 131.678826 87.693629) + (xy 131.381891 87.396694) + (xy 131.37032 87.36876) + (xy 131.362136 87.376939) + (xy 131.365 87.379803) + (xy 131.053235 87.691568) + (xy 131.049594 87.700358) + (xy 131.057993 87.720634) + (xy 131.055404 87.721707) + (xy 131.066207 87.743618) + (xy 131.050827 87.788913) + (xy 131.00384 87.8102) + (xy 130.940221 87.8102) + (xy 130.938431 87.810409) + (xy 130.938426 87.810409) + (xy 130.888784 87.816197) + (xy 130.810335 87.825343) + (xy 130.80692 87.826582) + (xy 130.806918 87.826583) + (xy 130.75866 87.8441) + (xy 130.645985 87.884999) + (xy 130.642949 87.88699) + (xy 130.642948 87.88699) + (xy 130.630228 87.89533) + (xy 130.499767 87.980864) + (xy 130.379525 88.107795) + (xy 130.377703 88.110933) + (xy 130.3777 88.110936) + (xy 130.295401 88.252625) + (xy 130.291708 88.258983) + (xy 130.279316 88.299898) + (xy 130.245619 88.411158) + (xy 130.241027 88.426318) + (xy 130.230201 88.600824) + (xy 120.5775 88.600824) + (xy 120.5775 87.937442) + (xy 120.574879 87.924266) + (xy 120.571303 87.906287) + (xy 120.571302 87.906285) + (xy 120.570102 87.900252) + (xy 120.541922 87.858078) + (xy 120.499748 87.829898) + (xy 120.493715 87.828698) + (xy 120.493713 87.828697) + (xy 120.465569 87.823099) + (xy 120.462558 87.8225) + (xy 119.037442 87.8225) + (xy 119.034431 87.823099) + (xy 119.006287 87.828697) + (xy 119.006285 87.828698) + (xy 119.000252 87.829898) + (xy 118.958078 87.858078) + (xy 118.929898 87.900252) + (xy 118.928698 87.906285) + (xy 118.928697 87.906287) + (xy 118.925121 87.924266) + (xy 118.9225 87.937442) + (xy 118.9225 89.562558) + (xy 116.076693 89.562558) + (xy 116.077 89.559438) + (xy 116.077 88.825931) + (xy 116.073359 88.817141) + (xy 116.064569 88.8135) + (xy 115.325931 88.8135) + (xy 115.317141 88.817141) + (xy 115.3135 88.825931) + (xy 115.3135 89.664569) + (xy 115.1865 89.664569) + (xy 115.1865 88.825931) + (xy 115.182859 88.817141) + (xy 115.174069 88.8135) + (xy 114.435431 88.8135) + (xy 114.426641 88.817141) + (xy 114.423 88.825931) + (xy 114.423 89.559438) + (xy 111.351999 89.559438) + (xy 111.351999 89.438716) + (xy 111.351734 89.434665) + (xy 111.346355 89.3938) + (xy 111.34371 89.384726) + (xy 111.299307 89.289505) + (xy 111.293127 89.280679) + (xy 111.219321 89.206873) + (xy 111.210495 89.200693) + (xy 111.115273 89.15629) + (xy 111.106199 89.153645) + (xy 111.065353 89.148267) + (xy 111.061274 89.148) + (xy 110.900931 89.148) + (xy 110.892141 89.151641) + (xy 110.8885 89.160431) + (xy 110.8885 89.674069) + (xy 110.7615 89.674069) + (xy 110.7615 89.160432) + (xy 110.757859 89.151642) + (xy 110.749069 89.148001) + (xy 110.588716 89.148001) + (xy 110.584665 89.148266) + (xy 110.5438 89.153645) + (xy 110.534726 89.15629) + (xy 110.439505 89.200693) + (xy 110.430679 89.206873) + (xy 110.356873 89.280679) + (xy 110.350693 89.289505) + (xy 110.30629 89.384727) + (xy 110.303645 89.393801) + (xy 110.298267 89.434647) + (xy 110.298 89.438726) + (xy 110.298 89.674069) + (xy 109.702499 89.674069) + (xy 109.702499 89.436626) + (xy 109.702232 89.434593) + (xy 109.696845 89.393669) + (xy 109.696845 89.393668) + (xy 109.696221 89.388929) + (xy 109.689777 89.375109) + (xy 109.649723 89.289213) + (xy 109.649721 89.289211) + (xy 109.647411 89.284256) + (xy 109.565744 89.202589) + (xy 109.560789 89.200279) + (xy 109.560787 89.200277) + (xy 109.506903 89.175151) + (xy 109.461071 89.153779) + (xy 109.444831 89.151641) + (xy 109.415407 89.147767) + (xy 109.415399 89.147767) + (xy 109.413375 89.1475) + (xy 109.411328 89.1475) + (xy 109.174358 89.147501) + (xy 108.936626 89.147501) + (xy 108.934615 89.147766) + (xy 108.93461 89.147766) + (xy 108.893669 89.153155) + (xy 108.893668 89.153155) + (xy 108.888929 89.153779) + (xy 108.884595 89.1558) + (xy 108.789213 89.200277) + (xy 108.789211 89.200279) + (xy 108.784256 89.202589) + (xy 108.702589 89.284256) + (xy 108.700279 89.289211) + (xy 108.700277 89.289213) + (xy 108.682393 89.327566) + (xy 108.653779 89.388929) + (xy 108.653155 89.393669) + (xy 108.653155 89.39367) + (xy 108.647767 89.434593) + (xy 108.647767 89.434601) + (xy 108.6475 89.436625) + (xy 108.647501 89.721948) + (xy 108.647501 89.981496) + (xy 108.629195 90.02569) + (xy 108.114191 90.540694) + (xy 108.069997 90.559) + (xy 106.925091 90.559) + (xy 106.880897 90.540694) + (xy 106.862591 90.4965) + (xy 106.868845 90.469249) + (xy 106.888587 90.428501) + (xy 106.911451 90.381311) + (xy 106.912983 90.372209) + (xy 106.92758 90.28544) + (xy 106.931767 90.260552) + (xy 106.931896 90.25) + (xy 106.914536 90.128781) + (xy 106.863852 90.017307) + (xy 106.849199 90.000302) + (xy 106.847708 89.997375) + (xy 106.8419 89.991567) + (xy 106.838746 89.98817) + (xy 106.786826 89.927914) + (xy 106.783918 89.924539) + (xy 106.763741 89.911461) + (xy 106.760155 89.908559) + (xy 106.760081 89.908661) + (xy 106.756106 89.905773) + (xy 106.752625 89.902292) + (xy 106.741343 89.896543) + (xy 106.735736 89.893309) + (xy 106.733637 89.891948) + (xy 106.715464 89.880169) + (xy 106.684893 89.860354) + (xy 106.68489 89.860353) + (xy 106.68116 89.857935) + (xy 106.676901 89.856661) + (xy 106.676898 89.85666) + (xy 106.653677 89.849716) + (xy 106.648839 89.848269) + (xy 106.638377 89.844079) + (xy 106.632813 89.841244) + (xy 106.629772 89.840762) + (xy 106.611844 89.83118) + (xy 106.58633 89.811066) + (xy 106.581458 89.809355) + (xy 106.577977 89.807441) + (xy 106.574359 89.805668) + (xy 106.570157 89.802666) + (xy 106.547266 89.79582) + (xy 106.519341 89.787469) + (xy 106.51654 89.786558) + (xy 106.466498 89.768984) + (xy 106.46258 89.768645) + (xy 106.462577 89.768644) + (xy 106.46224 89.768615) + (xy 106.462234 89.768615) + (xy 106.460909 89.7685) + (xy 106.46065 89.7685) + (xy 106.456646 89.768161) + (xy 106.453421 89.767754) + (xy 106.448476 89.766275) + (xy 106.393076 89.768452) + (xy 106.390622 89.7685) + (xy 105.934062 89.7685) + (xy 105.889868 89.750194) + (xy 105.871562 89.706) + (xy 105.872763 89.693805) + (xy 105.876401 89.675516) + (xy 105.877 89.669438) + (xy 105.877 89.475931) + (xy 105.873359 89.467141) + (xy 105.864569 89.4635) + (xy 104.759492 89.4635) + (xy 104.715298 89.445194) + (xy 104.698229 89.413375) + (xy 104.697825 89.411375) + (xy 104.707018 89.364431) + (xy 104.746713 89.337737) + (xy 104.759088 89.3365) + (xy 105.174069 89.3365) + (xy 105.182859 89.332859) + (xy 105.1865 89.324069) + (xy 105.1865 89.0195) + (xy 105.204806 88.975306) + (xy 105.249 88.957) + (xy 105.251 88.957) + (xy 105.295194 88.975306) + (xy 105.3135 89.0195) + (xy 105.3135 89.324069) + (xy 105.317141 89.332859) + (xy 105.325931 89.3365) + (xy 105.864569 89.3365) + (xy 105.873359 89.332859) + (xy 105.877 89.324069) + (xy 105.877 89.130562) + (xy 105.876401 89.124481) + (xy 105.870831 89.096482) + (xy 105.866212 89.08533) + (xy 105.844981 89.053555) + (xy 105.836445 89.045019) + (xy 105.80467 89.023788) + (xy 105.793518 89.019169) + (xy 105.765519 89.013599) + (xy 105.759438 89.013) + (xy 105.715263 89.013) + (xy 105.671069 88.994694) + (xy 105.652763 88.9505) + (xy 105.671069 88.906306) + (xy 105.694709 88.891476) + (xy 105.709557 88.886306) + (xy 105.715822 88.883304) + (xy 105.857721 88.794636) + (xy 105.863167 88.790319) + (xy 105.980232 88.674069) + (xy 114.423 88.674069) + (xy 114.426641 88.682859) + (xy 114.435431 88.6865) + (xy 115.174069 88.6865) + (xy 115.182859 88.682859) + (xy 115.1865 88.674069) + (xy 115.3135 88.674069) + (xy 115.317141 88.682859) + (xy 115.325931 88.6865) + (xy 116.064569 88.6865) + (xy 116.073359 88.682859) + (xy 116.077 88.674069) + (xy 116.077 87.940562) + (xy 116.076401 87.934481) + (xy 116.070831 87.906482) + (xy 116.066212 87.89533) + (xy 116.044981 87.863555) + (xy 116.036445 87.855019) + (xy 116.00467 87.833788) + (xy 115.993518 87.829169) + (xy 115.965519 87.823599) + (xy 115.959438 87.823) + (xy 115.325931 87.823) + (xy 115.317141 87.826641) + (xy 115.3135 87.835431) + (xy 115.3135 88.674069) + (xy 115.1865 88.674069) + (xy 115.1865 87.835431) + (xy 115.182859 87.826641) + (xy 115.174069 87.823) + (xy 114.540562 87.823) + (xy 114.534481 87.823599) + (xy 114.506482 87.829169) + (xy 114.49533 87.833788) + (xy 114.463555 87.855019) + (xy 114.455019 87.863555) + (xy 114.433788 87.89533) + (xy 114.429169 87.906482) + (xy 114.423599 87.934481) + (xy 114.423 87.940562) + (xy 114.423 88.674069) + (xy 105.980232 88.674069) + (xy 105.981902 88.672411) + (xy 105.986248 88.667006) + (xy 106.075913 88.525716) + (xy 106.078952 88.519484) + (xy 106.135085 88.361844) + (xy 106.136672 88.355076) + (xy 106.148505 88.255844) + (xy 106.145931 88.246685) + (xy 106.140255 88.2435) + (xy 103.612781 88.2435) + (xy 103.603991 88.247141) + (xy 103.601392 88.253415) + (xy 103.610958 88.344436) + (xy 103.612447 88.351207) + (xy 103.666375 88.509618) + (xy 103.669331 88.5159) + (xy 103.757009 88.658417) + (xy 103.761289 88.663896) + (xy 103.878359 88.783443) + (xy 103.883745 88.787836) + (xy 103.992517 88.857935) + (xy 104.019749 88.897262) + (xy 104.011195 88.944327) + (xy 103.983485 88.967828) + (xy 103.860054 89.021242) + (xy 103.741987 89.11685) + (xy 103.739521 89.12032) + (xy 103.739519 89.120322) + (xy 103.675215 89.210806) + (xy 103.65398 89.240687) + (xy 103.638906 89.282558) + (xy 103.60943 89.364431) + (xy 103.602518 89.383629) + (xy 103.602206 89.387878) + (xy 103.592614 89.518497) + (xy 103.591391 89.535145) + (xy 99.3 89.535145) + (xy 99.3 88.253415) + (xy 99.626392 88.253415) + (xy 99.635958 88.344436) + (xy 99.637447 88.351207) + (xy 99.691375 88.509618) + (xy 99.694331 88.5159) + (xy 99.782009 88.658417) + (xy 99.786289 88.663896) + (xy 99.903359 88.783443) + (xy 99.908745 88.787836) + (xy 100.049396 88.87848) + (xy 100.055613 88.881566) + (xy 100.212854 88.938798) + (xy 100.219607 88.940431) + (xy 100.348804 88.956753) + (xy 100.352734 88.957) + (xy 100.974069 88.957) + (xy 100.982859 88.953359) + (xy 100.9865 88.944569) + (xy 101.1135 88.944569) + (xy 101.117141 88.953359) + (xy 101.125931 88.957) + (xy 101.742089 88.957) + (xy 101.745567 88.956806) + (xy 101.86976 88.942876) + (xy 101.876538 88.941335) + (xy 102.034549 88.886309) + (xy 102.040822 88.883304) + (xy 102.182721 88.794636) + (xy 102.188167 88.790319) + (xy 102.306902 88.672411) + (xy 102.311248 88.667006) + (xy 102.400913 88.525716) + (xy 102.403952 88.519484) + (xy 102.460085 88.361844) + (xy 102.461672 88.355076) + (xy 102.473505 88.255844) + (xy 102.470931 88.246685) + (xy 102.465255 88.2435) + (xy 101.125931 88.2435) + (xy 101.117141 88.247141) + (xy 101.1135 88.255931) + (xy 101.1135 88.944569) + (xy 100.9865 88.944569) + (xy 100.9865 88.255931) + (xy 100.982859 88.247141) + (xy 100.974069 88.2435) + (xy 99.637781 88.2435) + (xy 99.628991 88.247141) + (xy 99.626392 88.253415) + (xy 99.3 88.253415) + (xy 99.3 88.104156) + (xy 99.626495 88.104156) + (xy 99.629069 88.113315) + (xy 99.634745 88.1165) + (xy 100.974069 88.1165) + (xy 100.982859 88.112859) + (xy 100.9865 88.104069) + (xy 101.1135 88.104069) + (xy 101.117141 88.112859) + (xy 101.125931 88.1165) + (xy 102.462219 88.1165) + (xy 102.471009 88.112859) + (xy 102.473608 88.106585) + (xy 102.473353 88.104156) + (xy 103.601495 88.104156) + (xy 103.604069 88.113315) + (xy 103.609745 88.1165) + (xy 104.799069 88.1165) + (xy 104.807859 88.112859) + (xy 104.8115 88.104069) + (xy 104.9385 88.104069) + (xy 104.942141 88.112859) + (xy 104.950931 88.1165) + (xy 106.137219 88.1165) + (xy 106.146009 88.112859) + (xy 106.148608 88.106585) + (xy 106.139042 88.015564) + (xy 106.137553 88.008793) + (xy 106.083625 87.850382) + (xy 106.080669 87.8441) + (xy 105.992991 87.701583) + (xy 105.988711 87.696104) + (xy 105.871641 87.576557) + (xy 105.866254 87.572163) + (xy 105.725604 87.48152) + (xy 105.719387 87.478434) + (xy 105.562146 87.421202) + (xy 105.555393 87.419569) + (xy 105.426196 87.403247) + (xy 105.422266 87.403) + (xy 104.950931 87.403) + (xy 104.942141 87.406641) + (xy 104.9385 87.415431) + (xy 104.9385 88.104069) + (xy 104.8115 88.104069) + (xy 104.8115 87.415431) + (xy 104.807859 87.406641) + (xy 104.799069 87.403) + (xy 104.332911 87.403) + (xy 104.329433 87.403194) + (xy 104.20524 87.417124) + (xy 104.198462 87.418665) + (xy 104.040451 87.473691) + (xy 104.034178 87.476696) + (xy 103.892279 87.565364) + (xy 103.886833 87.569681) + (xy 103.768098 87.687589) + (xy 103.763752 87.692994) + (xy 103.674087 87.834284) + (xy 103.671048 87.840516) + (xy 103.614915 87.998156) + (xy 103.613328 88.004924) + (xy 103.601495 88.104156) + (xy 102.473353 88.104156) + (xy 102.464042 88.015564) + (xy 102.462553 88.008793) + (xy 102.408625 87.850382) + (xy 102.405669 87.8441) + (xy 102.317991 87.701583) + (xy 102.313711 87.696104) + (xy 102.196641 87.576557) + (xy 102.191254 87.572163) + (xy 102.050604 87.48152) + (xy 102.044387 87.478434) + (xy 101.887146 87.421202) + (xy 101.880393 87.419569) + (xy 101.751196 87.403247) + (xy 101.747266 87.403) + (xy 101.125931 87.403) + (xy 101.117141 87.406641) + (xy 101.1135 87.415431) + (xy 101.1135 88.104069) + (xy 100.9865 88.104069) + (xy 100.9865 87.415431) + (xy 100.982859 87.406641) + (xy 100.974069 87.403) + (xy 100.357911 87.403) + (xy 100.354433 87.403194) + (xy 100.23024 87.417124) + (xy 100.223462 87.418665) + (xy 100.065451 87.473691) + (xy 100.059178 87.476696) + (xy 99.917279 87.565364) + (xy 99.911833 87.569681) + (xy 99.793098 87.687589) + (xy 99.788752 87.692994) + (xy 99.699087 87.834284) + (xy 99.696048 87.840516) + (xy 99.639915 87.998156) + (xy 99.638328 88.004924) + (xy 99.626495 88.104156) + (xy 99.3 88.104156) + (xy 99.3 87.291286) + (xy 124.017866 87.291286) + (xy 124.023279 87.355751) + (xy 124.032627 87.467065) + (xy 124.033907 87.482311) + (xy 124.086746 87.666583) + (xy 124.17437 87.837082) + (xy 124.293443 87.987314) + (xy 124.295773 87.989297) + (xy 124.431147 88.104509) + (xy 124.439428 88.111557) + (xy 124.442092 88.113046) + (xy 124.442095 88.113048) + (xy 124.580157 88.190208) + (xy 124.606765 88.205079) + (xy 124.609669 88.206023) + (xy 124.60967 88.206023) + (xy 124.786168 88.263371) + (xy 124.786173 88.263372) + (xy 124.789081 88.264317) + (xy 124.97943 88.287015) + (xy 124.982472 88.286781) + (xy 124.982475 88.286781) + (xy 125.167514 88.272543) + (xy 125.167519 88.272542) + (xy 125.170562 88.272308) + (xy 125.179282 88.269873) + (xy 125.35226 88.221577) + (xy 125.352264 88.221576) + (xy 125.355199 88.220756) + (xy 125.41743 88.189321) + (xy 125.523585 88.135698) + (xy 125.526305 88.134324) + (xy 125.528703 88.132451) + (xy 125.528707 88.132448) + (xy 125.636687 88.048084) + (xy 125.677365 88.016303) + (xy 125.679712 88.013584) + (xy 125.80063 87.8735) + (xy 125.800634 87.873495) + (xy 125.802624 87.871189) + (xy 125.804132 87.868535) + (xy 125.895801 87.70717) + (xy 125.895803 87.707165) + (xy 125.897312 87.704509) + (xy 125.957821 87.522612) + (xy 125.958492 87.517307) + (xy 125.981627 87.334167) + (xy 125.981627 87.334166) + (xy 125.981847 87.332425) + (xy 125.98223 87.305) + (xy 125.982045 87.303105) + (xy 125.963822 87.117257) + (xy 125.963524 87.114217) + (xy 125.908117 86.930701) + (xy 125.859505 86.839275) + (xy 125.819555 86.76414) + (xy 125.819553 86.764137) + (xy 125.81812 86.761442) + (xy 125.696962 86.612887) + (xy 125.549256 86.490694) + (xy 125.380629 86.399518) + (xy 125.327881 86.38319) + (xy 125.20042 86.343734) + (xy 125.200417 86.343733) + (xy 125.197505 86.342832) + (xy 125.194472 86.342513) + (xy 125.194471 86.342513) + (xy 125.134644 86.336225) + (xy 125.006857 86.322794) + (xy 125.003824 86.32307) + (xy 125.00382 86.32307) + (xy 124.921118 86.330597) + (xy 124.815948 86.340168) + (xy 124.813019 86.34103) + (xy 124.803832 86.343734) + (xy 124.63205 86.394292) + (xy 124.462167 86.483105) + (xy 124.31277 86.603223) + (xy 124.189549 86.750072) + (xy 124.137479 86.844787) + (xy 124.099188 86.914439) + (xy 124.097198 86.918058) + (xy 124.039234 87.100783) + (xy 124.038894 87.103815) + (xy 124.018568 87.285031) + (xy 124.017866 87.291286) + (xy 99.3 87.291286) + (xy 99.3 84.751286) + (xy 124.017866 84.751286) + (xy 124.022778 84.809781) + (xy 124.033078 84.932436) + (xy 124.033907 84.942311) + (xy 124.086746 85.126583) + (xy 124.104746 85.161607) + (xy 124.168248 85.285169) + (xy 124.17437 85.297082) + (xy 124.293443 85.447314) + (xy 124.295773 85.449297) + (xy 124.412474 85.548617) + (xy 124.439428 85.571557) + (xy 124.442092 85.573046) + (xy 124.442095 85.573048) + (xy 124.578119 85.649069) + (xy 124.606765 85.665079) + (xy 124.609669 85.666023) + (xy 124.60967 85.666023) + (xy 124.786168 85.723371) + (xy 124.786173 85.723372) + (xy 124.789081 85.724317) + (xy 124.97943 85.747015) + (xy 124.982472 85.746781) + (xy 124.982475 85.746781) + (xy 125.167514 85.732543) + (xy 125.167519 85.732542) + (xy 125.170562 85.732308) + (xy 125.201475 85.723677) + (xy 125.35226 85.681577) + (xy 125.352264 85.681576) + (xy 125.355199 85.680756) + (xy 125.413965 85.651071) + (xy 125.523585 85.595698) + (xy 125.526305 85.594324) + (xy 125.528703 85.592451) + (xy 125.528707 85.592448) + (xy 125.613763 85.525994) + (xy 125.677365 85.476303) + (xy 125.679362 85.47399) + (xy 125.80063 85.3335) + (xy 125.800634 85.333495) + (xy 125.802624 85.331189) + (xy 125.807093 85.323323) + (xy 125.895801 85.16717) + (xy 125.895803 85.167165) + (xy 125.897312 85.164509) + (xy 125.957821 84.982612) + (xy 125.95935 84.970513) + (xy 125.981627 84.794167) + (xy 125.981627 84.794166) + (xy 125.981847 84.792425) + (xy 125.982202 84.766989) + (xy 125.982206 84.766738) + (xy 125.982206 84.766733) + (xy 125.98223 84.765) + (xy 125.981926 84.761893) + (xy 125.963822 84.577257) + (xy 125.963524 84.574217) + (xy 125.908117 84.390701) + (xy 125.861366 84.302775) + (xy 125.819555 84.22414) + (xy 125.819553 84.224137) + (xy 125.81812 84.221442) + (xy 125.696962 84.072887) + (xy 125.549256 83.950694) + (xy 125.380629 83.859518) + (xy 125.282031 83.828997) + (xy 125.20042 83.803734) + (xy 125.200417 83.803733) + (xy 125.197505 83.802832) + (xy 125.194472 83.802513) + (xy 125.194471 83.802513) + (xy 125.133378 83.796092) + (xy 125.006857 83.782794) + (xy 125.003824 83.78307) + (xy 125.00382 83.78307) + (xy 124.921118 83.790597) + (xy 124.815948 83.800168) + (xy 124.63205 83.854292) + (xy 124.462167 83.943105) + (xy 124.31277 84.063223) + (xy 124.189549 84.210072) + (xy 124.154877 84.27314) + (xy 124.101505 84.370224) + (xy 124.097198 84.378058) + (xy 124.059165 84.497952) + (xy 124.042538 84.550369) + (xy 124.039234 84.560783) + (xy 124.037827 84.573324) + (xy 124.018291 84.7475) + (xy 124.017866 84.751286) + (xy 99.3 84.751286) + (xy 99.3 83.084438) + (xy 124.023 83.084438) + (xy 124.023599 83.090519) + (xy 124.029169 83.118518) + (xy 124.033788 83.12967) + (xy 124.055019 83.161445) + (xy 124.063555 83.169981) + (xy 124.09533 83.191212) + (xy 124.106482 83.195831) + (xy 124.134481 83.201401) + (xy 124.140562 83.202) + (xy 124.924069 83.202) + (xy 124.932859 83.198359) + (xy 124.9365 83.189569) + (xy 125.0635 83.189569) + (xy 125.067141 83.198359) + (xy 125.075931 83.202) + (xy 125.859438 83.202) + (xy 125.865519 83.201401) + (xy 125.893518 83.195831) + (xy 125.90467 83.191212) + (xy 125.936445 83.169981) + (xy 125.944981 83.161445) + (xy 125.966212 83.12967) + (xy 125.970831 83.118518) + (xy 125.976401 83.090519) + (xy 125.977 83.084438) + (xy 125.977 82.744724) + (xy 127.018136 82.744724) + (xy 127.018713 82.749137) + (xy 127.018713 82.749138) + (xy 127.03251 82.854647) + (xy 127.034014 82.866145) + (xy 127.041185 82.882443) + (xy 127.041244 82.882813) + (xy 127.042007 82.884311) + (xy 127.044031 82.88891) + (xy 127.044031 82.888911) + (xy 127.081376 82.973782) + (xy 127.083333 82.97823) + (xy 127.097188 82.994713) + (xy 127.098029 82.995713) + (xy 127.100157 82.998434) + (xy 127.102292 83.002625) + (xy 127.110081 83.010414) + (xy 127.113729 83.014391) + (xy 127.162127 83.071968) + (xy 127.185399 83.087459) + (xy 127.191836 83.092733) + (xy 127.193899 83.094232) + (xy 127.197375 83.097708) + (xy 127.201753 83.099939) + (xy 127.201756 83.099941) + (xy 127.20873 83.103494) + (xy 127.214977 83.107148) + (xy 127.264064 83.139823) + (xy 127.268313 83.141151) + (xy 127.268314 83.141151) + (xy 127.300296 83.151143) + (xy 127.310027 83.155109) + (xy 127.312799 83.156521) + (xy 127.312803 83.156522) + (xy 127.317187 83.158756) + (xy 127.327852 83.160445) + (xy 127.336713 83.16252) + (xy 127.376696 83.175012) + (xy 127.376699 83.175012) + (xy 127.380948 83.17634) + (xy 127.430069 83.177241) + (xy 127.438683 83.177999) + (xy 127.44514 83.179022) + (xy 127.445144 83.179022) + (xy 127.45 83.179791) + (xy 127.456947 83.17869) + (xy 127.467853 83.177933) + (xy 127.503383 83.178584) + (xy 127.563532 83.162185) + (xy 127.57019 83.160755) + (xy 127.582814 83.158756) + (xy 127.583257 83.161552) + (xy 127.621663 83.16459) + (xy 127.652716 83.200977) + (xy 127.648944 83.248663) + (xy 127.644176 83.255667) + (xy 127.64441 83.25582) + (xy 127.641964 83.259543) + (xy 127.639018 83.262879) + (xy 127.629806 83.2825) + (xy 127.588868 83.369695) + (xy 127.588867 83.369698) + (xy 127.586976 83.373726) + (xy 127.568136 83.494724) + (xy 127.568713 83.499137) + (xy 127.568713 83.499138) + (xy 127.583224 83.610105) + (xy 127.584014 83.616145) + (xy 127.585809 83.620224) + (xy 127.590095 83.629965) + (xy 127.591185 83.632443) + (xy 127.591244 83.632813) + (xy 127.592007 83.634311) + (xy 127.594031 83.63891) + (xy 127.594031 83.638911) + (xy 127.597942 83.6478) + (xy 127.633333 83.72823) + (xy 127.635301 83.730571) + (xy 127.643031 83.777256) + (xy 127.615185 83.816151) + (xy 127.572954 83.821801) + (xy 127.572509 83.824782) + (xy 127.568104 83.824124) + (xy 127.563838 83.822848) + (xy 127.519496 83.822577) + (xy 127.510103 83.821809) + (xy 127.510053 83.821801) + (xy 127.508469 83.82155) + (xy 127.504857 83.820978) + (xy 127.504856 83.820978) + (xy 127.5 83.820209) + (xy 127.491373 83.821576) + (xy 127.48122 83.822344) + (xy 127.462836 83.822231) + (xy 127.445838 83.822127) + (xy 127.445836 83.822127) + (xy 127.441385 83.8221) + (xy 127.387011 83.837641) + (xy 127.379623 83.839275) + (xy 127.367187 83.841244) + (xy 127.362804 83.843477) + (xy 127.36187 83.843781) + (xy 127.352156 83.847603) + (xy 127.349821 83.84827) + (xy 127.327929 83.854526) + (xy 127.327927 83.854527) + (xy 127.323644 83.855751) + (xy 127.264119 83.893309) + (xy 127.262819 83.894129) + (xy 127.257845 83.896957) + (xy 127.25669 83.897546) + (xy 127.251757 83.900059) + (xy 127.251756 83.90006) + (xy 127.247375 83.902292) + (xy 127.243897 83.90577) + (xy 127.242445 83.906825) + (xy 127.239058 83.90912) + (xy 127.22008 83.921095) + (xy 127.217137 83.924427) + (xy 127.217135 83.924429) + (xy 127.157156 83.992342) + (xy 127.156032 83.993538) + (xy 127.155775 83.993892) + (xy 127.155554 83.994113) + (xy 127.155405 83.994204) + (xy 127.154504 83.995163) + (xy 127.152292 83.997375) + (xy 127.151509 83.996592) + (xy 127.150362 83.997296) + (xy 127.151721 83.998496) + (xy 127.147453 84.003329) + (xy 127.139018 84.012879) + (xy 127.137127 84.016907) + (xy 127.088868 84.119695) + (xy 127.088867 84.119698) + (xy 127.086976 84.123726) + (xy 127.068136 84.244724) + (xy 127.068713 84.249137) + (xy 127.068713 84.249138) + (xy 127.083436 84.361726) + (xy 127.084014 84.366145) + (xy 127.091185 84.382443) + (xy 127.091244 84.382813) + (xy 127.092007 84.384311) + (xy 127.094031 84.38891) + (xy 127.094031 84.388911) + (xy 127.095356 84.391921) + (xy 127.133333 84.47823) + (xy 127.145026 84.492141) + (xy 127.148029 84.495713) + (xy 127.150157 84.498434) + (xy 127.152292 84.502625) + (xy 127.160081 84.510414) + (xy 127.16373 84.514392) + (xy 127.200277 84.55787) + (xy 127.212127 84.571968) + (xy 127.235399 84.587459) + (xy 127.241836 84.592733) + (xy 127.243899 84.594232) + (xy 127.247375 84.597708) + (xy 127.251753 84.599939) + (xy 127.251756 84.599941) + (xy 127.25873 84.603494) + (xy 127.264977 84.607148) + (xy 127.314064 84.639823) + (xy 127.318313 84.641151) + (xy 127.318314 84.641151) + (xy 127.350296 84.651143) + (xy 127.360027 84.655109) + (xy 127.362799 84.656521) + (xy 127.362803 84.656522) + (xy 127.367187 84.658756) + (xy 127.377852 84.660445) + (xy 127.386713 84.66252) + (xy 127.426696 84.675012) + (xy 127.426699 84.675012) + (xy 127.430948 84.67634) + (xy 127.480069 84.677241) + (xy 127.488683 84.677999) + (xy 127.49514 84.679022) + (xy 127.495144 84.679022) + (xy 127.5 84.679791) + (xy 127.506947 84.67869) + (xy 127.517853 84.677933) + (xy 127.553383 84.678584) + (xy 127.566704 84.674952) + (xy 127.614155 84.680988) + (xy 127.643442 84.71881) + (xy 127.636625 84.761755) + (xy 127.639018 84.762879) + (xy 127.588868 84.869695) + (xy 127.588867 84.869698) + (xy 127.586976 84.873726) + (xy 127.568136 84.994724) + (xy 127.568713 84.999137) + (xy 127.568713 84.999138) + (xy 127.580124 85.086401) + (xy 127.584014 85.116145) + (xy 127.591185 85.132443) + (xy 127.591244 85.132813) + (xy 127.592007 85.134311) + (xy 127.594031 85.13891) + (xy 127.594031 85.138911) + (xy 127.62992 85.220473) + (xy 127.633333 85.22823) + (xy 127.646318 85.243677) + (xy 127.648029 85.245713) + (xy 127.650157 85.248434) + (xy 127.652292 85.252625) + (xy 127.660081 85.260414) + (xy 127.663729 85.264391) + (xy 127.712127 85.321968) + (xy 127.735399 85.337459) + (xy 127.741836 85.342733) + (xy 127.743899 85.344232) + (xy 127.747375 85.347708) + (xy 127.751753 85.349939) + (xy 127.751756 85.349941) + (xy 127.75873 85.353494) + (xy 127.764977 85.357148) + (xy 127.814064 85.389823) + (xy 127.818313 85.391151) + (xy 127.818314 85.391151) + (xy 127.850296 85.401143) + (xy 127.860027 85.405109) + (xy 127.862799 85.406521) + (xy 127.862803 85.406522) + (xy 127.867187 85.408756) + (xy 127.877852 85.410445) + (xy 127.886713 85.41252) + (xy 127.926696 85.425012) + (xy 127.926699 85.425012) + (xy 127.930948 85.42634) + (xy 127.980069 85.427241) + (xy 127.988683 85.427999) + (xy 127.99514 85.429022) + (xy 127.995144 85.429022) + (xy 128 85.429791) + (xy 128.006947 85.42869) + (xy 128.017853 85.427933) + (xy 128.053383 85.428584) + (xy 128.113532 85.412185) + (xy 128.12019 85.410755) + (xy 128.122147 85.410445) + (xy 128.132813 85.408756) + (xy 128.137196 85.406522) + (xy 128.141875 85.405002) + (xy 128.141878 85.40501) + (xy 128.146727 85.403136) + (xy 128.171527 85.396375) + (xy 128.176521 85.393309) + (xy 128.239709 85.354511) + (xy 128.244037 85.352085) + (xy 128.24824 85.349944) + (xy 128.248248 85.349938) + (xy 128.252625 85.347708) + (xy 128.255427 85.344906) + (xy 128.256264 85.344346) + (xy 128.256704 85.344076) + (xy 128.275881 85.332301) + (xy 128.358058 85.241513) + (xy 128.365454 85.226248) + (xy 128.401198 85.194458) + (xy 128.4217 85.191) + (xy 128.910113 85.191) + (xy 128.954307 85.209306) + (xy 128.972613 85.2535) + (xy 128.954307 85.297694) + (xy 128.935035 85.310816) + (xy 128.877099 85.336007) + (xy 128.77627 85.379849) + (xy 128.577186 85.508642) + (xy 128.572535 85.512874) + (xy 128.406902 85.663589) + (xy 128.40181 85.668222) + (xy 128.400222 85.670233) + (xy 128.400219 85.670236) + (xy 128.256443 85.852288) + (xy 128.25644 85.852292) + (xy 128.254853 85.854302) + (xy 128.253614 85.856547) + (xy 128.253613 85.856548) + (xy 128.225361 85.907727) + (xy 128.140261 86.061886) + (xy 128.139403 86.064309) + (xy 128.065064 86.274236) + (xy 128.061111 86.285398) + (xy 128.060661 86.287924) + (xy 128.06066 86.287928) + (xy 128.01998 86.5163) + (xy 128.019979 86.516307) + (xy 128.019529 86.518835) + (xy 128.018072 86.638112) + (xy 128.016672 86.752756) + (xy 128.016633 86.75593) + (xy 128.052498 86.990314) + (xy 128.053296 86.992754) + (xy 128.053296 86.992756) + (xy 128.123293 87.20691) + (xy 128.126164 87.215693) + (xy 128.127349 87.21797) + (xy 128.127351 87.217974) + (xy 128.234463 87.423735) + (xy 128.234467 87.423741) + (xy 128.23565 87.426014) + (xy 128.378017 87.61563) + (xy 128.379869 87.617399) + (xy 128.37987 87.617401) + (xy 128.423819 87.659399) + (xy 128.549441 87.779446) + (xy 128.551561 87.780892) + (xy 128.551562 87.780893) + (xy 128.735669 87.906482) + (xy 128.745319 87.913065) + (xy 128.747651 87.914148) + (xy 128.747652 87.914148) + (xy 128.95504 88.010414) + (xy 128.960391 88.012898) + (xy 128.962858 88.013582) + (xy 128.962863 88.013584) + (xy 129.087266 88.048084) + (xy 129.188879 88.076264) + (xy 129.246886 88.082463) + (xy 129.380798 88.096774) + (xy 129.380802 88.096774) + (xy 129.382447 88.09695) + (xy 129.52011 88.09695) + (xy 129.696315 88.082463) + (xy 129.738098 88.071968) + (xy 129.923797 88.025324) + (xy 129.9238 88.025323) + (xy 129.926284 88.024699) + (xy 129.935238 88.020806) + (xy 130.027098 87.980864) + (xy 130.14373 87.930151) + (xy 130.342814 87.801358) + (xy 130.401879 87.747613) + (xy 130.51629 87.643507) + (xy 130.516291 87.643506) + (xy 130.51819 87.641778) + (xy 130.519781 87.639764) + (xy 130.663557 87.457712) + (xy 130.66356 87.457708) + (xy 130.665147 87.455698) + (xy 130.666913 87.4525) + (xy 130.731918 87.334742) + (xy 130.769303 87.304898) + (xy 130.81684 87.31023) + (xy 130.846684 87.347615) + (xy 130.8486 87.356789) + (xy 130.857168 87.421867) + (xy 130.859271 87.429716) + (xy 130.908602 87.548811) + (xy 130.912663 87.555846) + (xy 130.947074 87.600692) + (xy 130.955314 87.605449) + (xy 130.961371 87.603826) + (xy 131.275197 87.29) + (xy 131.27806 87.292863) + (xy 131.286246 87.284682) + (xy 131.258306 87.273109) + (xy 130.963432 86.978235) + (xy 130.942938 86.969746) + (xy 130.918515 86.969748) + (xy 130.884689 86.935924) + (xy 130.880899 86.901044) + (xy 130.900019 86.793702) + (xy 130.900019 86.7937) + (xy 130.900471 86.791165) + (xy 130.902331 86.638911) + (xy 130.903336 86.556638) + (xy 130.903336 86.556634) + (xy 130.903367 86.55407) + (xy 130.886495 86.44381) + (xy 130.897905 86.397356) + (xy 130.938822 86.372576) + (xy 130.985277 86.383986) + (xy 130.991321 86.389177) + (xy 130.993276 86.391724) + (xy 131.102151 86.475267) + (xy 131.105928 86.476832) + (xy 131.105929 86.476832) + (xy 131.225156 86.526217) + (xy 131.225158 86.526218) + (xy 131.22894 86.527784) + (xy 131.233002 86.528319) + (xy 131.233003 86.528319) + (xy 131.310658 86.538543) + (xy 131.352085 86.562461) + (xy 131.365 86.600508) + (xy 131.365 86.709996) + (xy 131.346694 86.75419) + (xy 131.310658 86.771961) + (xy 131.233133 86.782168) + (xy 131.225284 86.784271) + (xy 131.106189 86.833602) + (xy 131.099154 86.837663) + (xy 131.054308 86.872074) + (xy 131.049551 86.880314) + (xy 131.051174 86.886371) + (xy 131.346694 87.181891) + (xy 131.365 87.226085) + (xy 131.365 87.228915) + (xy 131.346694 87.273109) + (xy 131.342733 87.27475) + (xy 131.344032 87.27475) + (xy 131.346447 87.277166) + (xy 131.380263 87.310999) + (xy 131.380263 87.312237) + (xy 131.381891 87.308306) + (xy 131.426085 87.29) + (xy 131.428915 87.29) + (xy 131.473109 87.308306) + (xy 131.766568 87.601765) + (xy 131.775358 87.605406) + (xy 131.78115 87.603007) + (xy 131.817337 87.555846) + (xy 131.821398 87.548811) + (xy 131.870729 87.429716) + (xy 131.872832 87.421867) + (xy 131.883039 87.344342) + (xy 131.906957 87.302915) + (xy 131.945004 87.29) + (xy 132.054492 87.29) + (xy 132.098686 87.308306) + (xy 132.116457 87.344342) + (xy 132.126664 87.421867) + (xy 132.127216 87.42606) + (xy 132.128782 87.42984) + (xy 132.128782 87.429841) + (xy 132.174453 87.5401) + (xy 132.179733 87.552848) + (xy 132.182227 87.556098) + (xy 132.182228 87.5561) + (xy 132.215871 87.599944) + (xy 132.263276 87.661724) + (xy 132.266521 87.664214) + (xy 132.266522 87.664215) + (xy 132.284486 87.677999) + (xy 132.372151 87.745267) + (xy 132.375928 87.746832) + (xy 132.375929 87.746832) + (xy 132.495156 87.796217) + (xy 132.495158 87.796218) + (xy 132.49894 87.797784) + (xy 132.503002 87.798319) + (xy 132.503003 87.798319) + (xy 132.512961 87.79963) + (xy 132.591983 87.810034) + (xy 132.633408 87.83395) + (xy 132.645789 87.880156) + (xy 132.618093 87.924266) + (xy 132.531767 87.980864) + (xy 132.411525 88.107795) + (xy 132.409703 88.110933) + (xy 132.4097 88.110936) + (xy 132.327401 88.252625) + (xy 132.323708 88.258983) + (xy 132.311316 88.299898) + (xy 132.277619 88.411158) + (xy 132.273027 88.426318) + (xy 132.262201 88.600824) + (xy 132.29181 88.773141) + (xy 132.360267 88.934024) + (xy 132.463898 89.074843) + (xy 132.466664 89.077193) + (xy 132.466666 89.077195) + (xy 132.513344 89.11685) + (xy 132.597146 89.188045) + (xy 132.752862 89.267558) + (xy 132.922693 89.309115) + (xy 132.930268 89.309585) + (xy 132.932765 89.30974) + (xy 132.932769 89.30974) + (xy 132.933734 89.3098) + (xy 133.059779 89.3098) + (xy 133.061569 89.309591) + (xy 133.061574 89.309591) + (xy 133.114022 89.303476) + (xy 133.189665 89.294657) + (xy 133.19308 89.293418) + (xy 133.193082 89.293417) + (xy 133.250109 89.272717) + (xy 133.354015 89.235001) + (xy 133.500233 89.139136) + (xy 133.620475 89.012205) + (xy 133.622297 89.009067) + (xy 133.6223 89.009064) + (xy 133.706473 88.864149) + (xy 133.706474 88.864148) + (xy 133.708292 88.861017) + (xy 133.758973 88.693682) + (xy 133.769799 88.519176) + (xy 133.765862 88.496265) + (xy 133.764386 88.487672) + (xy 133.774943 88.441016) + (xy 133.815399 88.415491) + (xy 133.862055 88.426048) + (xy 133.870177 88.432894) + (xy 134.061032 88.623749) + (xy 134.079338 88.667943) + (xy 134.078594 88.677559) + (xy 134.068136 88.744724) + (xy 134.068713 88.749137) + (xy 134.068713 88.749138) + (xy 134.08289 88.85755) + (xy 134.084014 88.866145) + (xy 134.091185 88.882443) + (xy 134.091244 88.882813) + (xy 134.092007 88.884311) + (xy 134.094031 88.88891) + (xy 134.094031 88.888911) + (xy 134.128757 88.96783) + (xy 134.133333 88.97823) + (xy 134.147172 88.994694) + (xy 134.148029 88.995713) + (xy 134.150157 88.998434) + (xy 134.152292 89.002625) + (xy 134.160081 89.010414) + (xy 134.163729 89.014391) + (xy 134.172767 89.025143) + (xy 134.208323 89.067442) + (xy 134.212127 89.071968) + (xy 134.235399 89.087459) + (xy 134.241836 89.092733) + (xy 134.243899 89.094232) + (xy 134.247375 89.097708) + (xy 134.251753 89.099939) + (xy 134.251756 89.099941) + (xy 134.25873 89.103494) + (xy 134.264983 89.107152) + (xy 134.275526 89.11417) + (xy 134.281134 89.117903) + (xy 134.307778 89.157631) + (xy 134.309 89.169929) + (xy 134.309 90.206768) + (xy 134.30775 90.214107) + (xy 134.308477 90.21419) + (xy 134.30768 90.221181) + (xy 134.305348 90.227822) + (xy 134.306126 90.234815) + (xy 134.306126 90.234816) + (xy 134.308617 90.257197) + (xy 134.309 90.26411) + (xy 134.309 90.271533) + (xy 134.310581 90.278465) + (xy 134.311757 90.285418) + (xy 134.314986 90.314429) + (xy 134.318719 90.320393) + (xy 134.320094 90.324341) + (xy 134.321903 90.3281) + (xy 134.323468 90.334959) + (xy 134.327853 90.340461) + (xy 134.327853 90.340462) + (xy 134.329663 90.342733) + (xy 134.341156 90.357155) + (xy 134.341648 90.357773) + (xy 134.345744 90.363559) + (xy 134.349596 90.369711) + (xy 134.354809 90.374924) + (xy 134.359494 90.380168) + (xy 134.361399 90.382558) + (xy 134.377775 90.403109) + (xy 134.384114 90.406167) + (xy 134.389615 90.410558) + (xy 134.389189 90.411092) + (xy 134.395353 90.415468) + (xy 135.816772 91.836887) + (xy 135.835078 91.881081) + (xy 135.834334 91.890691) + (xy 135.818136 91.994724) + (xy 135.818713 91.999137) + (xy 135.818713 91.999138) + (xy 135.832838 92.107155) + (xy 135.834014 92.116145) + (xy 135.835809 92.120224) + (xy 135.839249 92.128042) + (xy 135.841185 92.132443) + (xy 135.841244 92.132813) + (xy 135.842007 92.134311) + (xy 135.844031 92.13891) + (xy 135.844031 92.138911) + (xy 135.881299 92.223607) + (xy 135.883333 92.22823) + (xy 135.886197 92.231637) + (xy 135.898029 92.245713) + (xy 135.900157 92.248434) + (xy 135.902292 92.252625) + (xy 135.910081 92.260414) + (xy 135.913729 92.264391) + (xy 135.924758 92.277512) + (xy 135.950894 92.308604) + (xy 135.962127 92.321968) + (xy 135.985399 92.337459) + (xy 135.991836 92.342733) + (xy 135.993899 92.344232) + (xy 135.997375 92.347708) + (xy 136.001753 92.349939) + (xy 136.001756 92.349941) + (xy 136.00873 92.353494) + (xy 136.014977 92.357148) + (xy 136.064064 92.389823) + (xy 136.068313 92.391151) + (xy 136.068314 92.391151) + (xy 136.100296 92.401143) + (xy 136.110027 92.405109) + (xy 136.112799 92.406521) + (xy 136.112803 92.406522) + (xy 136.117187 92.408756) + (xy 136.127852 92.410445) + (xy 136.136713 92.41252) + (xy 136.176696 92.425012) + (xy 136.176699 92.425012) + (xy 136.180948 92.42634) + (xy 136.230069 92.427241) + (xy 136.238683 92.427999) + (xy 136.24514 92.429022) + (xy 136.245144 92.429022) + (xy 136.25 92.429791) + (xy 136.256947 92.42869) + (xy 136.267853 92.427933) + (xy 136.303383 92.428584) + (xy 136.308019 92.42732) + (xy 136.350968 92.415611) + (xy 136.398421 92.421648) + (xy 136.411601 92.431716) + (xy 136.884372 92.904487) + (xy 136.888677 92.910561) + (xy 136.88925 92.910105) + (xy 136.893631 92.915613) + (xy 136.896678 92.921958) + (xy 136.904126 92.927914) + (xy 136.919761 92.940418) + (xy 136.92492 92.945035) + (xy 136.930169 92.950284) + (xy 136.934673 92.953115) + (xy 136.936172 92.954057) + (xy 136.941948 92.958162) + (xy 136.964733 92.976383) + (xy 136.971593 92.97796) + (xy 136.975353 92.979778) + (xy 136.979289 92.981156) + (xy 136.985248 92.984902) + (xy 136.992242 92.985693) + (xy 136.992243 92.985693) + (xy 137.014236 92.988179) + (xy 137.021213 92.989371) + (xy 137.028297 92.991) + (xy 137.035671 92.991) + (xy 137.042692 92.991396) + (xy 137.071838 92.994691) + (xy 137.078483 92.99237) + (xy 137.085477 92.991586) + (xy 137.085553 92.992264) + (xy 137.093005 92.991) + (xy 137.91 92.991) + (xy 137.954194 93.009306) + (xy 137.9725 93.0535) + (xy 137.9725 93.412558) + (xy 137.973099 93.415569) + (xy 137.978262 93.441523) + (xy 137.979898 93.449748) + (xy 138.008078 93.491922) + (xy 138.050252 93.520102) + (xy 138.056285 93.521302) + (xy 138.056287 93.521303) + (xy 138.077825 93.525587) + (xy 138.087442 93.5275) + (xy 139.512558 93.5275) + (xy 139.522175 93.525587) + (xy 139.543713 93.521303) + (xy 139.543715 93.521302) + (xy 139.549748 93.520102) + (xy 139.591922 93.491922) + (xy 139.620102 93.449748) + (xy 139.621739 93.441523) + (xy 139.626901 93.415569) + (xy 139.6275 93.412558) + (xy 139.6275 92.187442) + (xy 139.625505 92.177412) + (xy 139.621303 92.156287) + (xy 139.621302 92.156285) + (xy 139.620102 92.150252) + (xy 139.591922 92.108078) + (xy 139.549748 92.079898) + (xy 139.543715 92.078698) + (xy 139.543713 92.078697) + (xy 139.515569 92.073099) + (xy 139.512558 92.0725) + (xy 138.087442 92.0725) + (xy 138.084431 92.073099) + (xy 138.056287 92.078697) + (xy 138.056285 92.078698) + (xy 138.050252 92.079898) + (xy 138.008078 92.108078) + (xy 137.979898 92.150252) + (xy 137.978698 92.156285) + (xy 137.978697 92.156287) + (xy 137.974495 92.177412) + (xy 137.9725 92.187442) + (xy 137.9725 92.5465) + (xy 137.954194 92.590694) + (xy 137.91 92.609) + (xy 137.155003 92.609) + (xy 137.110809 92.590694) + (xy 136.682793 92.162678) + (xy 136.664487 92.118484) + (xy 136.665353 92.108115) + (xy 136.681767 92.010552) + (xy 136.681896 92) + (xy 136.664536 91.878781) + (xy 136.613852 91.767307) + (xy 136.599199 91.750302) + (xy 136.597708 91.747375) + (xy 136.5919 91.741567) + (xy 136.588746 91.73817) + (xy 136.536826 91.677914) + (xy 136.533918 91.674539) + (xy 136.513741 91.661461) + (xy 136.510155 91.658559) + (xy 136.510081 91.658661) + (xy 136.506106 91.655773) + (xy 136.502625 91.652292) + (xy 136.491343 91.646543) + (xy 136.485736 91.643309) + (xy 136.434893 91.610354) + (xy 136.43489 91.610353) + (xy 136.43116 91.607935) + (xy 136.426901 91.606661) + (xy 136.426898 91.60666) + (xy 136.402724 91.599431) + (xy 136.398839 91.598269) + (xy 136.388377 91.594079) + (xy 136.382813 91.591244) + (xy 136.371067 91.589384) + (xy 136.362951 91.587536) + (xy 136.351251 91.584037) + (xy 136.313838 91.572848) + (xy 136.269496 91.572577) + (xy 136.260103 91.571809) + (xy 136.254857 91.570978) + (xy 136.254856 91.570978) + (xy 136.25 91.570209) + (xy 136.241373 91.571576) + (xy 136.23122 91.572344) + (xy 136.212836 91.572231) + (xy 136.195838 91.572127) + (xy 136.195836 91.572127) + (xy 136.191385 91.5721) + (xy 136.14962 91.584037) + (xy 136.102099 91.578581) + (xy 136.088252 91.568137) + (xy 134.709306 90.189191) + (xy 134.691 90.144997) + (xy 134.691 89.169384) + (xy 134.709306 89.12519) + (xy 134.720797 89.116123) + (xy 134.739712 89.104509) + (xy 134.744034 89.102085) + (xy 134.752625 89.097708) + (xy 134.755427 89.094906) + (xy 134.756264 89.094346) + (xy 134.75972 89.092224) + (xy 134.775881 89.082301) + (xy 134.858058 88.991513) + (xy 134.911451 88.881311) + (xy 134.913317 88.870224) + (xy 134.931367 88.762928) + (xy 134.931767 88.760552) + (xy 134.931896 88.75) + (xy 134.914536 88.628781) + (xy 134.863852 88.517307) + (xy 134.849199 88.500302) + (xy 134.847708 88.497375) + (xy 134.8419 88.491567) + (xy 134.838746 88.48817) + (xy 134.786826 88.427914) + (xy 134.783918 88.424539) + (xy 134.763741 88.411461) + (xy 134.760155 88.408559) + (xy 134.760081 88.408661) + (xy 134.756106 88.405773) + (xy 134.752625 88.402292) + (xy 134.741343 88.396543) + (xy 134.735736 88.393309) + (xy 134.684893 88.360354) + (xy 134.68489 88.360353) + (xy 134.68116 88.357935) + (xy 134.676901 88.356661) + (xy 134.676898 88.35666) + (xy 134.654429 88.349941) + (xy 134.648839 88.348269) + (xy 134.638377 88.344079) + (xy 134.632813 88.341244) + (xy 134.621067 88.339384) + (xy 134.612951 88.337536) + (xy 134.563838 88.322848) + (xy 134.519496 88.322577) + (xy 134.510103 88.321809) + (xy 134.504857 88.320978) + (xy 134.504856 88.320978) + (xy 134.5 88.320209) + (xy 134.491373 88.321576) + (xy 134.48122 88.322344) + (xy 134.462836 88.322231) + (xy 134.445838 88.322127) + (xy 134.445836 88.322127) + (xy 134.441385 88.3221) + (xy 134.387011 88.337641) + (xy 134.379623 88.339275) + (xy 134.367187 88.341244) + (xy 134.366143 88.341776) + (xy 134.319981 88.338142) + (xy 134.304162 88.326649) + (xy 134.139093 88.16158) + (xy 134.120787 88.117386) + (xy 134.139093 88.073192) + (xy 134.183287 88.054886) + (xy 134.199989 88.057159) + (xy 134.268879 88.076264) + (xy 134.326886 88.082463) + (xy 134.460798 88.096774) + (xy 134.460802 88.096774) + (xy 134.462447 88.09695) + (xy 134.60011 88.09695) + (xy 134.776315 88.082463) + (xy 134.818098 88.071968) + (xy 135.003797 88.025324) + (xy 135.0038 88.025323) + (xy 135.006284 88.024699) + (xy 135.015238 88.020806) + (xy 135.107098 87.980864) + (xy 135.22373 87.930151) + (xy 135.422814 87.801358) + (xy 135.481879 87.747613) + (xy 135.59629 87.643507) + (xy 135.596291 87.643506) + (xy 135.59819 87.641778) + (xy 135.599781 87.639764) + (xy 135.743557 87.457712) + (xy 135.74356 87.457708) + (xy 135.745147 87.455698) + (xy 135.746913 87.4525) + (xy 135.835036 87.292863) + (xy 135.859739 87.248114) + (xy 135.880282 87.190102) + (xy 135.938032 87.027023) + (xy 135.938033 87.027019) + (xy 135.938889 87.024602) + (xy 135.93934 87.022072) + (xy 135.98002 86.7937) + (xy 135.980021 86.793693) + (xy 135.980471 86.791165) + (xy 135.982331 86.638911) + (xy 135.983336 86.556638) + (xy 135.983336 86.556634) + (xy 135.983367 86.55407) + (xy 135.947502 86.319686) + (xy 135.938856 86.293232) + (xy 135.874635 86.096751) + (xy 135.874634 86.096749) + (xy 135.873836 86.094307) + (xy 135.872242 86.091244) + (xy 135.765537 85.886265) + (xy 135.765533 85.886259) + (xy 135.76435 85.883986) + (xy 135.621983 85.69437) + (xy 135.61859 85.691127) + (xy 135.528057 85.604613) + (xy 135.450559 85.530554) + (xy 135.443876 85.525995) + (xy 135.256807 85.398385) + (xy 135.256805 85.398384) + (xy 135.254681 85.396935) + (xy 135.25067 85.395073) + (xy 135.067805 85.31019) + (xy 135.035427 85.274979) + (xy 135.03743 85.227185) + (xy 135.072641 85.194807) + (xy 135.09412 85.191) + (xy 137.576187 85.191) + (xy 137.620381 85.209306) + (xy 137.629248 85.220473) + (xy 137.63154 85.224155) + (xy 137.633333 85.22823) + (xy 137.646318 85.243677) + (xy 137.648029 85.245713) + (xy 137.650157 85.248434) + (xy 137.652292 85.252625) + (xy 137.660081 85.260414) + (xy 137.663729 85.264391) + (xy 137.712127 85.321968) + (xy 137.735399 85.337459) + (xy 137.741836 85.342733) + (xy 137.743899 85.344232) + (xy 137.747375 85.347708) + (xy 137.751753 85.349939) + (xy 137.751756 85.349941) + (xy 137.75873 85.353494) + (xy 137.764977 85.357148) + (xy 137.814064 85.389823) + (xy 137.818313 85.391151) + (xy 137.818314 85.391151) + (xy 137.850296 85.401143) + (xy 137.860027 85.405109) + (xy 137.862799 85.406521) + (xy 137.862803 85.406522) + (xy 137.867187 85.408756) + (xy 137.877852 85.410445) + (xy 137.886713 85.41252) + (xy 137.926696 85.425012) + (xy 137.926699 85.425012) + (xy 137.930948 85.42634) + (xy 137.980069 85.427241) + (xy 137.988683 85.427999) + (xy 137.99514 85.429022) + (xy 137.995144 85.429022) + (xy 138 85.429791) + (xy 138.006947 85.42869) + (xy 138.017853 85.427933) + (xy 138.053383 85.428584) + (xy 138.113532 85.412185) + (xy 138.12019 85.410755) + (xy 138.122147 85.410445) + (xy 138.132813 85.408756) + (xy 138.137196 85.406522) + (xy 138.141875 85.405002) + (xy 138.141878 85.40501) + (xy 138.146727 85.403136) + (xy 138.171527 85.396375) + (xy 138.176521 85.393309) + (xy 138.239709 85.354511) + (xy 138.244037 85.352085) + (xy 138.24824 85.349944) + (xy 138.248248 85.349938) + (xy 138.252625 85.347708) + (xy 138.255427 85.344906) + (xy 138.256264 85.344346) + (xy 138.256704 85.344076) + (xy 138.275881 85.332301) + (xy 138.358058 85.241513) + (xy 138.411451 85.131311) + (xy 138.412247 85.126583) + (xy 138.424292 85.054981) + (xy 138.431767 85.010552) + (xy 138.431896 85) + (xy 138.414536 84.878781) + (xy 138.363852 84.767307) + (xy 138.364551 84.766989) + (xy 138.356795 84.723478) + (xy 138.38416 84.684242) + (xy 138.427503 84.675264) + (xy 138.430948 84.67634) + (xy 138.480069 84.677241) + (xy 138.488683 84.677999) + (xy 138.49514 84.679022) + (xy 138.495144 84.679022) + (xy 138.5 84.679791) + (xy 138.506947 84.67869) + (xy 138.517853 84.677933) + (xy 138.553383 84.678584) + (xy 138.613532 84.662185) + (xy 138.62019 84.660755) + (xy 138.622147 84.660445) + (xy 138.632813 84.658756) + (xy 138.637196 84.656522) + (xy 138.641875 84.655002) + (xy 138.641878 84.65501) + (xy 138.646727 84.653136) + (xy 138.671527 84.646375) + (xy 138.676521 84.643309) + (xy 138.739709 84.604511) + (xy 138.744037 84.602085) + (xy 138.74824 84.599944) + (xy 138.748248 84.599938) + (xy 138.752625 84.597708) + (xy 138.755427 84.594906) + (xy 138.756264 84.594346) + (xy 138.756704 84.594076) + (xy 138.775881 84.582301) + (xy 138.858058 84.491513) + (xy 138.911451 84.381311) + (xy 138.91245 84.375378) + (xy 138.924237 84.305309) + (xy 138.931767 84.260552) + (xy 138.931896 84.25) + (xy 138.914536 84.128781) + (xy 138.863852 84.017307) + (xy 138.849199 84.000302) + (xy 138.847708 83.997375) + (xy 138.8419 83.991567) + (xy 138.838746 83.98817) + (xy 138.799915 83.943105) + (xy 138.783918 83.924539) + (xy 138.763741 83.911461) + (xy 138.760155 83.908559) + (xy 138.760081 83.908661) + (xy 138.756106 83.905773) + (xy 138.752625 83.902292) + (xy 138.741343 83.896543) + (xy 138.735736 83.893309) + (xy 138.726037 83.887022) + (xy 138.714408 83.879485) + (xy 138.684893 83.860354) + (xy 138.68489 83.860353) + (xy 138.68116 83.857935) + (xy 138.676901 83.856661) + (xy 138.676898 83.85666) + (xy 138.654429 83.849941) + (xy 138.648839 83.848269) + (xy 138.638377 83.844079) + (xy 138.632813 83.841244) + (xy 138.621067 83.839384) + (xy 138.612951 83.837536) + (xy 138.563838 83.822848) + (xy 138.519496 83.822577) + (xy 138.510103 83.821809) + (xy 138.510053 83.821801) + (xy 138.508469 83.82155) + (xy 138.504857 83.820978) + (xy 138.504856 83.820978) + (xy 138.5 83.820209) + (xy 138.491373 83.821576) + (xy 138.48122 83.822344) + (xy 138.462836 83.822231) + (xy 138.445838 83.822127) + (xy 138.445836 83.822127) + (xy 138.441385 83.8221) + (xy 138.437104 83.823324) + (xy 138.437101 83.823324) + (xy 138.433686 83.8243) + (xy 138.386163 83.818843) + (xy 138.356418 83.78138) + (xy 138.360266 83.736955) + (xy 138.362843 83.731637) + (xy 138.411451 83.631311) + (xy 138.413196 83.620943) + (xy 138.428289 83.531224) + (xy 138.431767 83.510552) + (xy 138.431896 83.5) + (xy 138.414536 83.378781) + (xy 138.363852 83.267307) + (xy 138.364551 83.266989) + (xy 138.356795 83.223478) + (xy 138.38416 83.184242) + (xy 138.427503 83.175264) + (xy 138.430948 83.17634) + (xy 138.480069 83.177241) + (xy 138.488683 83.177999) + (xy 138.49514 83.179022) + (xy 138.495144 83.179022) + (xy 138.5 83.179791) + (xy 138.506947 83.17869) + (xy 138.517853 83.177933) + (xy 138.553383 83.178584) + (xy 138.613532 83.162185) + (xy 138.62019 83.160755) + (xy 138.622147 83.160445) + (xy 138.632813 83.158756) + (xy 138.637196 83.156522) + (xy 138.641875 83.155002) + (xy 138.641878 83.15501) + (xy 138.646727 83.153136) + (xy 138.671527 83.146375) + (xy 138.676521 83.143309) + (xy 138.739709 83.104511) + (xy 138.744037 83.102085) + (xy 138.74824 83.099944) + (xy 138.748248 83.099938) + (xy 138.752625 83.097708) + (xy 138.755427 83.094906) + (xy 138.756264 83.094346) + (xy 138.775881 83.082301) + (xy 138.858058 82.991513) + (xy 138.911451 82.881311) + (xy 138.913317 82.870224) + (xy 138.926727 82.790508) + (xy 138.931767 82.760552) + (xy 138.931896 82.75) + (xy 138.914536 82.628781) + (xy 138.863852 82.517307) + (xy 138.849199 82.500302) + (xy 138.847708 82.497375) + (xy 138.8419 82.491567) + (xy 138.838746 82.48817) + (xy 138.786826 82.427914) + (xy 138.783918 82.424539) + (xy 138.763741 82.411461) + (xy 138.760155 82.408559) + (xy 138.760081 82.408661) + (xy 138.756106 82.405773) + (xy 138.752625 82.402292) + (xy 138.741343 82.396543) + (xy 138.735736 82.393309) + (xy 138.684893 82.360354) + (xy 138.68489 82.360353) + (xy 138.68116 82.357935) + (xy 138.676901 82.356661) + (xy 138.676898 82.35666) + (xy 138.654115 82.349847) + (xy 138.648839 82.348269) + (xy 138.638377 82.344079) + (xy 138.632813 82.341244) + (xy 138.621067 82.339384) + (xy 138.612951 82.337536) + (xy 138.602425 82.334388) + (xy 138.563838 82.322848) + (xy 138.519496 82.322577) + (xy 138.510103 82.321809) + (xy 138.504857 82.320978) + (xy 138.504856 82.320978) + (xy 138.5 82.320209) + (xy 138.491373 82.321576) + (xy 138.48122 82.322344) + (xy 138.462836 82.322231) + (xy 138.445838 82.322127) + (xy 138.445836 82.322127) + (xy 138.441385 82.3221) + (xy 138.387011 82.337641) + (xy 138.379623 82.339275) + (xy 138.367187 82.341244) + (xy 138.362804 82.343477) + (xy 138.36187 82.343781) + (xy 138.352155 82.347603) + (xy 138.327929 82.354526) + (xy 138.327927 82.354527) + (xy 138.323644 82.355751) + (xy 138.319875 82.358129) + (xy 138.314906 82.361264) + (xy 138.264119 82.393309) + (xy 138.262819 82.394129) + (xy 138.257842 82.396959) + (xy 138.251757 82.400059) + (xy 138.251756 82.40006) + (xy 138.247375 82.402292) + (xy 138.243897 82.40577) + (xy 138.242445 82.406825) + (xy 138.239058 82.40912) + (xy 138.22008 82.421095) + (xy 138.217137 82.424427) + (xy 138.217135 82.424429) + (xy 138.157156 82.492342) + (xy 138.156032 82.493538) + (xy 138.155775 82.493892) + (xy 138.155554 82.494113) + (xy 138.155405 82.494204) + (xy 138.154504 82.495163) + (xy 138.152292 82.497375) + (xy 138.151509 82.496592) + (xy 138.150362 82.497296) + (xy 138.151721 82.498496) + (xy 138.139018 82.512879) + (xy 138.137127 82.516906) + (xy 138.137126 82.516908) + (xy 138.134237 82.523062) + (xy 138.098884 82.555287) + (xy 138.077662 82.559) + (xy 133.280003 82.559) + (xy 133.235809 82.540694) + (xy 133.142554 82.447439) + (xy 133.124248 82.403245) + (xy 133.129005 82.379329) + (xy 133.141216 82.349847) + (xy 133.141217 82.349843) + (xy 133.142784 82.34606) + (xy 133.143515 82.340512) + (xy 133.160162 82.214064) + (xy 133.160697 82.21) + (xy 133.154312 82.1615) + (xy 133.143319 82.078) + (xy 133.143318 82.077996) + (xy 133.142784 82.07394) + (xy 133.140681 82.068863) + (xy 133.091835 81.950937) + (xy 133.091834 81.950936) + (xy 133.090267 81.947152) + (xy 133.087088 81.943008) + (xy 133.023604 81.860275) + (xy 133.006724 81.838276) + (xy 132.897849 81.754733) + (xy 132.894071 81.753168) + (xy 132.774844 81.703783) + (xy 132.774842 81.703782) + (xy 132.77106 81.702216) + (xy 132.766998 81.701681) + (xy 132.766997 81.701681) + (xy 132.639064 81.684838) + (xy 132.635 81.684303) + (xy 132.630936 81.684838) + (xy 132.503 81.701681) + (xy 132.502996 81.701682) + (xy 132.49894 81.702216) + (xy 132.49516 81.703782) + (xy 132.495159 81.703782) + (xy 132.375937 81.753165) + (xy 132.375936 81.753166) + (xy 132.372152 81.754733) + (xy 132.263276 81.838276) + (xy 132.260784 81.841524) + (xy 132.260783 81.841525) + (xy 132.182913 81.943008) + (xy 132.179733 81.947152) + (xy 132.178166 81.950936) + (xy 132.178165 81.950937) + (xy 132.129319 82.068863) + (xy 132.127216 82.07394) + (xy 132.126682 82.077996) + (xy 132.126681 82.078) + (xy 132.116457 82.155658) + (xy 132.092539 82.197085) + (xy 132.054492 82.21) + (xy 131.945508 82.21) + (xy 131.901314 82.191694) + (xy 131.883543 82.155658) + (xy 131.873319 82.078) + (xy 131.873318 82.077996) + (xy 131.872784 82.07394) + (xy 131.870681 82.068863) + (xy 131.821835 81.950937) + (xy 131.821834 81.950936) + (xy 131.820267 81.947152) + (xy 131.817088 81.943008) + (xy 131.753604 81.860275) + (xy 131.736724 81.838276) + (xy 131.627849 81.754733) + (xy 131.624071 81.753168) + (xy 131.504844 81.703783) + (xy 131.504842 81.703782) + (xy 131.50106 81.702216) + (xy 131.496998 81.701681) + (xy 131.496997 81.701681) + (xy 131.369064 81.684838) + (xy 131.365 81.684303) + (xy 131.360936 81.684838) + (xy 131.233 81.701681) + (xy 131.232996 81.701682) + (xy 131.22894 81.702216) + (xy 131.22516 81.703782) + (xy 131.225159 81.703782) + (xy 131.105937 81.753165) + (xy 131.105936 81.753166) + (xy 131.102152 81.754733) + (xy 130.993276 81.838276) + (xy 130.990784 81.841524) + (xy 130.990783 81.841525) + (xy 130.912913 81.943008) + (xy 130.909733 81.947152) + (xy 130.908166 81.950936) + (xy 130.908165 81.950937) + (xy 130.859319 82.068863) + (xy 130.857216 82.07394) + (xy 130.856682 82.077996) + (xy 130.856681 82.078) + (xy 130.845688 82.1615) + (xy 130.839303 82.21) + (xy 130.839838 82.214064) + (xy 130.856486 82.340512) + (xy 130.857216 82.34606) + (xy 130.858783 82.349843) + (xy 130.858784 82.349847) + (xy 130.870995 82.379329) + (xy 130.870994 82.427164) + (xy 130.857446 82.447439) + (xy 130.764191 82.540694) + (xy 130.719997 82.559) + (xy 127.873049 82.559) + (xy 127.828855 82.540694) + (xy 127.816156 82.522373) + (xy 127.815695 82.52136) + (xy 127.815693 82.521357) + (xy 127.813852 82.517307) + (xy 127.799199 82.500302) + (xy 127.797708 82.497375) + (xy 127.7919 82.491567) + (xy 127.788746 82.48817) + (xy 127.736826 82.427914) + (xy 127.733918 82.424539) + (xy 127.713741 82.411461) + (xy 127.710155 82.408559) + (xy 127.710081 82.408661) + (xy 127.706106 82.405773) + (xy 127.702625 82.402292) + (xy 127.691343 82.396543) + (xy 127.685736 82.393309) + (xy 127.634893 82.360354) + (xy 127.63489 82.360353) + (xy 127.63116 82.357935) + (xy 127.626901 82.356661) + (xy 127.626898 82.35666) + (xy 127.604115 82.349847) + (xy 127.598839 82.348269) + (xy 127.588377 82.344079) + (xy 127.582813 82.341244) + (xy 127.571067 82.339384) + (xy 127.562951 82.337536) + (xy 127.552425 82.334388) + (xy 127.513838 82.322848) + (xy 127.469496 82.322577) + (xy 127.460103 82.321809) + (xy 127.454857 82.320978) + (xy 127.454856 82.320978) + (xy 127.45 82.320209) + (xy 127.441373 82.321576) + (xy 127.43122 82.322344) + (xy 127.412836 82.322231) + (xy 127.395838 82.322127) + (xy 127.395836 82.322127) + (xy 127.391385 82.3221) + (xy 127.337011 82.337641) + (xy 127.329623 82.339275) + (xy 127.317187 82.341244) + (xy 127.312804 82.343477) + (xy 127.31187 82.343781) + (xy 127.302155 82.347603) + (xy 127.277929 82.354526) + (xy 127.277927 82.354527) + (xy 127.273644 82.355751) + (xy 127.269875 82.358129) + (xy 127.264906 82.361264) + (xy 127.214119 82.393309) + (xy 127.212819 82.394129) + (xy 127.207842 82.396959) + (xy 127.201757 82.400059) + (xy 127.201756 82.40006) + (xy 127.197375 82.402292) + (xy 127.193897 82.40577) + (xy 127.192445 82.406825) + (xy 127.189058 82.40912) + (xy 127.17008 82.421095) + (xy 127.167137 82.424427) + (xy 127.167135 82.424429) + (xy 127.107156 82.492342) + (xy 127.106032 82.493538) + (xy 127.105775 82.493892) + (xy 127.105554 82.494113) + (xy 127.105405 82.494204) + (xy 127.104504 82.495163) + (xy 127.102292 82.497375) + (xy 127.101509 82.496592) + (xy 127.100362 82.497296) + (xy 127.101721 82.498496) + (xy 127.089018 82.512879) + (xy 127.086939 82.517307) + (xy 127.038868 82.619695) + (xy 127.038867 82.619698) + (xy 127.036976 82.623726) + (xy 127.018136 82.744724) + (xy 125.977 82.744724) + (xy 125.977 82.300931) + (xy 125.973359 82.292141) + (xy 125.964569 82.2885) + (xy 125.075931 82.2885) + (xy 125.067141 82.292141) + (xy 125.0635 82.300931) + (xy 125.0635 83.189569) + (xy 124.9365 83.189569) + (xy 124.9365 82.300931) + (xy 124.932859 82.292141) + (xy 124.924069 82.2885) + (xy 124.035431 82.2885) + (xy 124.026641 82.292141) + (xy 124.023 82.300931) + (xy 124.023 83.084438) + (xy 99.3 83.084438) + (xy 99.3 82.149069) + (xy 124.023 82.149069) + (xy 124.026641 82.157859) + (xy 124.035431 82.1615) + (xy 124.924069 82.1615) + (xy 124.932859 82.157859) + (xy 124.9365 82.149069) + (xy 125.0635 82.149069) + (xy 125.067141 82.157859) + (xy 125.075931 82.1615) + (xy 125.964569 82.1615) + (xy 125.973359 82.157859) + (xy 125.977 82.149069) + (xy 125.977 81.365562) + (xy 125.976401 81.359481) + (xy 125.970831 81.331482) + (xy 125.966212 81.32033) + (xy 125.944981 81.288555) + (xy 125.936445 81.280019) + (xy 125.90467 81.258788) + (xy 125.893518 81.254169) + (xy 125.865519 81.248599) + (xy 125.859438 81.248) + (xy 125.075931 81.248) + (xy 125.067141 81.251641) + (xy 125.0635 81.260431) + (xy 125.0635 82.149069) + (xy 124.9365 82.149069) + (xy 124.9365 81.260431) + (xy 124.932859 81.251641) + (xy 124.924069 81.248) + (xy 124.140562 81.248) + (xy 124.134481 81.248599) + (xy 124.106482 81.254169) + (xy 124.09533 81.258788) + (xy 124.063555 81.280019) + (xy 124.055019 81.288555) + (xy 124.033788 81.32033) + (xy 124.029169 81.331482) + (xy 124.023599 81.359481) + (xy 124.023 81.365562) + (xy 124.023 82.149069) + (xy 99.3 82.149069) + (xy 99.3 81.559438) + (xy 114.423 81.559438) + (xy 114.423599 81.565519) + (xy 114.429169 81.593518) + (xy 114.433788 81.60467) + (xy 114.455019 81.636445) + (xy 114.463555 81.644981) + (xy 114.49533 81.666212) + (xy 114.506482 81.670831) + (xy 114.534481 81.676401) + (xy 114.540562 81.677) + (xy 115.174069 81.677) + (xy 115.182859 81.673359) + (xy 115.1865 81.664569) + (xy 115.3135 81.664569) + (xy 115.317141 81.673359) + (xy 115.325931 81.677) + (xy 115.959438 81.677) + (xy 115.965519 81.676401) + (xy 115.993518 81.670831) + (xy 116.00467 81.666212) + (xy 116.036445 81.644981) + (xy 116.044981 81.636445) + (xy 116.066212 81.60467) + (xy 116.070831 81.593518) + (xy 116.076401 81.565519) + (xy 116.076693 81.562558) + (xy 118.9225 81.562558) + (xy 118.923099 81.565569) + (xy 118.928659 81.593518) + (xy 118.929898 81.599748) + (xy 118.958078 81.641922) + (xy 119.000252 81.670102) + (xy 119.006285 81.671302) + (xy 119.006287 81.671303) + (xy 119.025261 81.675077) + (xy 119.037442 81.6775) + (xy 120.462558 81.6775) + (xy 120.474739 81.675077) + (xy 120.493713 81.671303) + (xy 120.493715 81.671302) + (xy 120.499748 81.670102) + (xy 120.541922 81.641922) + (xy 120.570102 81.599748) + (xy 120.571342 81.593518) + (xy 120.576901 81.565569) + (xy 120.5775 81.562558) + (xy 120.5775 81.04093) + (xy 128.016633 81.04093) + (xy 128.017021 81.043465) + (xy 128.017021 81.043466) + (xy 128.021089 81.070054) + (xy 128.052498 81.275314) + (xy 128.053296 81.277754) + (xy 128.053296 81.277756) + (xy 128.117055 81.472825) + (xy 128.126164 81.500693) + (xy 128.127349 81.50297) + (xy 128.127351 81.502974) + (xy 128.234463 81.708735) + (xy 128.234467 81.708741) + (xy 128.23565 81.711014) + (xy 128.378017 81.90063) + (xy 128.379869 81.902399) + (xy 128.37987 81.902401) + (xy 128.431772 81.951999) + (xy 128.549441 82.064446) + (xy 128.551561 82.065892) + (xy 128.551562 82.065893) + (xy 128.692733 82.162193) + (xy 128.745319 82.198065) + (xy 128.747651 82.199148) + (xy 128.747652 82.199148) + (xy 128.947989 82.292141) + (xy 128.960391 82.297898) + (xy 128.962858 82.298582) + (xy 128.962863 82.298584) + (xy 129.11405 82.340512) + (xy 129.188879 82.361264) + (xy 129.246886 82.367463) + (xy 129.380798 82.381774) + (xy 129.380802 82.381774) + (xy 129.382447 82.38195) + (xy 129.52011 82.38195) + (xy 129.696315 82.367463) + (xy 129.766448 82.349847) + (xy 129.923797 82.310324) + (xy 129.9238 82.310323) + (xy 129.926284 82.309699) + (xy 129.973183 82.289307) + (xy 129.992057 82.2811) + (xy 130.14373 82.215151) + (xy 130.342814 82.086358) + (xy 130.418763 82.01725) + (xy 130.51629 81.928507) + (xy 130.516291 81.928506) + (xy 130.51819 81.926778) + (xy 130.537442 81.902401) + (xy 130.663557 81.742712) + (xy 130.66356 81.742708) + (xy 130.665147 81.740698) + (xy 130.682792 81.708735) + (xy 130.778498 81.535362) + (xy 130.779739 81.533114) + (xy 130.801089 81.472825) + (xy 130.858032 81.312023) + (xy 130.858033 81.312019) + (xy 130.858889 81.309602) + (xy 130.860036 81.303162) + (xy 130.90002 81.0787) + (xy 130.900021 81.078693) + (xy 130.900471 81.076165) + (xy 130.901636 80.980824) + (xy 131.246201 80.980824) + (xy 131.27581 81.153141) + (xy 131.344267 81.314024) + (xy 131.447898 81.454843) + (xy 131.450664 81.457193) + (xy 131.450666 81.457195) + (xy 131.49002 81.490628) + (xy 131.581146 81.568045) + (xy 131.736862 81.647558) + (xy 131.906693 81.689115) + (xy 131.914268 81.689585) + (xy 131.916765 81.68974) + (xy 131.916769 81.68974) + (xy 131.917734 81.6898) + (xy 132.043779 81.6898) + (xy 132.045569 81.689591) + (xy 132.045574 81.689591) + (xy 132.095216 81.683803) + (xy 132.173665 81.674657) + (xy 132.17708 81.673418) + (xy 132.177082 81.673417) + (xy 132.245944 81.648421) + (xy 132.338015 81.615001) + (xy 132.353477 81.604864) + (xy 132.407118 81.569695) + (xy 132.484233 81.519136) + (xy 132.604475 81.392205) + (xy 132.606297 81.389067) + (xy 132.6063 81.389064) + (xy 132.690473 81.244149) + (xy 132.690474 81.244148) + (xy 132.692292 81.241017) + (xy 132.742973 81.073682) + (xy 132.745005 81.04093) + (xy 133.096633 81.04093) + (xy 133.097021 81.043465) + (xy 133.097021 81.043466) + (xy 133.101089 81.070054) + (xy 133.132498 81.275314) + (xy 133.133296 81.277754) + (xy 133.133296 81.277756) + (xy 133.197055 81.472825) + (xy 133.206164 81.500693) + (xy 133.207349 81.50297) + (xy 133.207351 81.502974) + (xy 133.314463 81.708735) + (xy 133.314467 81.708741) + (xy 133.31565 81.711014) + (xy 133.458017 81.90063) + (xy 133.459869 81.902399) + (xy 133.45987 81.902401) + (xy 133.511772 81.951999) + (xy 133.629441 82.064446) + (xy 133.631561 82.065892) + (xy 133.631562 82.065893) + (xy 133.772733 82.162193) + (xy 133.825319 82.198065) + (xy 133.827651 82.199148) + (xy 133.827652 82.199148) + (xy 134.027989 82.292141) + (xy 134.040391 82.297898) + (xy 134.042858 82.298582) + (xy 134.042863 82.298584) + (xy 134.19405 82.340512) + (xy 134.268879 82.361264) + (xy 134.326886 82.367463) + (xy 134.460798 82.381774) + (xy 134.460802 82.381774) + (xy 134.462447 82.38195) + (xy 134.60011 82.38195) + (xy 134.776315 82.367463) + (xy 134.846448 82.349847) + (xy 135.003797 82.310324) + (xy 135.0038 82.310323) + (xy 135.006284 82.309699) + (xy 135.053183 82.289307) + (xy 135.072057 82.2811) + (xy 135.22373 82.215151) + (xy 135.422814 82.086358) + (xy 135.498763 82.01725) + (xy 135.59629 81.928507) + (xy 135.596291 81.928506) + (xy 135.59819 81.926778) + (xy 135.617442 81.902401) + (xy 135.667269 81.839309) + (xy 144.168 81.839309) + (xy 144.168218 81.842988) + (xy 144.17032 81.860647) + (xy 144.172778 81.869593) + (xy 144.210421 81.954339) + (xy 144.216846 81.963688) + (xy 144.281711 82.02844) + (xy 144.291069 82.034848) + (xy 144.375876 82.072341) + (xy 144.384838 82.074784) + (xy 144.40205 82.076791) + (xy 144.405658 82.077) + (xy 144.519069 82.077) + (xy 144.527859 82.073359) + (xy 144.5315 82.064569) + (xy 144.6585 82.064569) + (xy 144.662141 82.073359) + (xy 144.670931 82.077) + (xy 144.784309 82.077) + (xy 144.787988 82.076782) + (xy 144.805647 82.07468) + (xy 144.814593 82.072222) + (xy 144.899339 82.034579) + (xy 144.908688 82.028154) + (xy 144.97344 81.963289) + (xy 144.979848 81.953931) + (xy 145.017341 81.869124) + (xy 145.019784 81.860162) + (xy 145.021791 81.84295) + (xy 145.022 81.839342) + (xy 145.022 81.225931) + (xy 145.018359 81.217141) + (xy 145.009569 81.2135) + (xy 144.670931 81.2135) + (xy 144.662141 81.217141) + (xy 144.6585 81.225931) + (xy 144.6585 82.064569) + (xy 144.5315 82.064569) + (xy 144.5315 81.225931) + (xy 144.527859 81.217141) + (xy 144.519069 81.2135) + (xy 144.180431 81.2135) + (xy 144.171641 81.217141) + (xy 144.168 81.225931) + (xy 144.168 81.839309) + (xy 135.667269 81.839309) + (xy 135.743557 81.742712) + (xy 135.74356 81.742708) + (xy 135.745147 81.740698) + (xy 135.762792 81.708735) + (xy 135.858498 81.535362) + (xy 135.859739 81.533114) + (xy 135.881089 81.472825) + (xy 135.938032 81.312023) + (xy 135.938033 81.312019) + (xy 135.938889 81.309602) + (xy 135.940036 81.303162) + (xy 135.98002 81.0787) + (xy 135.980021 81.078693) + (xy 135.980471 81.076165) + (xy 135.980497 81.074069) + (xy 144.168 81.074069) + (xy 144.171641 81.082859) + (xy 144.180431 81.0865) + (xy 144.519069 81.0865) + (xy 144.527859 81.082859) + (xy 144.5315 81.074069) + (xy 144.6585 81.074069) + (xy 144.662141 81.082859) + (xy 144.670931 81.0865) + (xy 145.009569 81.0865) + (xy 145.018359 81.082859) + (xy 145.022 81.074069) + (xy 145.022 80.460691) + (xy 145.021782 80.457012) + (xy 145.01968 80.439353) + (xy 145.017222 80.430407) + (xy 144.979579 80.345661) + (xy 144.973154 80.336312) + (xy 144.908289 80.27156) + (xy 144.898931 80.265152) + (xy 144.814124 80.227659) + (xy 144.805162 80.225216) + (xy 144.78795 80.223209) + (xy 144.784342 80.223) + (xy 144.670931 80.223) + (xy 144.662141 80.226641) + (xy 144.6585 80.235431) + (xy 144.6585 81.074069) + (xy 144.5315 81.074069) + (xy 144.5315 80.235431) + (xy 144.527859 80.226641) + (xy 144.519069 80.223) + (xy 144.405691 80.223) + (xy 144.402012 80.223218) + (xy 144.384353 80.22532) + (xy 144.375407 80.227778) + (xy 144.290661 80.265421) + (xy 144.281312 80.271846) + (xy 144.21656 80.336711) + (xy 144.210152 80.346069) + (xy 144.172659 80.430876) + (xy 144.170216 80.439838) + (xy 144.168209 80.45705) + (xy 144.168 80.460658) + (xy 144.168 81.074069) + (xy 135.980497 81.074069) + (xy 135.982485 80.911288) + (xy 135.983336 80.841638) + (xy 135.983336 80.841634) + (xy 135.983367 80.83907) + (xy 135.981357 80.825931) + (xy 135.969614 80.749193) + (xy 135.947502 80.604686) + (xy 135.943109 80.591244) + (xy 135.874635 80.381751) + (xy 135.874634 80.381749) + (xy 135.873836 80.379307) + (xy 135.872649 80.377026) + (xy 135.765537 80.171265) + (xy 135.765533 80.171259) + (xy 135.76435 80.168986) + (xy 135.621983 79.97937) + (xy 135.614217 79.971948) + (xy 135.500789 79.863555) + (xy 135.450559 79.815554) + (xy 135.448438 79.814107) + (xy 135.256807 79.683385) + (xy 135.256805 79.683384) + (xy 135.254681 79.681935) + (xy 135.039609 79.582102) + (xy 135.037142 79.581418) + (xy 135.037137 79.581416) + (xy 134.813587 79.51942) + (xy 134.813588 79.51942) + (xy 134.811121 79.518736) + (xy 134.721582 79.509167) + (xy 134.619202 79.498226) + (xy 134.619198 79.498226) + (xy 134.617553 79.49805) + (xy 134.47989 79.49805) + (xy 134.303685 79.512537) + (xy 134.301192 79.513163) + (xy 134.301193 79.513163) + (xy 134.076203 79.569676) + (xy 134.0762 79.569677) + (xy 134.073716 79.570301) + (xy 133.85627 79.664849) + (xy 133.657186 79.793642) + (xy 133.624922 79.823) + (xy 133.533177 79.906482) + (xy 133.48181 79.953222) + (xy 133.480222 79.955233) + (xy 133.480219 79.955236) + (xy 133.336443 80.137288) + (xy 133.33644 80.137292) + (xy 133.334853 80.139302) + (xy 133.333614 80.141547) + (xy 133.333613 80.141548) + (xy 133.273399 80.250627) + (xy 133.220261 80.346886) + (xy 133.219403 80.349309) + (xy 133.142378 80.566821) + (xy 133.141111 80.570398) + (xy 133.140661 80.572924) + (xy 133.14066 80.572928) + (xy 133.09998 80.8013) + (xy 133.099979 80.801307) + (xy 133.099529 80.803835) + (xy 133.098238 80.909525) + (xy 133.097034 81.00814) + (xy 133.096633 81.04093) + (xy 132.745005 81.04093) + (xy 132.753799 80.899176) + (xy 132.72419 80.726859) + (xy 132.655733 80.565976) + (xy 132.552102 80.425157) + (xy 132.418854 80.311955) + (xy 132.263138 80.232442) + (xy 132.093307 80.190885) + (xy 132.085635 80.190409) + (xy 132.083235 80.19026) + (xy 132.083231 80.19026) + (xy 132.082266 80.1902) + (xy 131.956221 80.1902) + (xy 131.954431 80.190409) + (xy 131.954426 80.190409) + (xy 131.904784 80.196197) + (xy 131.826335 80.205343) + (xy 131.82292 80.206582) + (xy 131.822918 80.206583) + (xy 131.777115 80.223209) + (xy 131.661985 80.264999) + (xy 131.658949 80.26699) + (xy 131.658948 80.26699) + (xy 131.626297 80.288397) + (xy 131.515767 80.360864) + (xy 131.395525 80.487795) + (xy 131.393703 80.490933) + (xy 131.3937 80.490936) + (xy 131.309837 80.635318) + (xy 131.307708 80.638983) + (xy 131.306658 80.64245) + (xy 131.271807 80.75752) + (xy 131.257027 80.806318) + (xy 131.246201 80.980824) + (xy 130.901636 80.980824) + (xy 130.902485 80.911288) + (xy 130.903336 80.841638) + (xy 130.903336 80.841634) + (xy 130.903367 80.83907) + (xy 130.901357 80.825931) + (xy 130.889614 80.749193) + (xy 130.867502 80.604686) + (xy 130.863109 80.591244) + (xy 130.794635 80.381751) + (xy 130.794634 80.381749) + (xy 130.793836 80.379307) + (xy 130.792649 80.377026) + (xy 130.685537 80.171265) + (xy 130.685533 80.171259) + (xy 130.68435 80.168986) + (xy 130.541983 79.97937) + (xy 130.534217 79.971948) + (xy 130.420789 79.863555) + (xy 130.370559 79.815554) + (xy 130.368438 79.814107) + (xy 130.176807 79.683385) + (xy 130.176805 79.683384) + (xy 130.174681 79.681935) + (xy 129.959609 79.582102) + (xy 129.957142 79.581418) + (xy 129.957137 79.581416) + (xy 129.733587 79.51942) + (xy 129.733588 79.51942) + (xy 129.731121 79.518736) + (xy 129.641582 79.509167) + (xy 129.539202 79.498226) + (xy 129.539198 79.498226) + (xy 129.537553 79.49805) + (xy 129.39989 79.49805) + (xy 129.223685 79.512537) + (xy 129.221192 79.513163) + (xy 129.221193 79.513163) + (xy 128.996203 79.569676) + (xy 128.9962 79.569677) + (xy 128.993716 79.570301) + (xy 128.77627 79.664849) + (xy 128.577186 79.793642) + (xy 128.544922 79.823) + (xy 128.453177 79.906482) + (xy 128.40181 79.953222) + (xy 128.400222 79.955233) + (xy 128.400219 79.955236) + (xy 128.256443 80.137288) + (xy 128.25644 80.137292) + (xy 128.254853 80.139302) + (xy 128.253614 80.141547) + (xy 128.253613 80.141548) + (xy 128.193399 80.250627) + (xy 128.140261 80.346886) + (xy 128.139403 80.349309) + (xy 128.062378 80.566821) + (xy 128.061111 80.570398) + (xy 128.060661 80.572924) + (xy 128.06066 80.572928) + (xy 128.01998 80.8013) + (xy 128.019979 80.801307) + (xy 128.019529 80.803835) + (xy 128.018238 80.909525) + (xy 128.017034 81.00814) + (xy 128.016633 81.04093) + (xy 120.5775 81.04093) + (xy 120.5775 79.937442) + (xy 120.576494 79.932384) + (xy 120.571303 79.906287) + (xy 120.571302 79.906285) + (xy 120.570102 79.900252) + (xy 120.541922 79.858078) + (xy 120.499748 79.829898) + (xy 120.493715 79.828698) + (xy 120.493713 79.828697) + (xy 120.465569 79.823099) + (xy 120.462558 79.8225) + (xy 119.037442 79.8225) + (xy 119.034431 79.823099) + (xy 119.006287 79.828697) + (xy 119.006285 79.828698) + (xy 119.000252 79.829898) + (xy 118.958078 79.858078) + (xy 118.929898 79.900252) + (xy 118.928698 79.906285) + (xy 118.928697 79.906287) + (xy 118.923506 79.932384) + (xy 118.9225 79.937442) + (xy 118.9225 81.562558) + (xy 116.076693 81.562558) + (xy 116.077 81.559438) + (xy 116.077 80.825931) + (xy 116.073359 80.817141) + (xy 116.064569 80.8135) + (xy 115.325931 80.8135) + (xy 115.317141 80.817141) + (xy 115.3135 80.825931) + (xy 115.3135 81.664569) + (xy 115.1865 81.664569) + (xy 115.1865 80.825931) + (xy 115.182859 80.817141) + (xy 115.174069 80.8135) + (xy 114.435431 80.8135) + (xy 114.426641 80.817141) + (xy 114.423 80.825931) + (xy 114.423 81.559438) + (xy 99.3 81.559438) + (xy 99.3 80.674069) + (xy 114.423 80.674069) + (xy 114.426641 80.682859) + (xy 114.435431 80.6865) + (xy 115.174069 80.6865) + (xy 115.182859 80.682859) + (xy 115.1865 80.674069) + (xy 115.3135 80.674069) + (xy 115.317141 80.682859) + (xy 115.325931 80.6865) + (xy 116.064569 80.6865) + (xy 116.073359 80.682859) + (xy 116.077 80.674069) + (xy 116.077 79.940562) + (xy 116.076401 79.934481) + (xy 116.070831 79.906482) + (xy 116.066212 79.89533) + (xy 116.044981 79.863555) + (xy 116.036445 79.855019) + (xy 116.00467 79.833788) + (xy 115.993518 79.829169) + (xy 115.965519 79.823599) + (xy 115.959438 79.823) + (xy 115.325931 79.823) + (xy 115.317141 79.826641) + (xy 115.3135 79.835431) + (xy 115.3135 80.674069) + (xy 115.1865 80.674069) + (xy 115.1865 79.835431) + (xy 115.182859 79.826641) + (xy 115.174069 79.823) + (xy 114.540562 79.823) + (xy 114.534481 79.823599) + (xy 114.506482 79.829169) + (xy 114.49533 79.833788) + (xy 114.463555 79.855019) + (xy 114.455019 79.863555) + (xy 114.433788 79.89533) + (xy 114.429169 79.906482) + (xy 114.423599 79.934481) + (xy 114.423 79.940562) + (xy 114.423 80.674069) + (xy 99.3 80.674069) + (xy 99.3 77.9625) + (xy 99.318306 77.918306) + (xy 99.3625 77.9) + (xy 200.6375 77.9) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 146.765075 113.459306) + (xy 146.783381 113.5035) + (xy 146.772847 113.538223) + (xy 146.734249 113.595988) + (xy 146.733048 113.602024) + (xy 146.733048 113.602025) + (xy 146.731164 113.6115) + (xy 146.7225 113.655056) + (xy 146.7225 113.844944) + (xy 146.723099 113.847954) + (xy 146.723099 113.847957) + (xy 146.732283 113.89413) + (xy 146.734249 113.904012) + (xy 146.779006 113.970994) + (xy 146.845988 114.015751) + (xy 146.852024 114.016952) + (xy 146.852025 114.016952) + (xy 146.902043 114.026901) + (xy 146.902046 114.026901) + (xy 146.905056 114.0275) + (xy 148.269944 114.0275) + (xy 148.272954 114.026901) + (xy 148.272957 114.026901) + (xy 148.322975 114.016952) + (xy 148.322976 114.016952) + (xy 148.329012 114.015751) + (xy 148.395994 113.970994) + (xy 148.399414 113.965876) + (xy 148.403766 113.961524) + (xy 148.405594 113.963352) + (xy 148.437248 113.942201) + (xy 148.449442 113.941) + (xy 149.076187 113.941) + (xy 149.120381 113.959306) + (xy 149.129248 113.970473) + (xy 149.13154 113.974155) + (xy 149.133333 113.97823) + (xy 149.147272 113.994813) + (xy 149.148029 113.995713) + (xy 149.150157 113.998434) + (xy 149.152292 114.002625) + (xy 149.160081 114.010414) + (xy 149.163729 114.014391) + (xy 149.212127 114.071968) + (xy 149.235399 114.087459) + (xy 149.241836 114.092733) + (xy 149.243899 114.094232) + (xy 149.247375 114.097708) + (xy 149.251753 114.099939) + (xy 149.251756 114.099941) + (xy 149.25873 114.103494) + (xy 149.264983 114.107152) + (xy 149.272876 114.112406) + (xy 149.281134 114.117903) + (xy 149.307778 114.157631) + (xy 149.309 114.169929) + (xy 149.309 114.800558) + (xy 149.290694 114.844752) + (xy 149.286589 114.848121) + (xy 149.284124 114.850586) + (xy 149.279006 114.854006) + (xy 149.234249 114.920988) + (xy 149.233048 114.927024) + (xy 149.233048 114.927025) + (xy 149.224979 114.967595) + (xy 149.2225 114.980056) + (xy 149.2225 116.344944) + (xy 149.223099 116.347954) + (xy 149.223099 116.347957) + (xy 149.233007 116.397767) + (xy 149.234249 116.404012) + (xy 149.279006 116.470994) + (xy 149.345988 116.515751) + (xy 149.352024 116.516952) + (xy 149.352025 116.516952) + (xy 149.402043 116.526901) + (xy 149.402046 116.526901) + (xy 149.405056 116.5275) + (xy 149.594944 116.5275) + (xy 149.597954 116.526901) + (xy 149.597957 116.526901) + (xy 149.647975 116.516952) + (xy 149.647976 116.516952) + (xy 149.654012 116.515751) + (xy 149.711777 116.477153) + (xy 149.758692 116.46782) + (xy 149.798466 116.494395) + (xy 149.809 116.529119) + (xy 149.809 122.394997) + (xy 149.790694 122.439191) + (xy 149.189191 123.040694) + (xy 149.144997 123.059) + (xy 144.293234 123.059) + (xy 144.285893 123.057747) + (xy 144.28581 123.058476) + (xy 144.278819 123.057679) + (xy 144.272178 123.055347) + (xy 144.265185 123.056125) + (xy 144.265184 123.056125) + (xy 144.242794 123.058617) + (xy 144.235881 123.059) + (xy 144.228467 123.059) + (xy 144.221544 123.060579) + (xy 144.214591 123.061755) + (xy 144.185571 123.064985) + (xy 144.179607 123.068719) + (xy 144.17567 123.07009) + (xy 144.171904 123.071903) + (xy 144.165041 123.073468) + (xy 144.159535 123.077855) + (xy 144.159536 123.077855) + (xy 144.142229 123.091646) + (xy 144.136446 123.09574) + (xy 144.13329 123.097716) + (xy 144.133284 123.097721) + (xy 144.130289 123.099596) + (xy 144.125076 123.104809) + (xy 144.119832 123.109494) + (xy 144.096891 123.127775) + (xy 144.093833 123.134114) + (xy 144.089442 123.139615) + (xy 144.088908 123.139189) + (xy 144.084532 123.145353) + (xy 142.395513 124.834372) + (xy 142.389439 124.838677) + (xy 142.389895 124.83925) + (xy 142.384387 124.843631) + (xy 142.378042 124.846678) + (xy 142.373646 124.852175) + (xy 142.359582 124.869761) + (xy 142.354965 124.87492) + (xy 142.349716 124.880169) + (xy 142.34785 124.883137) + (xy 142.347849 124.883139) + (xy 142.347754 124.88329) + (xy 142.345942 124.886174) + (xy 142.341839 124.891947) + (xy 142.341204 124.892741) + (xy 142.323617 124.914733) + (xy 142.32204 124.921593) + (xy 142.320222 124.925353) + (xy 142.318844 124.929289) + (xy 142.315098 124.935248) + (xy 142.314307 124.942242) + (xy 142.314307 124.942243) + (xy 142.311821 124.964236) + (xy 142.310629 124.971213) + (xy 142.309 124.978297) + (xy 142.309 124.985671) + (xy 142.308604 124.992692) + (xy 142.305309 125.021838) + (xy 142.30763 125.028483) + (xy 142.308414 125.035477) + (xy 142.307736 125.035553) + (xy 142.309 125.043005) + (xy 142.309 126.9375) + (xy 142.290694 126.981694) + (xy 142.2465 127) + (xy 140.444 127) + (xy 140.399806 126.981694) + (xy 140.3815 126.9375) + (xy 140.3815 125.957472) + (xy 140.387754 125.930221) + (xy 140.389012 125.927625) + (xy 140.411451 125.881311) + (xy 140.413317 125.870224) + (xy 140.431367 125.762928) + (xy 140.431767 125.760552) + (xy 140.431896 125.75) + (xy 140.414536 125.628781) + (xy 140.363852 125.517307) + (xy 140.349199 125.500302) + (xy 140.347708 125.497375) + (xy 140.3419 125.491567) + (xy 140.338746 125.48817) + (xy 140.286826 125.427914) + (xy 140.283918 125.424539) + (xy 140.263741 125.411461) + (xy 140.260155 125.408559) + (xy 140.260081 125.408661) + (xy 140.256106 125.405773) + (xy 140.252625 125.402292) + (xy 140.241343 125.396543) + (xy 140.235736 125.393309) + (xy 140.184893 125.360354) + (xy 140.18489 125.360353) + (xy 140.18116 125.357935) + (xy 140.176901 125.356661) + (xy 140.176898 125.35666) + (xy 140.154429 125.349941) + (xy 140.148839 125.348269) + (xy 140.138377 125.344079) + (xy 140.132813 125.341244) + (xy 140.121067 125.339384) + (xy 140.112951 125.337536) + (xy 140.063838 125.322848) + (xy 140.019496 125.322577) + (xy 140.010103 125.321809) + (xy 140.004857 125.320978) + (xy 140.004856 125.320978) + (xy 140 125.320209) + (xy 139.991373 125.321576) + (xy 139.98122 125.322344) + (xy 139.962836 125.322231) + (xy 139.945838 125.322127) + (xy 139.945836 125.322127) + (xy 139.941385 125.3221) + (xy 139.887011 125.337641) + (xy 139.879623 125.339275) + (xy 139.867187 125.341244) + (xy 139.862804 125.343477) + (xy 139.86187 125.343781) + (xy 139.852156 125.347603) + (xy 139.849821 125.34827) + (xy 139.827929 125.354526) + (xy 139.827927 125.354527) + (xy 139.823644 125.355751) + (xy 139.764119 125.393309) + (xy 139.762819 125.394129) + (xy 139.757842 125.396959) + (xy 139.751757 125.400059) + (xy 139.751756 125.40006) + (xy 139.747375 125.402292) + (xy 139.743897 125.40577) + (xy 139.742445 125.406825) + (xy 139.739058 125.40912) + (xy 139.72008 125.421095) + (xy 139.717137 125.424427) + (xy 139.717135 125.424429) + (xy 139.657156 125.492342) + (xy 139.656032 125.493538) + (xy 139.655775 125.493892) + (xy 139.655554 125.494113) + (xy 139.655405 125.494204) + (xy 139.654504 125.495163) + (xy 139.652292 125.497375) + (xy 139.651509 125.496592) + (xy 139.650362 125.497296) + (xy 139.651721 125.498496) + (xy 139.639018 125.512879) + (xy 139.637127 125.516907) + (xy 139.588868 125.619695) + (xy 139.588867 125.619698) + (xy 139.586976 125.623726) + (xy 139.568136 125.744724) + (xy 139.568713 125.749137) + (xy 139.568713 125.749138) + (xy 139.583436 125.861726) + (xy 139.584014 125.866145) + (xy 139.591185 125.882443) + (xy 139.591244 125.882813) + (xy 139.592007 125.884311) + (xy 139.594031 125.88891) + (xy 139.594031 125.888911) + (xy 139.613207 125.932491) + (xy 139.6185 125.957663) + (xy 139.6185 126.9375) + (xy 139.600194 126.981694) + (xy 139.556 127) + (xy 137.7535 127) + (xy 137.709306 126.981694) + (xy 137.691 126.9375) + (xy 137.691 125.105003) + (xy 137.709306 125.060809) + (xy 138.803769 123.966346) + (xy 138.847963 123.94804) + (xy 139.380958 123.94804) + (xy 139.425152 123.966346) + (xy 139.443458 124.01054) + (xy 139.425152 124.054734) + (xy 139.038885 124.441) + (xy 138.911953 124.567932) + (xy 138.867759 124.586238) + (xy 138.849851 124.583618) + (xy 138.833008 124.578581) + (xy 138.813838 124.572848) + (xy 138.769496 124.572577) + (xy 138.760103 124.571809) + (xy 138.754857 124.570978) + (xy 138.754856 124.570978) + (xy 138.75 124.570209) + (xy 138.741373 124.571576) + (xy 138.73122 124.572344) + (xy 138.712836 124.572231) + (xy 138.695838 124.572127) + (xy 138.695836 124.572127) + (xy 138.691385 124.5721) + (xy 138.637011 124.587641) + (xy 138.629623 124.589275) + (xy 138.617187 124.591244) + (xy 138.612804 124.593477) + (xy 138.61187 124.593781) + (xy 138.602155 124.597603) + (xy 138.577929 124.604526) + (xy 138.577927 124.604527) + (xy 138.573644 124.605751) + (xy 138.569875 124.608129) + (xy 138.553622 124.618384) + (xy 138.514119 124.643309) + (xy 138.512819 124.644129) + (xy 138.507842 124.646959) + (xy 138.501757 124.650059) + (xy 138.501756 124.65006) + (xy 138.497375 124.652292) + (xy 138.493897 124.65577) + (xy 138.492445 124.656825) + (xy 138.489058 124.65912) + (xy 138.47008 124.671095) + (xy 138.467137 124.674427) + (xy 138.467135 124.674429) + (xy 138.407156 124.742342) + (xy 138.406032 124.743538) + (xy 138.405775 124.743892) + (xy 138.405554 124.744113) + (xy 138.405405 124.744204) + (xy 138.404504 124.745163) + (xy 138.402292 124.747375) + (xy 138.401509 124.746592) + (xy 138.400362 124.747296) + (xy 138.401721 124.748496) + (xy 138.389018 124.762879) + (xy 138.387127 124.766907) + (xy 138.338868 124.869695) + (xy 138.338867 124.869698) + (xy 138.336976 124.873726) + (xy 138.318136 124.994724) + (xy 138.318713 124.999137) + (xy 138.318713 124.999138) + (xy 138.332557 125.105002) + (xy 138.334014 125.116145) + (xy 138.341185 125.132443) + (xy 138.341244 125.132813) + (xy 138.342007 125.134311) + (xy 138.383333 125.22823) + (xy 138.397272 125.244813) + (xy 138.398029 125.245713) + (xy 138.400157 125.248434) + (xy 138.402292 125.252625) + (xy 138.410081 125.260414) + (xy 138.413729 125.264391) + (xy 138.462127 125.321968) + (xy 138.485399 125.337459) + (xy 138.491836 125.342733) + (xy 138.493899 125.344232) + (xy 138.497375 125.347708) + (xy 138.501753 125.349939) + (xy 138.501756 125.349941) + (xy 138.50873 125.353494) + (xy 138.514977 125.357148) + (xy 138.564064 125.389823) + (xy 138.568313 125.391151) + (xy 138.568314 125.391151) + (xy 138.600296 125.401143) + (xy 138.610027 125.405109) + (xy 138.612799 125.406521) + (xy 138.612803 125.406522) + (xy 138.617187 125.408756) + (xy 138.627852 125.410445) + (xy 138.636713 125.41252) + (xy 138.676696 125.425012) + (xy 138.676699 125.425012) + (xy 138.680948 125.42634) + (xy 138.730069 125.427241) + (xy 138.738683 125.427999) + (xy 138.74514 125.429022) + (xy 138.745144 125.429022) + (xy 138.75 125.429791) + (xy 138.756947 125.42869) + (xy 138.767853 125.427933) + (xy 138.803383 125.428584) + (xy 138.863532 125.412185) + (xy 138.87019 125.410755) + (xy 138.872147 125.410445) + (xy 138.882813 125.408756) + (xy 138.887196 125.406522) + (xy 138.891875 125.405002) + (xy 138.891878 125.40501) + (xy 138.896727 125.403136) + (xy 138.921527 125.396375) + (xy 138.926521 125.393309) + (xy 138.989709 125.354511) + (xy 138.994037 125.352085) + (xy 138.99824 125.349944) + (xy 138.998248 125.349938) + (xy 139.002625 125.347708) + (xy 139.005427 125.344906) + (xy 139.006264 125.344346) + (xy 139.011316 125.341244) + (xy 139.025881 125.332301) + (xy 139.108058 125.241513) + (xy 139.161451 125.131311) + (xy 139.163317 125.120224) + (xy 139.178061 125.03258) + (xy 139.181767 125.010552) + (xy 139.181896 125) + (xy 139.166061 124.889432) + (xy 139.177917 124.843091) + (xy 139.183736 124.836379) + (xy 139.800249 124.219866) + (xy 139.844443 124.20156) + (xy 141.271801 124.20156) + (xy 141.27914 124.20281) + (xy 141.279223 124.202083) + (xy 141.286214 124.20288) + (xy 141.292855 124.205212) + (xy 141.299848 124.204434) + (xy 141.299849 124.204434) + (xy 141.32223 124.201943) + (xy 141.329143 124.20156) + (xy 141.336566 124.20156) + (xy 141.343498 124.199979) + (xy 141.350451 124.198803) + (xy 141.379462 124.195574) + (xy 141.385426 124.191841) + (xy 141.389374 124.190466) + (xy 141.393133 124.188657) + (xy 141.399992 124.187092) + (xy 141.405498 124.182705) + (xy 141.416186 124.174187) + (xy 141.422807 124.168911) + (xy 141.428592 124.164816) + (xy 141.431748 124.16284) + (xy 141.43175 124.162839) + (xy 141.434744 124.160964) + (xy 141.439957 124.155751) + (xy 141.445201 124.151066) + (xy 141.462637 124.137172) + (xy 141.462637 124.137171) + (xy 141.468142 124.132785) + (xy 141.4712 124.126446) + (xy 141.475591 124.120945) + (xy 141.476125 124.121371) + (xy 141.480501 124.115207) + (xy 145.604485 119.991222) + (xy 145.61056 119.986915) + (xy 145.610105 119.986343) + (xy 145.615613 119.981962) + (xy 145.621958 119.978915) + (xy 145.640422 119.955827) + (xy 145.645039 119.950668) + (xy 145.650283 119.945424) + (xy 145.652145 119.942461) + (xy 145.652151 119.942454) + (xy 145.654058 119.939419) + (xy 145.658163 119.933643) + (xy 145.671987 119.916357) + (xy 145.676383 119.91086) + (xy 145.67796 119.904002) + (xy 145.67978 119.900237) + (xy 145.681158 119.896301) + (xy 145.684902 119.890345) + (xy 145.685692 119.883354) + (xy 145.685694 119.883349) + (xy 145.68818 119.861356) + (xy 145.689373 119.854371) + (xy 145.690208 119.850739) + (xy 145.691 119.847296) + (xy 145.691 119.839916) + (xy 145.691396 119.832896) + (xy 145.693899 119.810751) + (xy 145.69469 119.803755) + (xy 145.692369 119.797109) + (xy 145.691585 119.790115) + (xy 145.692264 119.790039) + (xy 145.691 119.782589) + (xy 145.691 113.855003) + (xy 145.709306 113.810809) + (xy 146.060809 113.459306) + (xy 146.105003 113.441) + (xy 146.720881 113.441) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 157.797694 121.817809) + (xy 160.816772 124.836887) + (xy 160.835078 124.881081) + (xy 160.834334 124.890691) + (xy 160.818136 124.994724) + (xy 160.818713 124.999137) + (xy 160.818713 124.999138) + (xy 160.832557 125.105002) + (xy 160.834014 125.116145) + (xy 160.841185 125.132443) + (xy 160.841244 125.132813) + (xy 160.842007 125.134311) + (xy 160.883333 125.22823) + (xy 160.897272 125.244813) + (xy 160.898029 125.245713) + (xy 160.900157 125.248434) + (xy 160.902292 125.252625) + (xy 160.910081 125.260414) + (xy 160.913729 125.264391) + (xy 160.962127 125.321968) + (xy 160.985399 125.337459) + (xy 160.991836 125.342733) + (xy 160.993899 125.344232) + (xy 160.997375 125.347708) + (xy 161.001753 125.349939) + (xy 161.001756 125.349941) + (xy 161.00873 125.353494) + (xy 161.014977 125.357148) + (xy 161.064064 125.389823) + (xy 161.068313 125.391151) + (xy 161.068314 125.391151) + (xy 161.100296 125.401143) + (xy 161.110027 125.405109) + (xy 161.112799 125.406521) + (xy 161.112803 125.406522) + (xy 161.117187 125.408756) + (xy 161.127852 125.410445) + (xy 161.136713 125.41252) + (xy 161.176696 125.425012) + (xy 161.176699 125.425012) + (xy 161.180948 125.42634) + (xy 161.230069 125.427241) + (xy 161.238683 125.427999) + (xy 161.24514 125.429022) + (xy 161.245144 125.429022) + (xy 161.25 125.429791) + (xy 161.256947 125.42869) + (xy 161.267853 125.427933) + (xy 161.303383 125.428584) + (xy 161.363532 125.412185) + (xy 161.37019 125.410755) + (xy 161.372147 125.410445) + (xy 161.382813 125.408756) + (xy 161.387196 125.406522) + (xy 161.391875 125.405002) + (xy 161.391878 125.40501) + (xy 161.396727 125.403136) + (xy 161.421527 125.396375) + (xy 161.426521 125.393309) + (xy 161.489709 125.354511) + (xy 161.494037 125.352085) + (xy 161.49824 125.349944) + (xy 161.498248 125.349938) + (xy 161.502625 125.347708) + (xy 161.505427 125.344906) + (xy 161.506264 125.344346) + (xy 161.511316 125.341244) + (xy 161.525881 125.332301) + (xy 161.608058 125.241513) + (xy 161.661451 125.131311) + (xy 161.663317 125.120224) + (xy 161.678061 125.03258) + (xy 161.681767 125.010552) + (xy 161.681896 125) + (xy 161.664536 124.878781) + (xy 161.613852 124.767307) + (xy 161.599199 124.750302) + (xy 161.597708 124.747375) + (xy 161.5919 124.741567) + (xy 161.588746 124.73817) + (xy 161.536826 124.677914) + (xy 161.533918 124.674539) + (xy 161.513741 124.661461) + (xy 161.510155 124.658559) + (xy 161.510081 124.658661) + (xy 161.506106 124.655773) + (xy 161.502625 124.652292) + (xy 161.491343 124.646543) + (xy 161.485736 124.643309) + (xy 161.485735 124.643308) + (xy 161.457055 124.624719) + (xy 161.434893 124.610354) + (xy 161.43489 124.610353) + (xy 161.43116 124.607935) + (xy 161.426901 124.606661) + (xy 161.426898 124.60666) + (xy 161.408345 124.601112) + (xy 161.398839 124.598269) + (xy 161.388377 124.594079) + (xy 161.382813 124.591244) + (xy 161.371067 124.589384) + (xy 161.362951 124.587536) + (xy 161.338896 124.580342) + (xy 161.313838 124.572848) + (xy 161.269496 124.572577) + (xy 161.260103 124.571809) + (xy 161.254857 124.570978) + (xy 161.254856 124.570978) + (xy 161.25 124.570209) + (xy 161.241373 124.571576) + (xy 161.23122 124.572344) + (xy 161.212836 124.572231) + (xy 161.195838 124.572127) + (xy 161.195836 124.572127) + (xy 161.191385 124.5721) + (xy 161.14962 124.584037) + (xy 161.102099 124.578581) + (xy 161.088252 124.568137) + (xy 161.067809 124.547694) + (xy 161.049503 124.5035) + (xy 161.067809 124.459306) + (xy 161.112003 124.441) + (xy 161.644997 124.441) + (xy 161.689191 124.459306) + (xy 162.290694 125.060808) + (xy 162.309 125.105002) + (xy 162.309 126.9375) + (xy 162.290694 126.981694) + (xy 162.2465 127) + (xy 160.444 127) + (xy 160.399806 126.981694) + (xy 160.3815 126.9375) + (xy 160.3815 125.957472) + (xy 160.387754 125.930221) + (xy 160.389012 125.927625) + (xy 160.411451 125.881311) + (xy 160.413317 125.870224) + (xy 160.431367 125.762928) + (xy 160.431767 125.760552) + (xy 160.431896 125.75) + (xy 160.414536 125.628781) + (xy 160.363852 125.517307) + (xy 160.349199 125.500302) + (xy 160.347708 125.497375) + (xy 160.3419 125.491567) + (xy 160.338746 125.48817) + (xy 160.286826 125.427914) + (xy 160.283918 125.424539) + (xy 160.263741 125.411461) + (xy 160.260155 125.408559) + (xy 160.260081 125.408661) + (xy 160.256106 125.405773) + (xy 160.252625 125.402292) + (xy 160.241343 125.396543) + (xy 160.235736 125.393309) + (xy 160.184893 125.360354) + (xy 160.18489 125.360353) + (xy 160.18116 125.357935) + (xy 160.176901 125.356661) + (xy 160.176898 125.35666) + (xy 160.154429 125.349941) + (xy 160.148839 125.348269) + (xy 160.138377 125.344079) + (xy 160.132813 125.341244) + (xy 160.121067 125.339384) + (xy 160.112951 125.337536) + (xy 160.063838 125.322848) + (xy 160.019496 125.322577) + (xy 160.010103 125.321809) + (xy 160.004857 125.320978) + (xy 160.004856 125.320978) + (xy 160 125.320209) + (xy 159.991373 125.321576) + (xy 159.98122 125.322344) + (xy 159.962836 125.322231) + (xy 159.945838 125.322127) + (xy 159.945836 125.322127) + (xy 159.941385 125.3221) + (xy 159.887011 125.337641) + (xy 159.879623 125.339275) + (xy 159.867187 125.341244) + (xy 159.862804 125.343477) + (xy 159.86187 125.343781) + (xy 159.852156 125.347603) + (xy 159.849821 125.34827) + (xy 159.827929 125.354526) + (xy 159.827927 125.354527) + (xy 159.823644 125.355751) + (xy 159.764119 125.393309) + (xy 159.762819 125.394129) + (xy 159.757842 125.396959) + (xy 159.751757 125.400059) + (xy 159.751756 125.40006) + (xy 159.747375 125.402292) + (xy 159.743897 125.40577) + (xy 159.742445 125.406825) + (xy 159.739058 125.40912) + (xy 159.72008 125.421095) + (xy 159.717137 125.424427) + (xy 159.717135 125.424429) + (xy 159.657156 125.492342) + (xy 159.656032 125.493538) + (xy 159.655775 125.493892) + (xy 159.655554 125.494113) + (xy 159.655405 125.494204) + (xy 159.654504 125.495163) + (xy 159.652292 125.497375) + (xy 159.651509 125.496592) + (xy 159.650362 125.497296) + (xy 159.651721 125.498496) + (xy 159.639018 125.512879) + (xy 159.637127 125.516907) + (xy 159.588868 125.619695) + (xy 159.588867 125.619698) + (xy 159.586976 125.623726) + (xy 159.568136 125.744724) + (xy 159.568713 125.749137) + (xy 159.568713 125.749138) + (xy 159.583436 125.861726) + (xy 159.584014 125.866145) + (xy 159.591185 125.882443) + (xy 159.591244 125.882813) + (xy 159.592007 125.884311) + (xy 159.594031 125.88891) + (xy 159.594031 125.888911) + (xy 159.613207 125.932491) + (xy 159.6185 125.957663) + (xy 159.6185 126.9375) + (xy 159.600194 126.981694) + (xy 159.556 127) + (xy 157.7535 127) + (xy 157.709306 126.981694) + (xy 157.691 126.9375) + (xy 157.691 121.862003) + (xy 157.709306 121.817809) + (xy 157.7535 121.799503) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 135.439231 122.952266) + (xy 135.457537 122.99646) + (xy 135.439231 123.040654) + (xy 133.911953 124.567932) + (xy 133.867759 124.586238) + (xy 133.849851 124.583618) + (xy 133.833008 124.578581) + (xy 133.813838 124.572848) + (xy 133.769496 124.572577) + (xy 133.760103 124.571809) + (xy 133.754857 124.570978) + (xy 133.754856 124.570978) + (xy 133.75 124.570209) + (xy 133.741373 124.571576) + (xy 133.73122 124.572344) + (xy 133.712836 124.572231) + (xy 133.695838 124.572127) + (xy 133.695836 124.572127) + (xy 133.691385 124.5721) + (xy 133.637011 124.587641) + (xy 133.629623 124.589275) + (xy 133.617187 124.591244) + (xy 133.612804 124.593477) + (xy 133.61187 124.593781) + (xy 133.602155 124.597603) + (xy 133.577929 124.604526) + (xy 133.577927 124.604527) + (xy 133.573644 124.605751) + (xy 133.569875 124.608129) + (xy 133.553622 124.618384) + (xy 133.514119 124.643309) + (xy 133.512819 124.644129) + (xy 133.507842 124.646959) + (xy 133.501757 124.650059) + (xy 133.501756 124.65006) + (xy 133.497375 124.652292) + (xy 133.493897 124.65577) + (xy 133.492445 124.656825) + (xy 133.489058 124.65912) + (xy 133.47008 124.671095) + (xy 133.467137 124.674427) + (xy 133.467135 124.674429) + (xy 133.407156 124.742342) + (xy 133.406032 124.743538) + (xy 133.405775 124.743892) + (xy 133.405554 124.744113) + (xy 133.405405 124.744204) + (xy 133.404504 124.745163) + (xy 133.402292 124.747375) + (xy 133.401509 124.746592) + (xy 133.400362 124.747296) + (xy 133.401721 124.748496) + (xy 133.389018 124.762879) + (xy 133.387127 124.766907) + (xy 133.338868 124.869695) + (xy 133.338867 124.869698) + (xy 133.336976 124.873726) + (xy 133.318136 124.994724) + (xy 133.318713 124.999137) + (xy 133.318713 124.999138) + (xy 133.332557 125.105002) + (xy 133.334014 125.116145) + (xy 133.341185 125.132443) + (xy 133.341244 125.132813) + (xy 133.342007 125.134311) + (xy 133.383333 125.22823) + (xy 133.397272 125.244813) + (xy 133.398029 125.245713) + (xy 133.400157 125.248434) + (xy 133.402292 125.252625) + (xy 133.410081 125.260414) + (xy 133.413729 125.264391) + (xy 133.462127 125.321968) + (xy 133.485399 125.337459) + (xy 133.491836 125.342733) + (xy 133.493899 125.344232) + (xy 133.497375 125.347708) + (xy 133.501753 125.349939) + (xy 133.501756 125.349941) + (xy 133.50873 125.353494) + (xy 133.514977 125.357148) + (xy 133.564064 125.389823) + (xy 133.568313 125.391151) + (xy 133.568314 125.391151) + (xy 133.600296 125.401143) + (xy 133.610027 125.405109) + (xy 133.612799 125.406521) + (xy 133.612803 125.406522) + (xy 133.617187 125.408756) + (xy 133.627852 125.410445) + (xy 133.636713 125.41252) + (xy 133.676696 125.425012) + (xy 133.676699 125.425012) + (xy 133.680948 125.42634) + (xy 133.730069 125.427241) + (xy 133.738683 125.427999) + (xy 133.74514 125.429022) + (xy 133.745144 125.429022) + (xy 133.75 125.429791) + (xy 133.756947 125.42869) + (xy 133.767853 125.427933) + (xy 133.803383 125.428584) + (xy 133.863532 125.412185) + (xy 133.87019 125.410755) + (xy 133.872147 125.410445) + (xy 133.882813 125.408756) + (xy 133.887196 125.406522) + (xy 133.891875 125.405002) + (xy 133.891878 125.40501) + (xy 133.896727 125.403136) + (xy 133.921527 125.396375) + (xy 133.926521 125.393309) + (xy 133.989709 125.354511) + (xy 133.994037 125.352085) + (xy 133.99824 125.349944) + (xy 133.998248 125.349938) + (xy 134.002625 125.347708) + (xy 134.005427 125.344906) + (xy 134.006264 125.344346) + (xy 134.011316 125.341244) + (xy 134.025881 125.332301) + (xy 134.108058 125.241513) + (xy 134.161451 125.131311) + (xy 134.163317 125.120224) + (xy 134.178061 125.03258) + (xy 134.181767 125.010552) + (xy 134.181896 125) + (xy 134.166061 124.889432) + (xy 134.177917 124.843091) + (xy 134.183736 124.836379) + (xy 135.814328 123.205786) + (xy 135.858522 123.18748) + (xy 136.391517 123.18748) + (xy 136.435711 123.205786) + (xy 136.454017 123.24998) + (xy 136.435711 123.294174) + (xy 134.895513 124.834372) + (xy 134.889439 124.838677) + (xy 134.889895 124.83925) + (xy 134.884387 124.843631) + (xy 134.878042 124.846678) + (xy 134.873646 124.852175) + (xy 134.859582 124.869761) + (xy 134.854965 124.87492) + (xy 134.849716 124.880169) + (xy 134.84785 124.883137) + (xy 134.847849 124.883139) + (xy 134.847754 124.88329) + (xy 134.845942 124.886174) + (xy 134.841839 124.891947) + (xy 134.841204 124.892741) + (xy 134.823617 124.914733) + (xy 134.82204 124.921593) + (xy 134.820222 124.925353) + (xy 134.818844 124.929289) + (xy 134.815098 124.935248) + (xy 134.814307 124.942242) + (xy 134.814307 124.942243) + (xy 134.811821 124.964236) + (xy 134.810629 124.971213) + (xy 134.809 124.978297) + (xy 134.809 124.985671) + (xy 134.808604 124.992692) + (xy 134.805309 125.021838) + (xy 134.80763 125.028483) + (xy 134.808414 125.035477) + (xy 134.807736 125.035553) + (xy 134.809 125.043005) + (xy 134.809 126.9375) + (xy 134.790694 126.981694) + (xy 134.7465 127) + (xy 132.7535 127) + (xy 132.709306 126.981694) + (xy 132.691 126.9375) + (xy 132.691 125.105003) + (xy 132.709306 125.060809) + (xy 134.817849 122.952266) + (xy 134.862043 122.93396) + (xy 135.395037 122.93396) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 133.446271 122.445226) + (xy 133.464577 122.48942) + (xy 133.446271 122.533614) + (xy 131.411953 124.567932) + (xy 131.367759 124.586238) + (xy 131.349851 124.583618) + (xy 131.333008 124.578581) + (xy 131.313838 124.572848) + (xy 131.269496 124.572577) + (xy 131.260103 124.571809) + (xy 131.254857 124.570978) + (xy 131.254856 124.570978) + (xy 131.25 124.570209) + (xy 131.241373 124.571576) + (xy 131.23122 124.572344) + (xy 131.212836 124.572231) + (xy 131.195838 124.572127) + (xy 131.195836 124.572127) + (xy 131.191385 124.5721) + (xy 131.137011 124.587641) + (xy 131.129623 124.589275) + (xy 131.117187 124.591244) + (xy 131.112804 124.593477) + (xy 131.11187 124.593781) + (xy 131.102155 124.597603) + (xy 131.077929 124.604526) + (xy 131.077927 124.604527) + (xy 131.073644 124.605751) + (xy 131.069875 124.608129) + (xy 131.053622 124.618384) + (xy 131.014119 124.643309) + (xy 131.012819 124.644129) + (xy 131.007842 124.646959) + (xy 131.001757 124.650059) + (xy 131.001756 124.65006) + (xy 130.997375 124.652292) + (xy 130.993897 124.65577) + (xy 130.992445 124.656825) + (xy 130.989058 124.65912) + (xy 130.97008 124.671095) + (xy 130.967137 124.674427) + (xy 130.967135 124.674429) + (xy 130.907156 124.742342) + (xy 130.906032 124.743538) + (xy 130.905775 124.743892) + (xy 130.905554 124.744113) + (xy 130.905405 124.744204) + (xy 130.904504 124.745163) + (xy 130.902292 124.747375) + (xy 130.901509 124.746592) + (xy 130.900362 124.747296) + (xy 130.901721 124.748496) + (xy 130.889018 124.762879) + (xy 130.887127 124.766907) + (xy 130.838868 124.869695) + (xy 130.838867 124.869698) + (xy 130.836976 124.873726) + (xy 130.818136 124.994724) + (xy 130.818713 124.999137) + (xy 130.818713 124.999138) + (xy 130.832557 125.105002) + (xy 130.834014 125.116145) + (xy 130.841185 125.132443) + (xy 130.841244 125.132813) + (xy 130.842007 125.134311) + (xy 130.883333 125.22823) + (xy 130.897272 125.244813) + (xy 130.898029 125.245713) + (xy 130.900157 125.248434) + (xy 130.902292 125.252625) + (xy 130.910081 125.260414) + (xy 130.913729 125.264391) + (xy 130.962127 125.321968) + (xy 130.985399 125.337459) + (xy 130.991836 125.342733) + (xy 130.993899 125.344232) + (xy 130.997375 125.347708) + (xy 131.001753 125.349939) + (xy 131.001756 125.349941) + (xy 131.00873 125.353494) + (xy 131.014977 125.357148) + (xy 131.064064 125.389823) + (xy 131.068313 125.391151) + (xy 131.068314 125.391151) + (xy 131.100296 125.401143) + (xy 131.110027 125.405109) + (xy 131.112799 125.406521) + (xy 131.112803 125.406522) + (xy 131.117187 125.408756) + (xy 131.127852 125.410445) + (xy 131.136713 125.41252) + (xy 131.176696 125.425012) + (xy 131.176699 125.425012) + (xy 131.180948 125.42634) + (xy 131.230069 125.427241) + (xy 131.238683 125.427999) + (xy 131.24514 125.429022) + (xy 131.245144 125.429022) + (xy 131.25 125.429791) + (xy 131.256947 125.42869) + (xy 131.267853 125.427933) + (xy 131.303383 125.428584) + (xy 131.363532 125.412185) + (xy 131.37019 125.410755) + (xy 131.372147 125.410445) + (xy 131.382813 125.408756) + (xy 131.387196 125.406522) + (xy 131.391875 125.405002) + (xy 131.391878 125.40501) + (xy 131.396727 125.403136) + (xy 131.421527 125.396375) + (xy 131.426521 125.393309) + (xy 131.489709 125.354511) + (xy 131.494037 125.352085) + (xy 131.49824 125.349944) + (xy 131.498248 125.349938) + (xy 131.502625 125.347708) + (xy 131.505427 125.344906) + (xy 131.506264 125.344346) + (xy 131.511316 125.341244) + (xy 131.525881 125.332301) + (xy 131.608058 125.241513) + (xy 131.661451 125.131311) + (xy 131.663317 125.120224) + (xy 131.678061 125.03258) + (xy 131.681767 125.010552) + (xy 131.681896 125) + (xy 131.666061 124.889432) + (xy 131.677917 124.843091) + (xy 131.683736 124.836379) + (xy 133.821369 122.698746) + (xy 133.865563 122.68044) + (xy 134.398557 122.68044) + (xy 134.442751 122.698746) + (xy 134.461057 122.74294) + (xy 134.442751 122.787134) + (xy 132.395513 124.834372) + (xy 132.389439 124.838677) + (xy 132.389895 124.83925) + (xy 132.384387 124.843631) + (xy 132.378042 124.846678) + (xy 132.373646 124.852175) + (xy 132.359582 124.869761) + (xy 132.354965 124.87492) + (xy 132.349716 124.880169) + (xy 132.34785 124.883137) + (xy 132.347849 124.883139) + (xy 132.347754 124.88329) + (xy 132.345942 124.886174) + (xy 132.341839 124.891947) + (xy 132.341204 124.892741) + (xy 132.323617 124.914733) + (xy 132.32204 124.921593) + (xy 132.320222 124.925353) + (xy 132.318844 124.929289) + (xy 132.315098 124.935248) + (xy 132.314307 124.942242) + (xy 132.314307 124.942243) + (xy 132.311821 124.964236) + (xy 132.310629 124.971213) + (xy 132.309 124.978297) + (xy 132.309 124.985671) + (xy 132.308604 124.992692) + (xy 132.305309 125.021838) + (xy 132.30763 125.028483) + (xy 132.308414 125.035477) + (xy 132.307736 125.035553) + (xy 132.309 125.043005) + (xy 132.309 126.9375) + (xy 132.290694 126.981694) + (xy 132.2465 127) + (xy 130.2535 127) + (xy 130.209306 126.981694) + (xy 130.191 126.9375) + (xy 130.191 125.105003) + (xy 130.209306 125.060809) + (xy 132.824889 122.445226) + (xy 132.869083 122.42692) + (xy 133.402077 122.42692) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 137.432191 123.459306) + (xy 137.450497 123.5035) + (xy 137.432191 123.547694) + (xy 136.411953 124.567932) + (xy 136.367759 124.586238) + (xy 136.349851 124.583618) + (xy 136.333008 124.578581) + (xy 136.313838 124.572848) + (xy 136.269496 124.572577) + (xy 136.260103 124.571809) + (xy 136.254857 124.570978) + (xy 136.254856 124.570978) + (xy 136.25 124.570209) + (xy 136.241373 124.571576) + (xy 136.23122 124.572344) + (xy 136.212836 124.572231) + (xy 136.195838 124.572127) + (xy 136.195836 124.572127) + (xy 136.191385 124.5721) + (xy 136.137011 124.587641) + (xy 136.129623 124.589275) + (xy 136.117187 124.591244) + (xy 136.112804 124.593477) + (xy 136.11187 124.593781) + (xy 136.102155 124.597603) + (xy 136.077929 124.604526) + (xy 136.077927 124.604527) + (xy 136.073644 124.605751) + (xy 136.069875 124.608129) + (xy 136.053622 124.618384) + (xy 136.014119 124.643309) + (xy 136.012819 124.644129) + (xy 136.007842 124.646959) + (xy 136.001757 124.650059) + (xy 136.001756 124.65006) + (xy 135.997375 124.652292) + (xy 135.993897 124.65577) + (xy 135.992445 124.656825) + (xy 135.989058 124.65912) + (xy 135.97008 124.671095) + (xy 135.967137 124.674427) + (xy 135.967135 124.674429) + (xy 135.907156 124.742342) + (xy 135.906032 124.743538) + (xy 135.905775 124.743892) + (xy 135.905554 124.744113) + (xy 135.905405 124.744204) + (xy 135.904504 124.745163) + (xy 135.902292 124.747375) + (xy 135.901509 124.746592) + (xy 135.900362 124.747296) + (xy 135.901721 124.748496) + (xy 135.889018 124.762879) + (xy 135.887127 124.766907) + (xy 135.838868 124.869695) + (xy 135.838867 124.869698) + (xy 135.836976 124.873726) + (xy 135.818136 124.994724) + (xy 135.818713 124.999137) + (xy 135.818713 124.999138) + (xy 135.832557 125.105002) + (xy 135.834014 125.116145) + (xy 135.841185 125.132443) + (xy 135.841244 125.132813) + (xy 135.842007 125.134311) + (xy 135.883333 125.22823) + (xy 135.897272 125.244813) + (xy 135.898029 125.245713) + (xy 135.900157 125.248434) + (xy 135.902292 125.252625) + (xy 135.910081 125.260414) + (xy 135.913729 125.264391) + (xy 135.962127 125.321968) + (xy 135.985399 125.337459) + (xy 135.991836 125.342733) + (xy 135.993899 125.344232) + (xy 135.997375 125.347708) + (xy 136.001753 125.349939) + (xy 136.001756 125.349941) + (xy 136.00873 125.353494) + (xy 136.014977 125.357148) + (xy 136.064064 125.389823) + (xy 136.068313 125.391151) + (xy 136.068314 125.391151) + (xy 136.100296 125.401143) + (xy 136.110027 125.405109) + (xy 136.112799 125.406521) + (xy 136.112803 125.406522) + (xy 136.117187 125.408756) + (xy 136.127852 125.410445) + (xy 136.136713 125.41252) + (xy 136.176696 125.425012) + (xy 136.176699 125.425012) + (xy 136.180948 125.42634) + (xy 136.230069 125.427241) + (xy 136.238683 125.427999) + (xy 136.24514 125.429022) + (xy 136.245144 125.429022) + (xy 136.25 125.429791) + (xy 136.256947 125.42869) + (xy 136.267853 125.427933) + (xy 136.303383 125.428584) + (xy 136.363532 125.412185) + (xy 136.37019 125.410755) + (xy 136.372147 125.410445) + (xy 136.382813 125.408756) + (xy 136.387196 125.406522) + (xy 136.391875 125.405002) + (xy 136.391878 125.40501) + (xy 136.396727 125.403136) + (xy 136.421527 125.396375) + (xy 136.426521 125.393309) + (xy 136.489709 125.354511) + (xy 136.494037 125.352085) + (xy 136.49824 125.349944) + (xy 136.498248 125.349938) + (xy 136.502625 125.347708) + (xy 136.505427 125.344906) + (xy 136.506264 125.344346) + (xy 136.511316 125.341244) + (xy 136.525881 125.332301) + (xy 136.608058 125.241513) + (xy 136.661451 125.131311) + (xy 136.663317 125.120224) + (xy 136.678061 125.03258) + (xy 136.681767 125.010552) + (xy 136.681896 125) + (xy 136.666061 124.889432) + (xy 136.677917 124.843091) + (xy 136.683736 124.836379) + (xy 137.807288 123.712826) + (xy 137.851482 123.69452) + (xy 138.384477 123.69452) + (xy 138.428671 123.712826) + (xy 138.446977 123.75702) + (xy 138.428671 123.801214) + (xy 137.395513 124.834372) + (xy 137.389439 124.838677) + (xy 137.389895 124.83925) + (xy 137.384387 124.843631) + (xy 137.378042 124.846678) + (xy 137.373646 124.852175) + (xy 137.359582 124.869761) + (xy 137.354965 124.87492) + (xy 137.349716 124.880169) + (xy 137.34785 124.883137) + (xy 137.347849 124.883139) + (xy 137.347754 124.88329) + (xy 137.345942 124.886174) + (xy 137.341839 124.891947) + (xy 137.341204 124.892741) + (xy 137.323617 124.914733) + (xy 137.32204 124.921593) + (xy 137.320222 124.925353) + (xy 137.318844 124.929289) + (xy 137.315098 124.935248) + (xy 137.314307 124.942242) + (xy 137.314307 124.942243) + (xy 137.311821 124.964236) + (xy 137.310629 124.971213) + (xy 137.309 124.978297) + (xy 137.309 124.985671) + (xy 137.308604 124.992692) + (xy 137.305309 125.021838) + (xy 137.30763 125.028483) + (xy 137.308414 125.035477) + (xy 137.307736 125.035553) + (xy 137.309 125.043005) + (xy 137.309 126.9375) + (xy 137.290694 126.981694) + (xy 137.2465 127) + (xy 135.2535 127) + (xy 135.209306 126.981694) + (xy 135.191 126.9375) + (xy 135.191 125.105003) + (xy 135.209306 125.060809) + (xy 136.810809 123.459306) + (xy 136.855003 123.441) + (xy 137.387997 123.441) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 172.161031 122.431146) + (xy 174.790694 125.060809) + (xy 174.809 125.105003) + (xy 174.809 126.9375) + (xy 174.790694 126.981694) + (xy 174.7465 127) + (xy 172.7535 127) + (xy 172.709306 126.981694) + (xy 172.691 126.9375) + (xy 172.691 125.043234) + (xy 172.692253 125.035893) + (xy 172.691524 125.03581) + (xy 172.692321 125.028819) + (xy 172.694653 125.022178) + (xy 172.691383 124.992793) + (xy 172.691 124.985881) + (xy 172.691 124.978467) + (xy 172.689421 124.971544) + (xy 172.688245 124.964591) + (xy 172.685015 124.935571) + (xy 172.681281 124.929607) + (xy 172.67991 124.92567) + (xy 172.678097 124.921904) + (xy 172.676532 124.915041) + (xy 172.658354 124.892229) + (xy 172.65426 124.886446) + (xy 172.652284 124.88329) + (xy 172.652279 124.883284) + (xy 172.650404 124.880289) + (xy 172.645191 124.875076) + (xy 172.640506 124.869832) + (xy 172.626612 124.852396) + (xy 172.626611 124.852396) + (xy 172.622225 124.846891) + (xy 172.615886 124.843833) + (xy 172.610385 124.839442) + (xy 172.610811 124.838908) + (xy 172.604647 124.834532) + (xy 170.543169 122.773054) + (xy 170.524863 122.72886) + (xy 170.543169 122.684666) + (xy 170.587363 122.66636) + (xy 171.120357 122.66636) + (xy 171.164551 122.684666) + (xy 173.316772 124.836886) + (xy 173.335078 124.88108) + (xy 173.334334 124.890692) + (xy 173.318136 124.994724) + (xy 173.318713 124.999137) + (xy 173.318713 124.999138) + (xy 173.332557 125.105002) + (xy 173.334014 125.116145) + (xy 173.341185 125.132443) + (xy 173.341244 125.132813) + (xy 173.342007 125.134311) + (xy 173.383333 125.22823) + (xy 173.397272 125.244813) + (xy 173.398029 125.245713) + (xy 173.400157 125.248434) + (xy 173.402292 125.252625) + (xy 173.410081 125.260414) + (xy 173.413729 125.264391) + (xy 173.462127 125.321968) + (xy 173.485399 125.337459) + (xy 173.491836 125.342733) + (xy 173.493899 125.344232) + (xy 173.497375 125.347708) + (xy 173.501753 125.349939) + (xy 173.501756 125.349941) + (xy 173.50873 125.353494) + (xy 173.514977 125.357148) + (xy 173.564064 125.389823) + (xy 173.568313 125.391151) + (xy 173.568314 125.391151) + (xy 173.600296 125.401143) + (xy 173.610027 125.405109) + (xy 173.612799 125.406521) + (xy 173.612803 125.406522) + (xy 173.617187 125.408756) + (xy 173.627852 125.410445) + (xy 173.636713 125.41252) + (xy 173.676696 125.425012) + (xy 173.676699 125.425012) + (xy 173.680948 125.42634) + (xy 173.730069 125.427241) + (xy 173.738683 125.427999) + (xy 173.74514 125.429022) + (xy 173.745144 125.429022) + (xy 173.75 125.429791) + (xy 173.756947 125.42869) + (xy 173.767853 125.427933) + (xy 173.803383 125.428584) + (xy 173.863532 125.412185) + (xy 173.87019 125.410755) + (xy 173.872147 125.410445) + (xy 173.882813 125.408756) + (xy 173.887196 125.406522) + (xy 173.891875 125.405002) + (xy 173.891878 125.40501) + (xy 173.896727 125.403136) + (xy 173.921527 125.396375) + (xy 173.926521 125.393309) + (xy 173.989709 125.354511) + (xy 173.994037 125.352085) + (xy 173.99824 125.349944) + (xy 173.998248 125.349938) + (xy 174.002625 125.347708) + (xy 174.005427 125.344906) + (xy 174.006264 125.344346) + (xy 174.011316 125.341244) + (xy 174.025881 125.332301) + (xy 174.108058 125.241513) + (xy 174.161451 125.131311) + (xy 174.163317 125.120224) + (xy 174.178061 125.03258) + (xy 174.181767 125.010552) + (xy 174.181896 125) + (xy 174.164536 124.878781) + (xy 174.113852 124.767307) + (xy 174.099199 124.750302) + (xy 174.097708 124.747375) + (xy 174.0919 124.741567) + (xy 174.088746 124.73817) + (xy 174.036826 124.677914) + (xy 174.033918 124.674539) + (xy 174.013741 124.661461) + (xy 174.010155 124.658559) + (xy 174.010081 124.658661) + (xy 174.006106 124.655773) + (xy 174.002625 124.652292) + (xy 173.991343 124.646543) + (xy 173.985736 124.643309) + (xy 173.985735 124.643308) + (xy 173.957055 124.624719) + (xy 173.934893 124.610354) + (xy 173.93489 124.610353) + (xy 173.93116 124.607935) + (xy 173.926901 124.606661) + (xy 173.926898 124.60666) + (xy 173.908345 124.601112) + (xy 173.898839 124.598269) + (xy 173.888377 124.594079) + (xy 173.882813 124.591244) + (xy 173.871067 124.589384) + (xy 173.862951 124.587536) + (xy 173.838896 124.580342) + (xy 173.813838 124.572848) + (xy 173.769496 124.572577) + (xy 173.760103 124.571809) + (xy 173.754857 124.570978) + (xy 173.754856 124.570978) + (xy 173.75 124.570209) + (xy 173.741373 124.571576) + (xy 173.73122 124.572344) + (xy 173.712836 124.572231) + (xy 173.695838 124.572127) + (xy 173.695836 124.572127) + (xy 173.691385 124.5721) + (xy 173.64962 124.584037) + (xy 173.602099 124.578581) + (xy 173.588252 124.568137) + (xy 171.539649 122.519534) + (xy 171.521343 122.47534) + (xy 171.539649 122.431146) + (xy 171.583843 122.41284) + (xy 172.116837 122.41284) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 152.797694 118.213085) + (xy 152.812525 118.236728) + (xy 152.814207 118.241559) + (xy 152.814986 118.248557) + (xy 152.818721 118.254524) + (xy 152.820095 118.258469) + (xy 152.821902 118.262225) + (xy 152.823468 118.269087) + (xy 152.827853 118.274589) + (xy 152.827853 118.27459) + (xy 152.841648 118.291901) + (xy 152.845744 118.297687) + (xy 152.849596 118.303839) + (xy 152.854808 118.309051) + (xy 152.859493 118.314295) + (xy 152.877775 118.337237) + (xy 152.884114 118.340295) + (xy 152.889615 118.344686) + (xy 152.889189 118.34522) + (xy 152.895353 118.349596) + (xy 153.219827 118.674069) + (xy 153.540694 118.994936) + (xy 153.559 119.03913) + (xy 153.559 124.580525) + (xy 153.540694 124.624719) + (xy 153.529855 124.63338) + (xy 153.51281 124.644135) + (xy 153.507842 124.646959) + (xy 153.501757 124.650059) + (xy 153.501756 124.65006) + (xy 153.497375 124.652292) + (xy 153.493897 124.65577) + (xy 153.492445 124.656825) + (xy 153.489058 124.65912) + (xy 153.47008 124.671095) + (xy 153.467137 124.674427) + (xy 153.467135 124.674429) + (xy 153.407156 124.742342) + (xy 153.406032 124.743538) + (xy 153.405775 124.743892) + (xy 153.405554 124.744113) + (xy 153.405405 124.744204) + (xy 153.404504 124.745163) + (xy 153.402292 124.747375) + (xy 153.401509 124.746592) + (xy 153.400362 124.747296) + (xy 153.401721 124.748496) + (xy 153.389018 124.762879) + (xy 153.387127 124.766907) + (xy 153.338868 124.869695) + (xy 153.338867 124.869698) + (xy 153.336976 124.873726) + (xy 153.318136 124.994724) + (xy 153.318713 124.999137) + (xy 153.318713 124.999138) + (xy 153.332557 125.105002) + (xy 153.334014 125.116145) + (xy 153.341185 125.132443) + (xy 153.341244 125.132813) + (xy 153.342007 125.134311) + (xy 153.383333 125.22823) + (xy 153.397272 125.244813) + (xy 153.398029 125.245713) + (xy 153.400157 125.248434) + (xy 153.402292 125.252625) + (xy 153.410081 125.260414) + (xy 153.413729 125.264391) + (xy 153.462127 125.321968) + (xy 153.485399 125.337459) + (xy 153.491836 125.342733) + (xy 153.493899 125.344232) + (xy 153.497375 125.347708) + (xy 153.501753 125.349939) + (xy 153.501756 125.349941) + (xy 153.50873 125.353494) + (xy 153.514977 125.357148) + (xy 153.564064 125.389823) + (xy 153.568313 125.391151) + (xy 153.568314 125.391151) + (xy 153.600296 125.401143) + (xy 153.610027 125.405109) + (xy 153.612799 125.406521) + (xy 153.612803 125.406522) + (xy 153.617187 125.408756) + (xy 153.627852 125.410445) + (xy 153.636713 125.41252) + (xy 153.676696 125.425012) + (xy 153.676699 125.425012) + (xy 153.680948 125.42634) + (xy 153.730069 125.427241) + (xy 153.738683 125.427999) + (xy 153.74514 125.429022) + (xy 153.745144 125.429022) + (xy 153.75 125.429791) + (xy 153.756947 125.42869) + (xy 153.767853 125.427933) + (xy 153.803383 125.428584) + (xy 153.863532 125.412185) + (xy 153.87019 125.410755) + (xy 153.872147 125.410445) + (xy 153.882813 125.408756) + (xy 153.887196 125.406522) + (xy 153.891875 125.405002) + (xy 153.891878 125.40501) + (xy 153.896727 125.403136) + (xy 153.921527 125.396375) + (xy 153.926521 125.393309) + (xy 153.989709 125.354511) + (xy 153.994037 125.352085) + (xy 153.99824 125.349944) + (xy 153.998248 125.349938) + (xy 154.002625 125.347708) + (xy 154.005427 125.344906) + (xy 154.006264 125.344346) + (xy 154.011316 125.341244) + (xy 154.025881 125.332301) + (xy 154.108058 125.241513) + (xy 154.161451 125.131311) + (xy 154.163317 125.120224) + (xy 154.178061 125.03258) + (xy 154.181767 125.010552) + (xy 154.181896 125) + (xy 154.164536 124.878781) + (xy 154.113852 124.767307) + (xy 154.099199 124.750302) + (xy 154.097708 124.747375) + (xy 154.0919 124.741567) + (xy 154.088746 124.73817) + (xy 154.036826 124.677914) + (xy 154.033918 124.674539) + (xy 154.013741 124.661461) + (xy 154.010155 124.658559) + (xy 154.010081 124.658661) + (xy 154.006106 124.655773) + (xy 154.002625 124.652292) + (xy 153.991343 124.646543) + (xy 153.985735 124.643308) + (xy 153.969505 124.632788) + (xy 153.942377 124.593389) + (xy 153.941 124.580342) + (xy 153.941 119.187599) + (xy 153.959306 119.143405) + (xy 154.0035 119.125099) + (xy 154.047694 119.143405) + (xy 154.790694 119.886405) + (xy 154.809 119.930599) + (xy 154.809 126.9375) + (xy 154.790694 126.981694) + (xy 154.7465 127) + (xy 152.7535 127) + (xy 152.709306 126.981694) + (xy 152.691 126.9375) + (xy 152.691 118.257279) + (xy 152.709306 118.213085) + (xy 152.7535 118.194779) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 168.175111 123.445226) + (xy 169.790694 125.060809) + (xy 169.809 125.105003) + (xy 169.809 126.9375) + (xy 169.790694 126.981694) + (xy 169.7465 127) + (xy 165.2535 127) + (xy 165.209306 126.981694) + (xy 165.191 126.9375) + (xy 165.191 125.043232) + (xy 165.19225 125.035893) + (xy 165.191523 125.03581) + (xy 165.19232 125.028819) + (xy 165.194652 125.022178) + (xy 165.191383 124.9928) + (xy 165.191 124.98589) + (xy 165.191 124.978467) + (xy 165.189419 124.971535) + (xy 165.188241 124.964569) + (xy 165.185014 124.935571) + (xy 165.181281 124.929607) + (xy 165.179906 124.925659) + (xy 165.178097 124.9219) + (xy 165.176532 124.915041) + (xy 165.158351 124.892226) + (xy 165.154256 124.886441) + (xy 165.15228 124.883285) + (xy 165.152279 124.883283) + (xy 165.150404 124.880289) + (xy 165.145191 124.875076) + (xy 165.140506 124.869832) + (xy 165.126612 124.852396) + (xy 165.126611 124.852396) + (xy 165.122225 124.846891) + (xy 165.115886 124.843833) + (xy 165.110385 124.839442) + (xy 165.110811 124.838908) + (xy 165.104647 124.834532) + (xy 164.057249 123.787134) + (xy 164.038943 123.74294) + (xy 164.057249 123.698746) + (xy 164.101443 123.68044) + (xy 167.134437 123.68044) + (xy 167.178631 123.698746) + (xy 168.316772 124.836887) + (xy 168.335078 124.881081) + (xy 168.334334 124.890691) + (xy 168.318136 124.994724) + (xy 168.318713 124.999137) + (xy 168.318713 124.999138) + (xy 168.332557 125.105002) + (xy 168.334014 125.116145) + (xy 168.341185 125.132443) + (xy 168.341244 125.132813) + (xy 168.342007 125.134311) + (xy 168.383333 125.22823) + (xy 168.397272 125.244813) + (xy 168.398029 125.245713) + (xy 168.400157 125.248434) + (xy 168.402292 125.252625) + (xy 168.410081 125.260414) + (xy 168.413729 125.264391) + (xy 168.462127 125.321968) + (xy 168.485399 125.337459) + (xy 168.491836 125.342733) + (xy 168.493899 125.344232) + (xy 168.497375 125.347708) + (xy 168.501753 125.349939) + (xy 168.501756 125.349941) + (xy 168.50873 125.353494) + (xy 168.514977 125.357148) + (xy 168.564064 125.389823) + (xy 168.568313 125.391151) + (xy 168.568314 125.391151) + (xy 168.600296 125.401143) + (xy 168.610027 125.405109) + (xy 168.612799 125.406521) + (xy 168.612803 125.406522) + (xy 168.617187 125.408756) + (xy 168.627852 125.410445) + (xy 168.636713 125.41252) + (xy 168.676696 125.425012) + (xy 168.676699 125.425012) + (xy 168.680948 125.42634) + (xy 168.730069 125.427241) + (xy 168.738683 125.427999) + (xy 168.74514 125.429022) + (xy 168.745144 125.429022) + (xy 168.75 125.429791) + (xy 168.756947 125.42869) + (xy 168.767853 125.427933) + (xy 168.803383 125.428584) + (xy 168.863532 125.412185) + (xy 168.87019 125.410755) + (xy 168.872147 125.410445) + (xy 168.882813 125.408756) + (xy 168.887196 125.406522) + (xy 168.891875 125.405002) + (xy 168.891878 125.40501) + (xy 168.896727 125.403136) + (xy 168.921527 125.396375) + (xy 168.926521 125.393309) + (xy 168.989709 125.354511) + (xy 168.994037 125.352085) + (xy 168.99824 125.349944) + (xy 168.998248 125.349938) + (xy 169.002625 125.347708) + (xy 169.005427 125.344906) + (xy 169.006264 125.344346) + (xy 169.011316 125.341244) + (xy 169.025881 125.332301) + (xy 169.108058 125.241513) + (xy 169.161451 125.131311) + (xy 169.163317 125.120224) + (xy 169.178061 125.03258) + (xy 169.181767 125.010552) + (xy 169.181896 125) + (xy 169.164536 124.878781) + (xy 169.113852 124.767307) + (xy 169.099199 124.750302) + (xy 169.097708 124.747375) + (xy 169.0919 124.741567) + (xy 169.088746 124.73817) + (xy 169.036826 124.677914) + (xy 169.033918 124.674539) + (xy 169.013741 124.661461) + (xy 169.010155 124.658559) + (xy 169.010081 124.658661) + (xy 169.006106 124.655773) + (xy 169.002625 124.652292) + (xy 168.991343 124.646543) + (xy 168.985736 124.643309) + (xy 168.985735 124.643308) + (xy 168.957055 124.624719) + (xy 168.934893 124.610354) + (xy 168.93489 124.610353) + (xy 168.93116 124.607935) + (xy 168.926901 124.606661) + (xy 168.926898 124.60666) + (xy 168.908345 124.601112) + (xy 168.898839 124.598269) + (xy 168.888377 124.594079) + (xy 168.882813 124.591244) + (xy 168.871067 124.589384) + (xy 168.862951 124.587536) + (xy 168.838896 124.580342) + (xy 168.813838 124.572848) + (xy 168.769496 124.572577) + (xy 168.760103 124.571809) + (xy 168.754857 124.570978) + (xy 168.754856 124.570978) + (xy 168.75 124.570209) + (xy 168.741373 124.571576) + (xy 168.73122 124.572344) + (xy 168.712836 124.572231) + (xy 168.695838 124.572127) + (xy 168.695836 124.572127) + (xy 168.691385 124.5721) + (xy 168.64962 124.584037) + (xy 168.602099 124.578581) + (xy 168.588252 124.568137) + (xy 167.553729 123.533614) + (xy 167.535423 123.48942) + (xy 167.553729 123.445226) + (xy 167.597923 123.42692) + (xy 168.130917 123.42692) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 144.932191 123.459306) + (xy 144.950497 123.5035) + (xy 144.932191 123.547694) + (xy 143.911953 124.567932) + (xy 143.867759 124.586238) + (xy 143.849851 124.583618) + (xy 143.833008 124.578581) + (xy 143.813838 124.572848) + (xy 143.769496 124.572577) + (xy 143.760103 124.571809) + (xy 143.754857 124.570978) + (xy 143.754856 124.570978) + (xy 143.75 124.570209) + (xy 143.741373 124.571576) + (xy 143.73122 124.572344) + (xy 143.712836 124.572231) + (xy 143.695838 124.572127) + (xy 143.695836 124.572127) + (xy 143.691385 124.5721) + (xy 143.637011 124.587641) + (xy 143.629623 124.589275) + (xy 143.617187 124.591244) + (xy 143.612804 124.593477) + (xy 143.61187 124.593781) + (xy 143.602155 124.597603) + (xy 143.577929 124.604526) + (xy 143.577927 124.604527) + (xy 143.573644 124.605751) + (xy 143.569875 124.608129) + (xy 143.553622 124.618384) + (xy 143.514119 124.643309) + (xy 143.512819 124.644129) + (xy 143.507842 124.646959) + (xy 143.501757 124.650059) + (xy 143.501756 124.65006) + (xy 143.497375 124.652292) + (xy 143.493897 124.65577) + (xy 143.492445 124.656825) + (xy 143.489058 124.65912) + (xy 143.47008 124.671095) + (xy 143.467137 124.674427) + (xy 143.467135 124.674429) + (xy 143.407156 124.742342) + (xy 143.406032 124.743538) + (xy 143.405775 124.743892) + (xy 143.405554 124.744113) + (xy 143.405405 124.744204) + (xy 143.404504 124.745163) + (xy 143.402292 124.747375) + (xy 143.401509 124.746592) + (xy 143.400362 124.747296) + (xy 143.401721 124.748496) + (xy 143.389018 124.762879) + (xy 143.387127 124.766907) + (xy 143.338868 124.869695) + (xy 143.338867 124.869698) + (xy 143.336976 124.873726) + (xy 143.318136 124.994724) + (xy 143.318713 124.999137) + (xy 143.318713 124.999138) + (xy 143.332557 125.105002) + (xy 143.334014 125.116145) + (xy 143.341185 125.132443) + (xy 143.341244 125.132813) + (xy 143.342007 125.134311) + (xy 143.383333 125.22823) + (xy 143.397272 125.244813) + (xy 143.398029 125.245713) + (xy 143.400157 125.248434) + (xy 143.402292 125.252625) + (xy 143.410081 125.260414) + (xy 143.413729 125.264391) + (xy 143.462127 125.321968) + (xy 143.485399 125.337459) + (xy 143.491836 125.342733) + (xy 143.493899 125.344232) + (xy 143.497375 125.347708) + (xy 143.501753 125.349939) + (xy 143.501756 125.349941) + (xy 143.50873 125.353494) + (xy 143.514977 125.357148) + (xy 143.564064 125.389823) + (xy 143.568313 125.391151) + (xy 143.568314 125.391151) + (xy 143.600296 125.401143) + (xy 143.610027 125.405109) + (xy 143.612799 125.406521) + (xy 143.612803 125.406522) + (xy 143.617187 125.408756) + (xy 143.627852 125.410445) + (xy 143.636713 125.41252) + (xy 143.676696 125.425012) + (xy 143.676699 125.425012) + (xy 143.680948 125.42634) + (xy 143.730069 125.427241) + (xy 143.738683 125.427999) + (xy 143.74514 125.429022) + (xy 143.745144 125.429022) + (xy 143.75 125.429791) + (xy 143.756947 125.42869) + (xy 143.767853 125.427933) + (xy 143.803383 125.428584) + (xy 143.863532 125.412185) + (xy 143.87019 125.410755) + (xy 143.872147 125.410445) + (xy 143.882813 125.408756) + (xy 143.887196 125.406522) + (xy 143.891875 125.405002) + (xy 143.891878 125.40501) + (xy 143.896727 125.403136) + (xy 143.921527 125.396375) + (xy 143.926521 125.393309) + (xy 143.989709 125.354511) + (xy 143.994037 125.352085) + (xy 143.99824 125.349944) + (xy 143.998248 125.349938) + (xy 144.002625 125.347708) + (xy 144.005427 125.344906) + (xy 144.006264 125.344346) + (xy 144.011316 125.341244) + (xy 144.025881 125.332301) + (xy 144.108058 125.241513) + (xy 144.161451 125.131311) + (xy 144.163317 125.120224) + (xy 144.178061 125.03258) + (xy 144.181767 125.010552) + (xy 144.181896 125) + (xy 144.166061 124.889432) + (xy 144.177917 124.843091) + (xy 144.183736 124.836379) + (xy 145.307288 123.712826) + (xy 145.351482 123.69452) + (xy 145.884477 123.69452) + (xy 145.928671 123.712826) + (xy 145.946977 123.75702) + (xy 145.928671 123.801214) + (xy 144.895513 124.834372) + (xy 144.889439 124.838677) + (xy 144.889895 124.83925) + (xy 144.884387 124.843631) + (xy 144.878042 124.846678) + (xy 144.873646 124.852175) + (xy 144.859582 124.869761) + (xy 144.854965 124.87492) + (xy 144.849716 124.880169) + (xy 144.84785 124.883137) + (xy 144.847849 124.883139) + (xy 144.847754 124.88329) + (xy 144.845942 124.886174) + (xy 144.841839 124.891947) + (xy 144.841204 124.892741) + (xy 144.823617 124.914733) + (xy 144.82204 124.921593) + (xy 144.820222 124.925353) + (xy 144.818844 124.929289) + (xy 144.815098 124.935248) + (xy 144.814307 124.942242) + (xy 144.814307 124.942243) + (xy 144.811821 124.964236) + (xy 144.810629 124.971213) + (xy 144.809 124.978297) + (xy 144.809 124.985671) + (xy 144.808604 124.992692) + (xy 144.805309 125.021838) + (xy 144.80763 125.028483) + (xy 144.808414 125.035477) + (xy 144.807736 125.035553) + (xy 144.809 125.043005) + (xy 144.809 126.9375) + (xy 144.790694 126.981694) + (xy 144.7465 127) + (xy 142.7535 127) + (xy 142.709306 126.981694) + (xy 142.691 126.9375) + (xy 142.691 125.105003) + (xy 142.709306 125.060809) + (xy 144.310809 123.459306) + (xy 144.355003 123.441) + (xy 144.887997 123.441) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 152.302817 121.980239) + (xy 152.309 122.007343) + (xy 152.309 126.9375) + (xy 152.290694 126.981694) + (xy 152.2465 127) + (xy 145.2535 127) + (xy 145.209306 126.981694) + (xy 145.191 126.9375) + (xy 145.191 125.105003) + (xy 145.209306 125.060809) + (xy 146.303769 123.966346) + (xy 146.347963 123.94804) + (xy 146.880958 123.94804) + (xy 146.925152 123.966346) + (xy 146.943458 124.01054) + (xy 146.925152 124.054734) + (xy 146.538885 124.441) + (xy 146.411953 124.567932) + (xy 146.367759 124.586238) + (xy 146.349851 124.583618) + (xy 146.333008 124.578581) + (xy 146.313838 124.572848) + (xy 146.269496 124.572577) + (xy 146.260103 124.571809) + (xy 146.254857 124.570978) + (xy 146.254856 124.570978) + (xy 146.25 124.570209) + (xy 146.241373 124.571576) + (xy 146.23122 124.572344) + (xy 146.212836 124.572231) + (xy 146.195838 124.572127) + (xy 146.195836 124.572127) + (xy 146.191385 124.5721) + (xy 146.137011 124.587641) + (xy 146.129623 124.589275) + (xy 146.117187 124.591244) + (xy 146.112804 124.593477) + (xy 146.11187 124.593781) + (xy 146.102155 124.597603) + (xy 146.077929 124.604526) + (xy 146.077927 124.604527) + (xy 146.073644 124.605751) + (xy 146.069875 124.608129) + (xy 146.053622 124.618384) + (xy 146.014119 124.643309) + (xy 146.012819 124.644129) + (xy 146.007842 124.646959) + (xy 146.001757 124.650059) + (xy 146.001756 124.65006) + (xy 145.997375 124.652292) + (xy 145.993897 124.65577) + (xy 145.992445 124.656825) + (xy 145.989058 124.65912) + (xy 145.97008 124.671095) + (xy 145.967137 124.674427) + (xy 145.967135 124.674429) + (xy 145.907156 124.742342) + (xy 145.906032 124.743538) + (xy 145.905775 124.743892) + (xy 145.905554 124.744113) + (xy 145.905405 124.744204) + (xy 145.904504 124.745163) + (xy 145.902292 124.747375) + (xy 145.901509 124.746592) + (xy 145.900362 124.747296) + (xy 145.901721 124.748496) + (xy 145.889018 124.762879) + (xy 145.887127 124.766907) + (xy 145.838868 124.869695) + (xy 145.838867 124.869698) + (xy 145.836976 124.873726) + (xy 145.818136 124.994724) + (xy 145.818713 124.999137) + (xy 145.818713 124.999138) + (xy 145.832557 125.105002) + (xy 145.834014 125.116145) + (xy 145.841185 125.132443) + (xy 145.841244 125.132813) + (xy 145.842007 125.134311) + (xy 145.883333 125.22823) + (xy 145.897272 125.244813) + (xy 145.898029 125.245713) + (xy 145.900157 125.248434) + (xy 145.902292 125.252625) + (xy 145.910081 125.260414) + (xy 145.913729 125.264391) + (xy 145.962127 125.321968) + (xy 145.985399 125.337459) + (xy 145.991836 125.342733) + (xy 145.993899 125.344232) + (xy 145.997375 125.347708) + (xy 146.001753 125.349939) + (xy 146.001756 125.349941) + (xy 146.00873 125.353494) + (xy 146.014977 125.357148) + (xy 146.064064 125.389823) + (xy 146.068313 125.391151) + (xy 146.068314 125.391151) + (xy 146.100296 125.401143) + (xy 146.110027 125.405109) + (xy 146.112799 125.406521) + (xy 146.112803 125.406522) + (xy 146.117187 125.408756) + (xy 146.127852 125.410445) + (xy 146.136713 125.41252) + (xy 146.176696 125.425012) + (xy 146.176699 125.425012) + (xy 146.180948 125.42634) + (xy 146.230069 125.427241) + (xy 146.238683 125.427999) + (xy 146.24514 125.429022) + (xy 146.245144 125.429022) + (xy 146.25 125.429791) + (xy 146.256947 125.42869) + (xy 146.267853 125.427933) + (xy 146.303383 125.428584) + (xy 146.363532 125.412185) + (xy 146.37019 125.410755) + (xy 146.372147 125.410445) + (xy 146.382813 125.408756) + (xy 146.387196 125.406522) + (xy 146.391875 125.405002) + (xy 146.391878 125.40501) + (xy 146.396727 125.403136) + (xy 146.421527 125.396375) + (xy 146.426521 125.393309) + (xy 146.489709 125.354511) + (xy 146.494037 125.352085) + (xy 146.49824 125.349944) + (xy 146.498248 125.349938) + (xy 146.502625 125.347708) + (xy 146.505427 125.344906) + (xy 146.506264 125.344346) + (xy 146.511316 125.341244) + (xy 146.525881 125.332301) + (xy 146.608058 125.241513) + (xy 146.661451 125.131311) + (xy 146.663317 125.120224) + (xy 146.678061 125.03258) + (xy 146.681767 125.010552) + (xy 146.681896 125) + (xy 146.666061 124.889432) + (xy 146.677917 124.843091) + (xy 146.683736 124.836379) + (xy 147.300249 124.219866) + (xy 147.344443 124.20156) + (xy 149.521801 124.20156) + (xy 149.52914 124.20281) + (xy 149.529223 124.202083) + (xy 149.536214 124.20288) + (xy 149.542855 124.205212) + (xy 149.549848 124.204434) + (xy 149.549849 124.204434) + (xy 149.572233 124.201943) + (xy 149.579145 124.20156) + (xy 149.586566 124.20156) + (xy 149.58999 124.200779) + (xy 149.593481 124.199983) + (xy 149.600464 124.198802) + (xy 149.622471 124.196353) + (xy 149.629462 124.195575) + (xy 149.635425 124.191842) + (xy 149.639358 124.190472) + (xy 149.643128 124.188658) + (xy 149.649992 124.187092) + (xy 149.672804 124.168914) + (xy 149.678587 124.16482) + (xy 149.681743 124.162844) + (xy 149.681749 124.162839) + (xy 149.684744 124.160964) + (xy 149.689957 124.155751) + (xy 149.695201 124.151066) + (xy 149.712637 124.137172) + (xy 149.712637 124.137171) + (xy 149.718142 124.132785) + (xy 149.7212 124.126446) + (xy 149.725591 124.120945) + (xy 149.726125 124.121371) + (xy 149.730501 124.115207) + (xy 150.952306 122.893403) + (xy 150.9965 122.875097) + (xy 151.040694 122.893403) + (xy 151.059 122.937597) + (xy 151.059 124.580525) + (xy 151.040694 124.624719) + (xy 151.029855 124.63338) + (xy 151.01281 124.644135) + (xy 151.007842 124.646959) + (xy 151.001757 124.650059) + (xy 151.001756 124.65006) + (xy 150.997375 124.652292) + (xy 150.993897 124.65577) + (xy 150.992445 124.656825) + (xy 150.989058 124.65912) + (xy 150.97008 124.671095) + (xy 150.967137 124.674427) + (xy 150.967135 124.674429) + (xy 150.907156 124.742342) + (xy 150.906032 124.743538) + (xy 150.905775 124.743892) + (xy 150.905554 124.744113) + (xy 150.905405 124.744204) + (xy 150.904504 124.745163) + (xy 150.902292 124.747375) + (xy 150.901509 124.746592) + (xy 150.900362 124.747296) + (xy 150.901721 124.748496) + (xy 150.889018 124.762879) + (xy 150.887127 124.766907) + (xy 150.838868 124.869695) + (xy 150.838867 124.869698) + (xy 150.836976 124.873726) + (xy 150.818136 124.994724) + (xy 150.818713 124.999137) + (xy 150.818713 124.999138) + (xy 150.832557 125.105002) + (xy 150.834014 125.116145) + (xy 150.841185 125.132443) + (xy 150.841244 125.132813) + (xy 150.842007 125.134311) + (xy 150.883333 125.22823) + (xy 150.897272 125.244813) + (xy 150.898029 125.245713) + (xy 150.900157 125.248434) + (xy 150.902292 125.252625) + (xy 150.910081 125.260414) + (xy 150.913729 125.264391) + (xy 150.962127 125.321968) + (xy 150.985399 125.337459) + (xy 150.991836 125.342733) + (xy 150.993899 125.344232) + (xy 150.997375 125.347708) + (xy 151.001753 125.349939) + (xy 151.001756 125.349941) + (xy 151.00873 125.353494) + (xy 151.014977 125.357148) + (xy 151.064064 125.389823) + (xy 151.068313 125.391151) + (xy 151.068314 125.391151) + (xy 151.100296 125.401143) + (xy 151.110027 125.405109) + (xy 151.112799 125.406521) + (xy 151.112803 125.406522) + (xy 151.117187 125.408756) + (xy 151.127852 125.410445) + (xy 151.136713 125.41252) + (xy 151.176696 125.425012) + (xy 151.176699 125.425012) + (xy 151.180948 125.42634) + (xy 151.230069 125.427241) + (xy 151.238683 125.427999) + (xy 151.24514 125.429022) + (xy 151.245144 125.429022) + (xy 151.25 125.429791) + (xy 151.256947 125.42869) + (xy 151.267853 125.427933) + (xy 151.303383 125.428584) + (xy 151.363532 125.412185) + (xy 151.37019 125.410755) + (xy 151.372147 125.410445) + (xy 151.382813 125.408756) + (xy 151.387196 125.406522) + (xy 151.391875 125.405002) + (xy 151.391878 125.40501) + (xy 151.396727 125.403136) + (xy 151.421527 125.396375) + (xy 151.426521 125.393309) + (xy 151.489709 125.354511) + (xy 151.494037 125.352085) + (xy 151.49824 125.349944) + (xy 151.498248 125.349938) + (xy 151.502625 125.347708) + (xy 151.505427 125.344906) + (xy 151.506264 125.344346) + (xy 151.511316 125.341244) + (xy 151.525881 125.332301) + (xy 151.608058 125.241513) + (xy 151.661451 125.131311) + (xy 151.663317 125.120224) + (xy 151.678061 125.03258) + (xy 151.681767 125.010552) + (xy 151.681896 125) + (xy 151.664536 124.878781) + (xy 151.613852 124.767307) + (xy 151.599199 124.750302) + (xy 151.597708 124.747375) + (xy 151.5919 124.741567) + (xy 151.588746 124.73817) + (xy 151.536826 124.677914) + (xy 151.533918 124.674539) + (xy 151.513741 124.661461) + (xy 151.510155 124.658559) + (xy 151.510081 124.658661) + (xy 151.506106 124.655773) + (xy 151.502625 124.652292) + (xy 151.491343 124.646543) + (xy 151.485735 124.643308) + (xy 151.469505 124.632788) + (xy 151.442377 124.593389) + (xy 151.441 124.580342) + (xy 151.441 122.789129) + (xy 151.459306 122.744935) + (xy 152.104487 122.099753) + (xy 152.110561 122.095448) + (xy 152.110105 122.094875) + (xy 152.115613 122.090494) + (xy 152.121958 122.087447) + (xy 152.140418 122.064364) + (xy 152.145035 122.059205) + (xy 152.150284 122.053956) + (xy 152.154057 122.047953) + (xy 152.158165 122.042172) + (xy 152.162984 122.036147) + (xy 152.176383 122.019392) + (xy 152.17796 122.012532) + (xy 152.179778 122.008772) + (xy 152.181156 122.004837) + (xy 152.184902 121.998877) + (xy 152.185693 121.991882) + (xy 152.187512 121.986687) + (xy 152.219396 121.951026) + (xy 152.267156 121.948355) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 163.682151 123.952266) + (xy 164.790694 125.060809) + (xy 164.809 125.105003) + (xy 164.809 126.9375) + (xy 164.790694 126.981694) + (xy 164.7465 127) + (xy 162.7535 127) + (xy 162.709306 126.981694) + (xy 162.691 126.9375) + (xy 162.691 125.043232) + (xy 162.69225 125.035893) + (xy 162.691523 125.03581) + (xy 162.69232 125.028819) + (xy 162.694652 125.022178) + (xy 162.691383 124.9928) + (xy 162.691 124.98589) + (xy 162.691 124.978467) + (xy 162.689419 124.971535) + (xy 162.688241 124.964569) + (xy 162.685014 124.935571) + (xy 162.681281 124.929607) + (xy 162.679906 124.925659) + (xy 162.678097 124.9219) + (xy 162.676532 124.915041) + (xy 162.658351 124.892226) + (xy 162.654256 124.886441) + (xy 162.65228 124.883285) + (xy 162.652279 124.883283) + (xy 162.650404 124.880289) + (xy 162.645191 124.875076) + (xy 162.640506 124.869832) + (xy 162.626612 124.852396) + (xy 162.626611 124.852396) + (xy 162.622225 124.846891) + (xy 162.615886 124.843833) + (xy 162.610385 124.839442) + (xy 162.610811 124.838908) + (xy 162.604647 124.834532) + (xy 162.064289 124.294174) + (xy 162.045983 124.24998) + (xy 162.064289 124.205786) + (xy 162.108483 124.18748) + (xy 162.641477 124.18748) + (xy 162.685671 124.205786) + (xy 163.316772 124.836887) + (xy 163.335078 124.881081) + (xy 163.334334 124.890691) + (xy 163.318136 124.994724) + (xy 163.318713 124.999137) + (xy 163.318713 124.999138) + (xy 163.332557 125.105002) + (xy 163.334014 125.116145) + (xy 163.341185 125.132443) + (xy 163.341244 125.132813) + (xy 163.342007 125.134311) + (xy 163.383333 125.22823) + (xy 163.397272 125.244813) + (xy 163.398029 125.245713) + (xy 163.400157 125.248434) + (xy 163.402292 125.252625) + (xy 163.410081 125.260414) + (xy 163.413729 125.264391) + (xy 163.462127 125.321968) + (xy 163.485399 125.337459) + (xy 163.491836 125.342733) + (xy 163.493899 125.344232) + (xy 163.497375 125.347708) + (xy 163.501753 125.349939) + (xy 163.501756 125.349941) + (xy 163.50873 125.353494) + (xy 163.514977 125.357148) + (xy 163.564064 125.389823) + (xy 163.568313 125.391151) + (xy 163.568314 125.391151) + (xy 163.600296 125.401143) + (xy 163.610027 125.405109) + (xy 163.612799 125.406521) + (xy 163.612803 125.406522) + (xy 163.617187 125.408756) + (xy 163.627852 125.410445) + (xy 163.636713 125.41252) + (xy 163.676696 125.425012) + (xy 163.676699 125.425012) + (xy 163.680948 125.42634) + (xy 163.730069 125.427241) + (xy 163.738683 125.427999) + (xy 163.74514 125.429022) + (xy 163.745144 125.429022) + (xy 163.75 125.429791) + (xy 163.756947 125.42869) + (xy 163.767853 125.427933) + (xy 163.803383 125.428584) + (xy 163.863532 125.412185) + (xy 163.87019 125.410755) + (xy 163.872147 125.410445) + (xy 163.882813 125.408756) + (xy 163.887196 125.406522) + (xy 163.891875 125.405002) + (xy 163.891878 125.40501) + (xy 163.896727 125.403136) + (xy 163.921527 125.396375) + (xy 163.926521 125.393309) + (xy 163.989709 125.354511) + (xy 163.994037 125.352085) + (xy 163.99824 125.349944) + (xy 163.998248 125.349938) + (xy 164.002625 125.347708) + (xy 164.005427 125.344906) + (xy 164.006264 125.344346) + (xy 164.011316 125.341244) + (xy 164.025881 125.332301) + (xy 164.108058 125.241513) + (xy 164.161451 125.131311) + (xy 164.163317 125.120224) + (xy 164.178061 125.03258) + (xy 164.181767 125.010552) + (xy 164.181896 125) + (xy 164.164536 124.878781) + (xy 164.113852 124.767307) + (xy 164.099199 124.750302) + (xy 164.097708 124.747375) + (xy 164.0919 124.741567) + (xy 164.088746 124.73817) + (xy 164.036826 124.677914) + (xy 164.033918 124.674539) + (xy 164.013741 124.661461) + (xy 164.010155 124.658559) + (xy 164.010081 124.658661) + (xy 164.006106 124.655773) + (xy 164.002625 124.652292) + (xy 163.991343 124.646543) + (xy 163.985736 124.643309) + (xy 163.985735 124.643308) + (xy 163.957055 124.624719) + (xy 163.934893 124.610354) + (xy 163.93489 124.610353) + (xy 163.93116 124.607935) + (xy 163.926901 124.606661) + (xy 163.926898 124.60666) + (xy 163.908345 124.601112) + (xy 163.898839 124.598269) + (xy 163.888377 124.594079) + (xy 163.882813 124.591244) + (xy 163.871067 124.589384) + (xy 163.862951 124.587536) + (xy 163.838896 124.580342) + (xy 163.813838 124.572848) + (xy 163.769496 124.572577) + (xy 163.760103 124.571809) + (xy 163.754857 124.570978) + (xy 163.754856 124.570978) + (xy 163.75 124.570209) + (xy 163.741373 124.571576) + (xy 163.73122 124.572344) + (xy 163.712836 124.572231) + (xy 163.695838 124.572127) + (xy 163.695836 124.572127) + (xy 163.691385 124.5721) + (xy 163.64962 124.584037) + (xy 163.602099 124.578581) + (xy 163.588252 124.568137) + (xy 163.060769 124.040654) + (xy 163.042463 123.99646) + (xy 163.060769 123.952266) + (xy 163.104963 123.93396) + (xy 163.637957 123.93396) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 170.168071 122.938186) + (xy 172.290694 125.060809) + (xy 172.309 125.105003) + (xy 172.309 126.9375) + (xy 172.290694 126.981694) + (xy 172.2465 127) + (xy 170.2535 127) + (xy 170.209306 126.981694) + (xy 170.191 126.9375) + (xy 170.191 125.043232) + (xy 170.19225 125.035893) + (xy 170.191523 125.03581) + (xy 170.19232 125.028819) + (xy 170.194652 125.022178) + (xy 170.193359 125.010552) + (xy 170.191383 124.9928) + (xy 170.191 124.985888) + (xy 170.191 124.978467) + (xy 170.189423 124.971552) + (xy 170.188242 124.964569) + (xy 170.185793 124.942562) + (xy 170.185015 124.935571) + (xy 170.181282 124.929608) + (xy 170.179912 124.925675) + (xy 170.178098 124.921905) + (xy 170.176532 124.915041) + (xy 170.158354 124.892229) + (xy 170.15426 124.886446) + (xy 170.152284 124.88329) + (xy 170.152279 124.883284) + (xy 170.150404 124.880289) + (xy 170.145191 124.875076) + (xy 170.140506 124.869832) + (xy 170.126612 124.852396) + (xy 170.126611 124.852396) + (xy 170.122225 124.846891) + (xy 170.115886 124.843833) + (xy 170.110385 124.839442) + (xy 170.110811 124.838908) + (xy 170.104647 124.834532) + (xy 168.550209 123.280094) + (xy 168.531903 123.2359) + (xy 168.550209 123.191706) + (xy 168.594403 123.1734) + (xy 169.127397 123.1734) + (xy 169.171591 123.191706) + (xy 170.816772 124.836886) + (xy 170.835078 124.88108) + (xy 170.834334 124.890692) + (xy 170.818136 124.994724) + (xy 170.818713 124.999137) + (xy 170.818713 124.999138) + (xy 170.832557 125.105002) + (xy 170.834014 125.116145) + (xy 170.841185 125.132443) + (xy 170.841244 125.132813) + (xy 170.842007 125.134311) + (xy 170.883333 125.22823) + (xy 170.897272 125.244813) + (xy 170.898029 125.245713) + (xy 170.900157 125.248434) + (xy 170.902292 125.252625) + (xy 170.910081 125.260414) + (xy 170.913729 125.264391) + (xy 170.962127 125.321968) + (xy 170.985399 125.337459) + (xy 170.991836 125.342733) + (xy 170.993899 125.344232) + (xy 170.997375 125.347708) + (xy 171.001753 125.349939) + (xy 171.001756 125.349941) + (xy 171.00873 125.353494) + (xy 171.014977 125.357148) + (xy 171.064064 125.389823) + (xy 171.068313 125.391151) + (xy 171.068314 125.391151) + (xy 171.100296 125.401143) + (xy 171.110027 125.405109) + (xy 171.112799 125.406521) + (xy 171.112803 125.406522) + (xy 171.117187 125.408756) + (xy 171.127852 125.410445) + (xy 171.136713 125.41252) + (xy 171.176696 125.425012) + (xy 171.176699 125.425012) + (xy 171.180948 125.42634) + (xy 171.230069 125.427241) + (xy 171.238683 125.427999) + (xy 171.24514 125.429022) + (xy 171.245144 125.429022) + (xy 171.25 125.429791) + (xy 171.256947 125.42869) + (xy 171.267853 125.427933) + (xy 171.303383 125.428584) + (xy 171.363532 125.412185) + (xy 171.37019 125.410755) + (xy 171.372147 125.410445) + (xy 171.382813 125.408756) + (xy 171.387196 125.406522) + (xy 171.391875 125.405002) + (xy 171.391878 125.40501) + (xy 171.396727 125.403136) + (xy 171.421527 125.396375) + (xy 171.426521 125.393309) + (xy 171.489709 125.354511) + (xy 171.494037 125.352085) + (xy 171.49824 125.349944) + (xy 171.498248 125.349938) + (xy 171.502625 125.347708) + (xy 171.505427 125.344906) + (xy 171.506264 125.344346) + (xy 171.511316 125.341244) + (xy 171.525881 125.332301) + (xy 171.608058 125.241513) + (xy 171.661451 125.131311) + (xy 171.663317 125.120224) + (xy 171.678061 125.03258) + (xy 171.681767 125.010552) + (xy 171.681896 125) + (xy 171.664536 124.878781) + (xy 171.613852 124.767307) + (xy 171.599199 124.750302) + (xy 171.597708 124.747375) + (xy 171.5919 124.741567) + (xy 171.588746 124.73817) + (xy 171.536826 124.677914) + (xy 171.533918 124.674539) + (xy 171.513741 124.661461) + (xy 171.510155 124.658559) + (xy 171.510081 124.658661) + (xy 171.506106 124.655773) + (xy 171.502625 124.652292) + (xy 171.491343 124.646543) + (xy 171.485736 124.643309) + (xy 171.485735 124.643308) + (xy 171.457055 124.624719) + (xy 171.434893 124.610354) + (xy 171.43489 124.610353) + (xy 171.43116 124.607935) + (xy 171.426901 124.606661) + (xy 171.426898 124.60666) + (xy 171.408345 124.601112) + (xy 171.398839 124.598269) + (xy 171.388377 124.594079) + (xy 171.382813 124.591244) + (xy 171.371067 124.589384) + (xy 171.362951 124.587536) + (xy 171.338896 124.580342) + (xy 171.313838 124.572848) + (xy 171.269496 124.572577) + (xy 171.260103 124.571809) + (xy 171.254857 124.570978) + (xy 171.254856 124.570978) + (xy 171.25 124.570209) + (xy 171.241373 124.571576) + (xy 171.23122 124.572344) + (xy 171.212836 124.572231) + (xy 171.195838 124.572127) + (xy 171.195836 124.572127) + (xy 171.191385 124.5721) + (xy 171.14962 124.584037) + (xy 171.102099 124.578581) + (xy 171.088252 124.568137) + (xy 169.546689 123.026574) + (xy 169.528383 122.98238) + (xy 169.546689 122.938186) + (xy 169.590883 122.91988) + (xy 170.123877 122.91988) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 155.297694 120.034873) + (xy 156.040694 120.777873) + (xy 156.059 120.822067) + (xy 156.059 124.580525) + (xy 156.040694 124.624719) + (xy 156.029855 124.63338) + (xy 156.01281 124.644135) + (xy 156.007842 124.646959) + (xy 156.001757 124.650059) + (xy 156.001756 124.65006) + (xy 155.997375 124.652292) + (xy 155.993897 124.65577) + (xy 155.992445 124.656825) + (xy 155.989058 124.65912) + (xy 155.97008 124.671095) + (xy 155.967137 124.674427) + (xy 155.967135 124.674429) + (xy 155.907156 124.742342) + (xy 155.906032 124.743538) + (xy 155.905775 124.743892) + (xy 155.905554 124.744113) + (xy 155.905405 124.744204) + (xy 155.904504 124.745163) + (xy 155.902292 124.747375) + (xy 155.901509 124.746592) + (xy 155.900362 124.747296) + (xy 155.901721 124.748496) + (xy 155.889018 124.762879) + (xy 155.887127 124.766907) + (xy 155.838868 124.869695) + (xy 155.838867 124.869698) + (xy 155.836976 124.873726) + (xy 155.818136 124.994724) + (xy 155.818713 124.999137) + (xy 155.818713 124.999138) + (xy 155.832557 125.105002) + (xy 155.834014 125.116145) + (xy 155.841185 125.132443) + (xy 155.841244 125.132813) + (xy 155.842007 125.134311) + (xy 155.883333 125.22823) + (xy 155.897272 125.244813) + (xy 155.898029 125.245713) + (xy 155.900157 125.248434) + (xy 155.902292 125.252625) + (xy 155.910081 125.260414) + (xy 155.913729 125.264391) + (xy 155.962127 125.321968) + (xy 155.985399 125.337459) + (xy 155.991836 125.342733) + (xy 155.993899 125.344232) + (xy 155.997375 125.347708) + (xy 156.001753 125.349939) + (xy 156.001756 125.349941) + (xy 156.00873 125.353494) + (xy 156.014977 125.357148) + (xy 156.064064 125.389823) + (xy 156.068313 125.391151) + (xy 156.068314 125.391151) + (xy 156.100296 125.401143) + (xy 156.110027 125.405109) + (xy 156.112799 125.406521) + (xy 156.112803 125.406522) + (xy 156.117187 125.408756) + (xy 156.127852 125.410445) + (xy 156.136713 125.41252) + (xy 156.176696 125.425012) + (xy 156.176699 125.425012) + (xy 156.180948 125.42634) + (xy 156.230069 125.427241) + (xy 156.238683 125.427999) + (xy 156.24514 125.429022) + (xy 156.245144 125.429022) + (xy 156.25 125.429791) + (xy 156.256947 125.42869) + (xy 156.267853 125.427933) + (xy 156.303383 125.428584) + (xy 156.363532 125.412185) + (xy 156.37019 125.410755) + (xy 156.372147 125.410445) + (xy 156.382813 125.408756) + (xy 156.387196 125.406522) + (xy 156.391875 125.405002) + (xy 156.391878 125.40501) + (xy 156.396727 125.403136) + (xy 156.421527 125.396375) + (xy 156.426521 125.393309) + (xy 156.489709 125.354511) + (xy 156.494037 125.352085) + (xy 156.49824 125.349944) + (xy 156.498248 125.349938) + (xy 156.502625 125.347708) + (xy 156.505427 125.344906) + (xy 156.506264 125.344346) + (xy 156.511316 125.341244) + (xy 156.525881 125.332301) + (xy 156.608058 125.241513) + (xy 156.661451 125.131311) + (xy 156.663317 125.120224) + (xy 156.678061 125.03258) + (xy 156.681767 125.010552) + (xy 156.681896 125) + (xy 156.664536 124.878781) + (xy 156.613852 124.767307) + (xy 156.599199 124.750302) + (xy 156.597708 124.747375) + (xy 156.5919 124.741567) + (xy 156.588746 124.73817) + (xy 156.536826 124.677914) + (xy 156.533918 124.674539) + (xy 156.513741 124.661461) + (xy 156.510155 124.658559) + (xy 156.510081 124.658661) + (xy 156.506106 124.655773) + (xy 156.502625 124.652292) + (xy 156.491343 124.646543) + (xy 156.485735 124.643308) + (xy 156.469505 124.632788) + (xy 156.442377 124.593389) + (xy 156.441 124.580342) + (xy 156.441 120.970535) + (xy 156.459306 120.926341) + (xy 156.5035 120.908035) + (xy 156.547694 120.926341) + (xy 157.290694 121.669341) + (xy 157.309 121.713535) + (xy 157.309 126.9375) + (xy 157.290694 126.981694) + (xy 157.2465 127) + (xy 155.2535 127) + (xy 155.209306 126.981694) + (xy 155.191 126.9375) + (xy 155.191 120.079067) + (xy 155.209306 120.034873) + (xy 155.2535 120.016567) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 163.790694 114.149806) + (xy 163.809 114.194) + (xy 163.809 114.795881) + (xy 163.790694 114.840075) + (xy 163.7465 114.858381) + (xy 163.711777 114.847847) + (xy 163.654012 114.809249) + (xy 163.647976 114.808048) + (xy 163.647975 114.808048) + (xy 163.597957 114.798099) + (xy 163.597954 114.798099) + (xy 163.594944 114.7975) + (xy 163.405056 114.7975) + (xy 163.402046 114.798099) + (xy 163.402043 114.798099) + (xy 163.352025 114.808048) + (xy 163.352024 114.808048) + (xy 163.345988 114.809249) + (xy 163.284723 114.850186) + (xy 163.284274 114.850486) + (xy 163.237358 114.859819) + (xy 163.214827 114.850487) + (xy 163.158933 114.813141) + (xy 163.147779 114.80852) + (xy 163.097904 114.798599) + (xy 163.091823 114.798) + (xy 163.075931 114.798) + (xy 163.067141 114.801641) + (xy 163.0635 114.810431) + (xy 163.0635 116.514568) + (xy 163.067141 116.523358) + (xy 163.075931 116.526999) + (xy 163.091821 116.526999) + (xy 163.097906 116.5264) + (xy 163.147776 116.51648) + (xy 163.158934 116.511858) + (xy 163.211777 116.476551) + (xy 163.258694 116.467219) + (xy 163.298467 116.493795) + (xy 163.309 116.528518) + (xy 163.309 117.456768) + (xy 163.30775 117.464107) + (xy 163.308477 117.46419) + (xy 163.30768 117.471181) + (xy 163.305348 117.477822) + (xy 163.306126 117.484815) + (xy 163.306126 117.484816) + (xy 163.308617 117.507197) + (xy 163.309 117.51411) + (xy 163.309 117.521533) + (xy 163.310581 117.528465) + (xy 163.311757 117.535418) + (xy 163.314986 117.564429) + (xy 163.318719 117.570393) + (xy 163.320094 117.574341) + (xy 163.321903 117.5781) + (xy 163.323468 117.584959) + (xy 163.327853 117.590461) + (xy 163.327853 117.590462) + (xy 163.341648 117.607773) + (xy 163.345744 117.613559) + (xy 163.349596 117.619711) + (xy 163.354808 117.624923) + (xy 163.359493 117.630167) + (xy 163.377775 117.653109) + (xy 163.384114 117.656167) + (xy 163.389615 117.660558) + (xy 163.389189 117.661092) + (xy 163.395353 117.665468) + (xy 164.079482 118.349596) + (xy 164.675152 118.945266) + (xy 164.693458 118.98946) + (xy 164.675152 119.033654) + (xy 164.630958 119.05196) + (xy 163.847963 119.05196) + (xy 163.803769 119.033654) + (xy 162.709306 117.939191) + (xy 162.691 117.894997) + (xy 162.691 116.528518) + (xy 162.709306 116.484324) + (xy 162.7535 116.466018) + (xy 162.788223 116.476551) + (xy 162.841067 116.511859) + (xy 162.852221 116.51648) + (xy 162.902096 116.526401) + (xy 162.908177 116.527) + (xy 162.924069 116.527) + (xy 162.932859 116.523359) + (xy 162.9365 116.514569) + (xy 162.9365 114.810432) + (xy 162.932859 114.801642) + (xy 162.924069 114.798001) + (xy 162.908179 114.798001) + (xy 162.902094 114.7986) + (xy 162.852224 114.80852) + (xy 162.841066 114.813142) + (xy 162.785173 114.850487) + (xy 162.738256 114.859819) + (xy 162.715726 114.850486) + (xy 162.715277 114.850186) + (xy 162.654012 114.809249) + (xy 162.647976 114.808048) + (xy 162.647975 114.808048) + (xy 162.597957 114.798099) + (xy 162.597954 114.798099) + (xy 162.594944 114.7975) + (xy 162.405056 114.7975) + (xy 162.402046 114.798099) + (xy 162.402043 114.798099) + (xy 162.352025 114.808048) + (xy 162.352024 114.808048) + (xy 162.345988 114.809249) + (xy 162.284722 114.850186) + (xy 162.237807 114.859518) + (xy 162.215279 114.850187) + (xy 162.154012 114.809249) + (xy 162.147976 114.808048) + (xy 162.147975 114.808048) + (xy 162.097957 114.798099) + (xy 162.097954 114.798099) + (xy 162.094944 114.7975) + (xy 161.905056 114.7975) + (xy 161.902046 114.798099) + (xy 161.902043 114.798099) + (xy 161.852025 114.808048) + (xy 161.852024 114.808048) + (xy 161.845988 114.809249) + (xy 161.784722 114.850186) + (xy 161.737807 114.859518) + (xy 161.715279 114.850187) + (xy 161.654012 114.809249) + (xy 161.647976 114.808048) + (xy 161.647975 114.808048) + (xy 161.597957 114.798099) + (xy 161.597954 114.798099) + (xy 161.594944 114.7975) + (xy 161.405056 114.7975) + (xy 161.402046 114.798099) + (xy 161.402043 114.798099) + (xy 161.352025 114.808048) + (xy 161.352024 114.808048) + (xy 161.345988 114.809249) + (xy 161.284722 114.850186) + (xy 161.237807 114.859518) + (xy 161.215279 114.850187) + (xy 161.154012 114.809249) + (xy 161.147976 114.808048) + (xy 161.147975 114.808048) + (xy 161.097957 114.798099) + (xy 161.097954 114.798099) + (xy 161.094944 114.7975) + (xy 160.905056 114.7975) + (xy 160.902046 114.798099) + (xy 160.902043 114.798099) + (xy 160.852025 114.808048) + (xy 160.852024 114.808048) + (xy 160.845988 114.809249) + (xy 160.784722 114.850186) + (xy 160.737807 114.859518) + (xy 160.715279 114.850187) + (xy 160.654012 114.809249) + (xy 160.647976 114.808048) + (xy 160.647975 114.808048) + (xy 160.597957 114.798099) + (xy 160.597954 114.798099) + (xy 160.594944 114.7975) + (xy 160.405056 114.7975) + (xy 160.402046 114.798099) + (xy 160.402043 114.798099) + (xy 160.352025 114.808048) + (xy 160.352024 114.808048) + (xy 160.345988 114.809249) + (xy 160.284722 114.850186) + (xy 160.237807 114.859518) + (xy 160.215279 114.850187) + (xy 160.154012 114.809249) + (xy 160.147976 114.808048) + (xy 160.147975 114.808048) + (xy 160.097957 114.798099) + (xy 160.097954 114.798099) + (xy 160.094944 114.7975) + (xy 159.905056 114.7975) + (xy 159.902046 114.798099) + (xy 159.902043 114.798099) + (xy 159.852025 114.808048) + (xy 159.852024 114.808048) + (xy 159.845988 114.809249) + (xy 159.784722 114.850186) + (xy 159.737807 114.859518) + (xy 159.715279 114.850187) + (xy 159.654012 114.809249) + (xy 159.647976 114.808048) + (xy 159.647975 114.808048) + (xy 159.597957 114.798099) + (xy 159.597954 114.798099) + (xy 159.594944 114.7975) + (xy 159.405056 114.7975) + (xy 159.402046 114.798099) + (xy 159.402043 114.798099) + (xy 159.352025 114.808048) + (xy 159.352024 114.808048) + (xy 159.345988 114.809249) + (xy 159.284722 114.850186) + (xy 159.237807 114.859518) + (xy 159.215279 114.850187) + (xy 159.154012 114.809249) + (xy 159.147976 114.808048) + (xy 159.147975 114.808048) + (xy 159.097957 114.798099) + (xy 159.097954 114.798099) + (xy 159.094944 114.7975) + (xy 158.905056 114.7975) + (xy 158.902046 114.798099) + (xy 158.902043 114.798099) + (xy 158.852025 114.808048) + (xy 158.852024 114.808048) + (xy 158.845988 114.809249) + (xy 158.784722 114.850186) + (xy 158.737807 114.859518) + (xy 158.715279 114.850187) + (xy 158.654012 114.809249) + (xy 158.647976 114.808048) + (xy 158.647975 114.808048) + (xy 158.597957 114.798099) + (xy 158.597954 114.798099) + (xy 158.594944 114.7975) + (xy 158.405056 114.7975) + (xy 158.402046 114.798099) + (xy 158.402043 114.798099) + (xy 158.352025 114.808048) + (xy 158.352024 114.808048) + (xy 158.345988 114.809249) + (xy 158.284722 114.850186) + (xy 158.237807 114.859518) + (xy 158.215279 114.850187) + (xy 158.154012 114.809249) + (xy 158.147976 114.808048) + (xy 158.147975 114.808048) + (xy 158.097957 114.798099) + (xy 158.097954 114.798099) + (xy 158.094944 114.7975) + (xy 157.905056 114.7975) + (xy 157.902046 114.798099) + (xy 157.902043 114.798099) + (xy 157.852025 114.808048) + (xy 157.852024 114.808048) + (xy 157.845988 114.809249) + (xy 157.784723 114.850186) + (xy 157.784274 114.850486) + (xy 157.737358 114.859819) + (xy 157.714827 114.850487) + (xy 157.658933 114.813141) + (xy 157.647779 114.80852) + (xy 157.597904 114.798599) + (xy 157.591823 114.798) + (xy 157.575931 114.798) + (xy 157.567141 114.801641) + (xy 157.5635 114.810431) + (xy 157.5635 116.514568) + (xy 157.567141 116.523358) + (xy 157.575931 116.526999) + (xy 157.591821 116.526999) + (xy 157.597906 116.5264) + (xy 157.647776 116.51648) + (xy 157.658934 116.511858) + (xy 157.711777 116.476551) + (xy 157.758694 116.467219) + (xy 157.798467 116.493795) + (xy 157.809 116.528518) + (xy 157.809 120.420933) + (xy 157.790694 120.465127) + (xy 157.7465 120.483433) + (xy 157.702306 120.465127) + (xy 157.209306 119.972127) + (xy 157.191 119.927933) + (xy 157.191 116.528518) + (xy 157.209306 116.484324) + (xy 157.2535 116.466018) + (xy 157.288223 116.476551) + (xy 157.341067 116.511859) + (xy 157.352221 116.51648) + (xy 157.402096 116.526401) + (xy 157.408177 116.527) + (xy 157.424069 116.527) + (xy 157.432859 116.523359) + (xy 157.4365 116.514569) + (xy 157.4365 114.810432) + (xy 157.432859 114.801642) + (xy 157.424069 114.798001) + (xy 157.408179 114.798001) + (xy 157.402094 114.7986) + (xy 157.352224 114.80852) + (xy 157.341066 114.813142) + (xy 157.285173 114.850487) + (xy 157.238256 114.859819) + (xy 157.215726 114.850486) + (xy 157.215277 114.850186) + (xy 157.154012 114.809249) + (xy 157.147976 114.808048) + (xy 157.147975 114.808048) + (xy 157.097957 114.798099) + (xy 157.097954 114.798099) + (xy 157.094944 114.7975) + (xy 156.905056 114.7975) + (xy 156.902046 114.798099) + (xy 156.902043 114.798099) + (xy 156.852025 114.808048) + (xy 156.852024 114.808048) + (xy 156.845988 114.809249) + (xy 156.788223 114.847847) + (xy 156.741308 114.85718) + (xy 156.701534 114.830605) + (xy 156.691 114.795881) + (xy 156.691 114.194) + (xy 156.709306 114.149806) + (xy 156.7535 114.1315) + (xy 163.7465 114.1315) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 156.290694 114.149806) + (xy 156.309 114.194) + (xy 156.309 114.795881) + (xy 156.290694 114.840075) + (xy 156.2465 114.858381) + (xy 156.211777 114.847847) + (xy 156.154012 114.809249) + (xy 156.147976 114.808048) + (xy 156.147975 114.808048) + (xy 156.097957 114.798099) + (xy 156.097954 114.798099) + (xy 156.094944 114.7975) + (xy 155.905056 114.7975) + (xy 155.902046 114.798099) + (xy 155.902043 114.798099) + (xy 155.852025 114.808048) + (xy 155.852024 114.808048) + (xy 155.845988 114.809249) + (xy 155.784722 114.850186) + (xy 155.737807 114.859518) + (xy 155.715279 114.850187) + (xy 155.654012 114.809249) + (xy 155.647976 114.808048) + (xy 155.647975 114.808048) + (xy 155.597957 114.798099) + (xy 155.597954 114.798099) + (xy 155.594944 114.7975) + (xy 155.405056 114.7975) + (xy 155.402046 114.798099) + (xy 155.402043 114.798099) + (xy 155.352025 114.808048) + (xy 155.352024 114.808048) + (xy 155.345988 114.809249) + (xy 155.284722 114.850186) + (xy 155.237807 114.859518) + (xy 155.215279 114.850187) + (xy 155.154012 114.809249) + (xy 155.147976 114.808048) + (xy 155.147975 114.808048) + (xy 155.097957 114.798099) + (xy 155.097954 114.798099) + (xy 155.094944 114.7975) + (xy 154.905056 114.7975) + (xy 154.902046 114.798099) + (xy 154.902043 114.798099) + (xy 154.852025 114.808048) + (xy 154.852024 114.808048) + (xy 154.845988 114.809249) + (xy 154.784722 114.850186) + (xy 154.737807 114.859518) + (xy 154.715279 114.850187) + (xy 154.654012 114.809249) + (xy 154.647976 114.808048) + (xy 154.647975 114.808048) + (xy 154.597957 114.798099) + (xy 154.597954 114.798099) + (xy 154.594944 114.7975) + (xy 154.405056 114.7975) + (xy 154.402046 114.798099) + (xy 154.402043 114.798099) + (xy 154.352025 114.808048) + (xy 154.352024 114.808048) + (xy 154.345988 114.809249) + (xy 154.284723 114.850186) + (xy 154.284274 114.850486) + (xy 154.237358 114.859819) + (xy 154.214827 114.850487) + (xy 154.158933 114.813141) + (xy 154.147779 114.80852) + (xy 154.097904 114.798599) + (xy 154.091823 114.798) + (xy 154.075931 114.798) + (xy 154.067141 114.801641) + (xy 154.0635 114.810431) + (xy 154.0635 116.514568) + (xy 154.067141 116.523358) + (xy 154.075931 116.526999) + (xy 154.091821 116.526999) + (xy 154.097906 116.5264) + (xy 154.147776 116.51648) + (xy 154.158934 116.511858) + (xy 154.211777 116.476551) + (xy 154.258694 116.467219) + (xy 154.298467 116.493795) + (xy 154.309 116.528518) + (xy 154.309 118.713593) + (xy 154.290694 118.757787) + (xy 154.2465 118.776093) + (xy 154.202306 118.757787) + (xy 153.709306 118.264787) + (xy 153.691 118.220593) + (xy 153.691 116.528518) + (xy 153.709306 116.484324) + (xy 153.7535 116.466018) + (xy 153.788223 116.476551) + (xy 153.841067 116.511859) + (xy 153.852221 116.51648) + (xy 153.902096 116.526401) + (xy 153.908177 116.527) + (xy 153.924069 116.527) + (xy 153.932859 116.523359) + (xy 153.9365 116.514569) + (xy 153.9365 114.810432) + (xy 153.932859 114.801642) + (xy 153.924069 114.798001) + (xy 153.908179 114.798001) + (xy 153.902094 114.7986) + (xy 153.852224 114.80852) + (xy 153.841066 114.813142) + (xy 153.785173 114.850487) + (xy 153.738256 114.859819) + (xy 153.715726 114.850486) + (xy 153.715277 114.850186) + (xy 153.654012 114.809249) + (xy 153.647976 114.808048) + (xy 153.647975 114.808048) + (xy 153.597957 114.798099) + (xy 153.597954 114.798099) + (xy 153.594944 114.7975) + (xy 153.405056 114.7975) + (xy 153.402046 114.798099) + (xy 153.402043 114.798099) + (xy 153.352025 114.808048) + (xy 153.352024 114.808048) + (xy 153.345988 114.809249) + (xy 153.284722 114.850186) + (xy 153.237807 114.859518) + (xy 153.215279 114.850187) + (xy 153.154012 114.809249) + (xy 153.147976 114.808048) + (xy 153.147975 114.808048) + (xy 153.097957 114.798099) + (xy 153.097954 114.798099) + (xy 153.094944 114.7975) + (xy 152.905056 114.7975) + (xy 152.902046 114.798099) + (xy 152.902043 114.798099) + (xy 152.852025 114.808048) + (xy 152.852024 114.808048) + (xy 152.845988 114.809249) + (xy 152.784722 114.850186) + (xy 152.737807 114.859518) + (xy 152.715279 114.850187) + (xy 152.654012 114.809249) + (xy 152.647976 114.808048) + (xy 152.647975 114.808048) + (xy 152.597957 114.798099) + (xy 152.597954 114.798099) + (xy 152.594944 114.7975) + (xy 152.405056 114.7975) + (xy 152.402046 114.798099) + (xy 152.402043 114.798099) + (xy 152.352025 114.808048) + (xy 152.352024 114.808048) + (xy 152.345988 114.809249) + (xy 152.284722 114.850186) + (xy 152.237807 114.859518) + (xy 152.215279 114.850187) + (xy 152.154012 114.809249) + (xy 152.147976 114.808048) + (xy 152.147975 114.808048) + (xy 152.097957 114.798099) + (xy 152.097954 114.798099) + (xy 152.094944 114.7975) + (xy 151.905056 114.7975) + (xy 151.902046 114.798099) + (xy 151.902043 114.798099) + (xy 151.852025 114.808048) + (xy 151.852024 114.808048) + (xy 151.845988 114.809249) + (xy 151.784722 114.850186) + (xy 151.737807 114.859518) + (xy 151.715279 114.850187) + (xy 151.654012 114.809249) + (xy 151.647976 114.808048) + (xy 151.647975 114.808048) + (xy 151.597957 114.798099) + (xy 151.597954 114.798099) + (xy 151.594944 114.7975) + (xy 151.405056 114.7975) + (xy 151.402046 114.798099) + (xy 151.402043 114.798099) + (xy 151.352025 114.808048) + (xy 151.352024 114.808048) + (xy 151.345988 114.809249) + (xy 151.284722 114.850186) + (xy 151.237807 114.859518) + (xy 151.215279 114.850187) + (xy 151.154012 114.809249) + (xy 151.147976 114.808048) + (xy 151.147975 114.808048) + (xy 151.097957 114.798099) + (xy 151.097954 114.798099) + (xy 151.094944 114.7975) + (xy 150.905056 114.7975) + (xy 150.902046 114.798099) + (xy 150.902043 114.798099) + (xy 150.852025 114.808048) + (xy 150.852024 114.808048) + (xy 150.845988 114.809249) + (xy 150.784722 114.850186) + (xy 150.737807 114.859518) + (xy 150.715279 114.850187) + (xy 150.654012 114.809249) + (xy 150.647976 114.808048) + (xy 150.647975 114.808048) + (xy 150.597957 114.798099) + (xy 150.597954 114.798099) + (xy 150.594944 114.7975) + (xy 150.405056 114.7975) + (xy 150.402046 114.798099) + (xy 150.402043 114.798099) + (xy 150.352025 114.808048) + (xy 150.352024 114.808048) + (xy 150.345988 114.809249) + (xy 150.284722 114.850186) + (xy 150.237807 114.859518) + (xy 150.215279 114.850187) + (xy 150.154012 114.809249) + (xy 150.147976 114.808048) + (xy 150.147975 114.808048) + (xy 150.097957 114.798099) + (xy 150.097954 114.798099) + (xy 150.094944 114.7975) + (xy 149.905056 114.7975) + (xy 149.902046 114.798099) + (xy 149.902043 114.798099) + (xy 149.852025 114.808048) + (xy 149.852024 114.808048) + (xy 149.845988 114.809249) + (xy 149.788223 114.847847) + (xy 149.741308 114.85718) + (xy 149.701534 114.830605) + (xy 149.691 114.795881) + (xy 149.691 114.194) + (xy 149.709306 114.149806) + (xy 149.7535 114.1315) + (xy 156.2465 114.1315) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 200.681694 77.918306) + (xy 200.7 77.9625) + (xy 200.7 96.9375) + (xy 200.681694 96.981694) + (xy 200.6375 97) + (xy 196.905877 97) + (xy 196.861589 96.999961) + (xy 196.861588 96.999961) + (xy 196.854551 96.999955) + (xy 196.848206 97.003002) + (xy 196.848205 97.003002) + (xy 196.839966 97.006958) + (xy 196.826812 97.011552) + (xy 196.811038 97.01515) + (xy 196.805532 97.019537) + (xy 196.805533 97.019537) + (xy 196.798565 97.025089) + (xy 196.786672 97.032549) + (xy 196.772295 97.039453) + (xy 196.767899 97.04495) + (xy 196.767898 97.044951) + (xy 196.762187 97.052092) + (xy 196.752327 97.061935) + (xy 196.739677 97.072015) + (xy 196.73662 97.078352) + (xy 196.736618 97.078355) + (xy 196.732749 97.086378) + (xy 196.725266 97.098261) + (xy 196.715306 97.110715) + (xy 196.713729 97.117572) + (xy 196.713727 97.117577) + (xy 196.711678 97.126489) + (xy 196.707062 97.139634) + (xy 196.700035 97.154202) + (xy 196.700029 97.161239) + (xy 196.700015 97.177201) + (xy 196.700014 97.177217) + (xy 196.7 97.177277) + (xy 196.7 97.194123) + (xy 196.699955 97.245449) + (xy 196.699993 97.245528) + (xy 196.7 97.245593) + (xy 196.7 98.694123) + (xy 196.699971 98.727705) + (xy 196.699955 98.745449) + (xy 196.703002 98.751794) + (xy 196.703002 98.751795) + (xy 196.706958 98.760034) + (xy 196.711552 98.773188) + (xy 196.71515 98.788962) + (xy 196.721645 98.797113) + (xy 196.725089 98.801435) + (xy 196.732549 98.813328) + (xy 196.739453 98.827705) + (xy 196.74495 98.832101) + (xy 196.744951 98.832102) + (xy 196.752092 98.837813) + (xy 196.761935 98.847673) + (xy 196.772015 98.860323) + (xy 196.778352 98.86338) + (xy 196.778355 98.863382) + (xy 196.786378 98.867251) + (xy 196.798261 98.874734) + (xy 196.810715 98.884694) + (xy 196.817572 98.886271) + (xy 196.817577 98.886273) + (xy 196.826489 98.888322) + (xy 196.839634 98.892938) + (xy 196.854202 98.899965) + (xy 196.875886 98.899984) + (xy 196.877201 98.899985) + (xy 196.877217 98.899986) + (xy 196.877277 98.9) + (xy 196.894123 98.9) + (xy 196.945192 98.900045) + (xy 196.945449 98.900045) + (xy 196.945528 98.900007) + (xy 196.945593 98.9) + (xy 199.1375 98.9) + (xy 199.181694 98.918306) + (xy 199.2 98.9625) + (xy 199.2 104.694123) + (xy 199.199955 104.745449) + (xy 199.203002 104.751794) + (xy 199.203002 104.751795) + (xy 199.206958 104.760034) + (xy 199.211552 104.773188) + (xy 199.21515 104.788962) + (xy 199.219537 104.794467) + (xy 199.225089 104.801435) + (xy 199.232549 104.813328) + (xy 199.239453 104.827705) + (xy 199.24495 104.832101) + (xy 199.244951 104.832102) + (xy 199.252092 104.837813) + (xy 199.261935 104.847673) + (xy 199.272015 104.860323) + (xy 199.278352 104.86338) + (xy 199.278355 104.863382) + (xy 199.286378 104.867251) + (xy 199.298261 104.874734) + (xy 199.310715 104.884694) + (xy 199.317572 104.886271) + (xy 199.317577 104.886273) + (xy 199.326489 104.888322) + (xy 199.339634 104.892938) + (xy 199.354202 104.899965) + (xy 199.375886 104.899984) + (xy 199.377201 104.899985) + (xy 199.377217 104.899986) + (xy 199.377277 104.9) + (xy 199.394123 104.9) + (xy 199.445192 104.900045) + (xy 199.445449 104.900045) + (xy 199.445528 104.900007) + (xy 199.445593 104.9) + (xy 200.6375 104.9) + (xy 200.681694 104.918306) + (xy 200.7 104.9625) + (xy 200.7 131.2375) + (xy 200.681694 131.281694) + (xy 200.6375 131.3) + (xy 194.3625 131.3) + (xy 194.318306 131.281694) + (xy 194.3 131.2375) + (xy 194.3 128.289564) + (xy 196.241051 128.289564) + (xy 196.241386 128.292329) + (xy 196.267618 128.509108) + (xy 196.267619 128.509114) + (xy 196.267954 128.51188) + (xy 196.3338 128.725917) + (xy 196.436509 128.924912) + (xy 196.572833 129.102573) + (xy 196.574889 129.104444) + (xy 196.574891 129.104446) + (xy 196.736405 129.251413) + (xy 196.736409 129.251416) + (xy 196.738464 129.253286) + (xy 196.928167 129.372286) + (xy 196.930747 129.373323) + (xy 197.133361 129.454774) + (xy 197.133365 129.454775) + (xy 197.135944 129.455812) + (xy 197.248287 129.479077) + (xy 197.35298 129.500759) + (xy 197.352987 129.50076) + (xy 197.355228 129.501224) + (xy 197.412048 129.5045) + (xy 197.556819 129.5045) + (xy 197.558182 129.504378) + (xy 197.55819 129.504378) + (xy 197.720283 129.489911) + (xy 197.723051 129.489664) + (xy 197.846793 129.455812) + (xy 197.936366 129.431308) + (xy 197.936371 129.431306) + (xy 197.939051 129.430573) + (xy 198.141174 129.334166) + (xy 198.32303 129.203489) + (xy 198.324959 129.201499) + (xy 198.324963 129.201495) + (xy 198.476937 129.04467) + (xy 198.476938 129.044668) + (xy 198.478871 129.042674) + (xy 198.60377 128.856803) + (xy 198.693782 128.651752) + (xy 198.746059 128.434002) + (xy 198.754228 128.292329) + (xy 198.758789 128.213217) + (xy 198.758789 128.213213) + (xy 198.758949 128.210436) + (xy 198.741142 128.063286) + (xy 198.732382 127.990892) + (xy 198.732381 127.990886) + (xy 198.732046 127.98812) + (xy 198.6662 127.774083) + (xy 198.563491 127.575088) + (xy 198.530828 127.53252) + (xy 198.473129 127.457326) + (xy 198.427167 127.397427) + (xy 198.399773 127.3725) + (xy 198.263595 127.248587) + (xy 198.263591 127.248584) + (xy 198.261536 127.246714) + (xy 198.071833 127.127714) + (xy 197.92684 127.069427) + (xy 197.866639 127.045226) + (xy 197.866635 127.045225) + (xy 197.864056 127.044188) + (xy 197.751713 127.020923) + (xy 197.64702 126.999241) + (xy 197.647013 126.99924) + (xy 197.644772 126.998776) + (xy 197.587952 126.9955) + (xy 197.443181 126.9955) + (xy 197.441818 126.995622) + (xy 197.44181 126.995622) + (xy 197.296132 127.008624) + (xy 197.276949 127.010336) + (xy 197.194034 127.033019) + (xy 197.063634 127.068692) + (xy 197.063629 127.068694) + (xy 197.060949 127.069427) + (xy 196.858826 127.165834) + (xy 196.67697 127.296511) + (xy 196.675041 127.298501) + (xy 196.675037 127.298505) + (xy 196.58099 127.395554) + (xy 196.521129 127.457326) + (xy 196.39623 127.643197) + (xy 196.306218 127.848248) + (xy 196.253941 128.065998) + (xy 196.253781 128.068778) + (xy 196.25378 128.068783) + (xy 196.245613 128.210436) + (xy 196.241051 128.289564) + (xy 194.3 128.289564) + (xy 194.3 125.005877) + (xy 194.300039 124.961589) + (xy 194.300039 124.961588) + (xy 194.300045 124.954551) + (xy 194.296834 124.947864) + (xy 194.293042 124.939966) + (xy 194.288448 124.926812) + (xy 194.286415 124.917901) + (xy 194.28485 124.911038) + (xy 194.274911 124.898565) + (xy 194.26745 124.886671) + (xy 194.260547 124.872295) + (xy 194.255049 124.867898) + (xy 194.247908 124.862187) + (xy 194.238065 124.852327) + (xy 194.237878 124.852092) + (xy 194.227985 124.839677) + (xy 194.221648 124.83662) + (xy 194.221645 124.836618) + (xy 194.213622 124.832749) + (xy 194.201738 124.825265) + (xy 194.194782 124.819702) + (xy 194.189285 124.815306) + (xy 194.182428 124.813729) + (xy 194.182423 124.813727) + (xy 194.173511 124.811678) + (xy 194.160366 124.807062) + (xy 194.16015 124.806958) + (xy 194.145798 124.800035) + (xy 194.124114 124.800016) + (xy 194.122799 124.800015) + (xy 194.122783 124.800014) + (xy 194.122723 124.8) + (xy 194.105877 124.8) + (xy 194.054808 124.799955) + (xy 194.054551 124.799955) + (xy 194.054472 124.799993) + (xy 194.054407 124.8) + (xy 189.555877 124.8) + (xy 189.504808 124.799955) + (xy 189.504551 124.799955) + (xy 189.504472 124.799993) + (xy 189.504407 124.8) + (xy 187.605877 124.8) + (xy 187.554808 124.799955) + (xy 187.554551 124.799955) + (xy 187.554472 124.799993) + (xy 187.554407 124.8) + (xy 182.255877 124.8) + (xy 182.211589 124.799961) + (xy 182.211588 124.799961) + (xy 182.204551 124.799955) + (xy 182.198206 124.803002) + (xy 182.198205 124.803002) + (xy 182.189966 124.806958) + (xy 182.176812 124.811552) + (xy 182.161038 124.81515) + (xy 182.155532 124.819537) + (xy 182.155533 124.819537) + (xy 182.148565 124.825089) + (xy 182.136672 124.832549) + (xy 182.122295 124.839453) + (xy 182.117899 124.84495) + (xy 182.117898 124.844951) + (xy 182.112187 124.852092) + (xy 182.102327 124.861935) + (xy 182.089677 124.872015) + (xy 182.08662 124.878352) + (xy 182.086618 124.878355) + (xy 182.082749 124.886378) + (xy 182.075266 124.898261) + (xy 182.065306 124.910715) + (xy 182.063729 124.917572) + (xy 182.063727 124.917577) + (xy 182.061678 124.926489) + (xy 182.057062 124.939634) + (xy 182.050035 124.954202) + (xy 182.050029 124.961239) + (xy 182.050015 124.977201) + (xy 182.050014 124.977217) + (xy 182.05 124.977277) + (xy 182.05 124.994123) + (xy 182.049984 125.012928) + (xy 182.049955 125.045449) + (xy 182.049993 125.045528) + (xy 182.05 125.045593) + (xy 182.05 126.9375) + (xy 182.031694 126.981694) + (xy 181.9875 127) + (xy 175.2535 127) + (xy 175.209306 126.981694) + (xy 175.191 126.9375) + (xy 175.191 126.293232) + (xy 175.19225 126.285893) + (xy 175.191523 126.28581) + (xy 175.19232 126.278819) + (xy 175.194652 126.272178) + (xy 175.191383 126.2428) + (xy 175.191 126.235888) + (xy 175.191 126.228467) + (xy 175.189423 126.221552) + (xy 175.188242 126.214569) + (xy 175.185793 126.192562) + (xy 175.185015 126.185571) + (xy 175.181282 126.179608) + (xy 175.179912 126.175675) + (xy 175.178098 126.171905) + (xy 175.176532 126.165041) + (xy 175.158354 126.142229) + (xy 175.15426 126.136446) + (xy 175.152284 126.13329) + (xy 175.152279 126.133284) + (xy 175.150404 126.130289) + (xy 175.145191 126.125076) + (xy 175.140506 126.119832) + (xy 175.126612 126.102396) + (xy 175.126611 126.102396) + (xy 175.122225 126.096891) + (xy 175.115886 126.093833) + (xy 175.110385 126.089442) + (xy 175.110811 126.088908) + (xy 175.104647 126.084532) + (xy 174.182793 125.162678) + (xy 174.164487 125.118484) + (xy 174.165353 125.108115) + (xy 174.181767 125.010552) + (xy 174.181896 125) + (xy 174.164536 124.878781) + (xy 174.113852 124.767307) + (xy 174.099199 124.750302) + (xy 174.097708 124.747375) + (xy 174.0919 124.741567) + (xy 174.088746 124.73817) + (xy 174.036826 124.677914) + (xy 174.033918 124.674539) + (xy 174.013741 124.661461) + (xy 174.010155 124.658559) + (xy 174.010081 124.658661) + (xy 174.006106 124.655773) + (xy 174.002625 124.652292) + (xy 173.991343 124.646543) + (xy 173.985736 124.643309) + (xy 173.934893 124.610354) + (xy 173.93489 124.610353) + (xy 173.93116 124.607935) + (xy 173.926901 124.606661) + (xy 173.926898 124.60666) + (xy 173.908345 124.601112) + (xy 173.898839 124.598269) + (xy 173.888377 124.594079) + (xy 173.882813 124.591244) + (xy 173.871067 124.589384) + (xy 173.862951 124.587536) + (xy 173.813838 124.572848) + (xy 173.769496 124.572577) + (xy 173.760103 124.571809) + (xy 173.754857 124.570978) + (xy 173.754856 124.570978) + (xy 173.75 124.570209) + (xy 173.741373 124.571576) + (xy 173.73122 124.572344) + (xy 173.712836 124.572231) + (xy 173.695838 124.572127) + (xy 173.695836 124.572127) + (xy 173.691385 124.5721) + (xy 173.637011 124.587641) + (xy 173.629623 124.589275) + (xy 173.617187 124.591244) + (xy 173.612804 124.593477) + (xy 173.61187 124.593781) + (xy 173.602155 124.597603) + (xy 173.577929 124.604526) + (xy 173.577927 124.604527) + (xy 173.573644 124.605751) + (xy 173.514119 124.643309) + (xy 173.512819 124.644129) + (xy 173.507842 124.646959) + (xy 173.501757 124.650059) + (xy 173.501756 124.65006) + (xy 173.497375 124.652292) + (xy 173.493897 124.65577) + (xy 173.492445 124.656825) + (xy 173.489058 124.65912) + (xy 173.47008 124.671095) + (xy 173.467137 124.674427) + (xy 173.467135 124.674429) + (xy 173.407156 124.742342) + (xy 173.406032 124.743538) + (xy 173.405775 124.743892) + (xy 173.405554 124.744113) + (xy 173.405405 124.744204) + (xy 173.404504 124.745163) + (xy 173.402292 124.747375) + (xy 173.401509 124.746592) + (xy 173.400362 124.747296) + (xy 173.401721 124.748496) + (xy 173.389018 124.762879) + (xy 173.387127 124.766907) + (xy 173.338868 124.869695) + (xy 173.338867 124.869698) + (xy 173.336976 124.873726) + (xy 173.318136 124.994724) + (xy 173.318713 124.999137) + (xy 173.318713 124.999138) + (xy 173.324788 125.045593) + (xy 173.334014 125.116145) + (xy 173.341185 125.132443) + (xy 173.341244 125.132813) + (xy 173.342007 125.134311) + (xy 173.383333 125.22823) + (xy 173.397272 125.244813) + (xy 173.398029 125.245713) + (xy 173.400157 125.248434) + (xy 173.402292 125.252625) + (xy 173.410081 125.260414) + (xy 173.413729 125.264391) + (xy 173.462127 125.321968) + (xy 173.485399 125.337459) + (xy 173.491836 125.342733) + (xy 173.493899 125.344232) + (xy 173.497375 125.347708) + (xy 173.501753 125.349939) + (xy 173.501756 125.349941) + (xy 173.50873 125.353494) + (xy 173.514977 125.357148) + (xy 173.564064 125.389823) + (xy 173.568313 125.391151) + (xy 173.568314 125.391151) + (xy 173.600296 125.401143) + (xy 173.610027 125.405109) + (xy 173.612799 125.406521) + (xy 173.612803 125.406522) + (xy 173.617187 125.408756) + (xy 173.627852 125.410445) + (xy 173.636713 125.41252) + (xy 173.676696 125.425012) + (xy 173.676699 125.425012) + (xy 173.680948 125.42634) + (xy 173.730069 125.427241) + (xy 173.738683 125.427999) + (xy 173.74514 125.429022) + (xy 173.745144 125.429022) + (xy 173.75 125.429791) + (xy 173.756947 125.42869) + (xy 173.767853 125.427933) + (xy 173.803383 125.428584) + (xy 173.808019 125.42732) + (xy 173.850968 125.415611) + (xy 173.898421 125.421648) + (xy 173.911601 125.431716) + (xy 174.790694 126.310809) + (xy 174.809 126.355003) + (xy 174.809 126.9375) + (xy 174.790694 126.981694) + (xy 174.7465 127) + (xy 172.7535 127) + (xy 172.709306 126.981694) + (xy 172.691 126.9375) + (xy 172.691 126.293232) + (xy 172.69225 126.285893) + (xy 172.691523 126.28581) + (xy 172.69232 126.278819) + (xy 172.694652 126.272178) + (xy 172.691383 126.2428) + (xy 172.691 126.235888) + (xy 172.691 126.228467) + (xy 172.689423 126.221552) + (xy 172.688242 126.214569) + (xy 172.685793 126.192562) + (xy 172.685015 126.185571) + (xy 172.681282 126.179608) + (xy 172.679912 126.175675) + (xy 172.678098 126.171905) + (xy 172.676532 126.165041) + (xy 172.658354 126.142229) + (xy 172.65426 126.136446) + (xy 172.652284 126.13329) + (xy 172.652279 126.133284) + (xy 172.650404 126.130289) + (xy 172.645191 126.125076) + (xy 172.640506 126.119832) + (xy 172.626612 126.102396) + (xy 172.626611 126.102396) + (xy 172.622225 126.096891) + (xy 172.615886 126.093833) + (xy 172.610385 126.089442) + (xy 172.610811 126.088908) + (xy 172.604647 126.084532) + (xy 171.682793 125.162678) + (xy 171.664487 125.118484) + (xy 171.665353 125.108115) + (xy 171.681767 125.010552) + (xy 171.681896 125) + (xy 171.664536 124.878781) + (xy 171.613852 124.767307) + (xy 171.599199 124.750302) + (xy 171.597708 124.747375) + (xy 171.5919 124.741567) + (xy 171.588746 124.73817) + (xy 171.536826 124.677914) + (xy 171.533918 124.674539) + (xy 171.513741 124.661461) + (xy 171.510155 124.658559) + (xy 171.510081 124.658661) + (xy 171.506106 124.655773) + (xy 171.502625 124.652292) + (xy 171.491343 124.646543) + (xy 171.485736 124.643309) + (xy 171.434893 124.610354) + (xy 171.43489 124.610353) + (xy 171.43116 124.607935) + (xy 171.426901 124.606661) + (xy 171.426898 124.60666) + (xy 171.408345 124.601112) + (xy 171.398839 124.598269) + (xy 171.388377 124.594079) + (xy 171.382813 124.591244) + (xy 171.371067 124.589384) + (xy 171.362951 124.587536) + (xy 171.313838 124.572848) + (xy 171.269496 124.572577) + (xy 171.260103 124.571809) + (xy 171.254857 124.570978) + (xy 171.254856 124.570978) + (xy 171.25 124.570209) + (xy 171.241373 124.571576) + (xy 171.23122 124.572344) + (xy 171.212836 124.572231) + (xy 171.195838 124.572127) + (xy 171.195836 124.572127) + (xy 171.191385 124.5721) + (xy 171.137011 124.587641) + (xy 171.129623 124.589275) + (xy 171.117187 124.591244) + (xy 171.112804 124.593477) + (xy 171.11187 124.593781) + (xy 171.102155 124.597603) + (xy 171.077929 124.604526) + (xy 171.077927 124.604527) + (xy 171.073644 124.605751) + (xy 171.014119 124.643309) + (xy 171.012819 124.644129) + (xy 171.007842 124.646959) + (xy 171.001757 124.650059) + (xy 171.001756 124.65006) + (xy 170.997375 124.652292) + (xy 170.993897 124.65577) + (xy 170.992445 124.656825) + (xy 170.989058 124.65912) + (xy 170.97008 124.671095) + (xy 170.967137 124.674427) + (xy 170.967135 124.674429) + (xy 170.907156 124.742342) + (xy 170.906032 124.743538) + (xy 170.905775 124.743892) + (xy 170.905554 124.744113) + (xy 170.905405 124.744204) + (xy 170.904504 124.745163) + (xy 170.902292 124.747375) + (xy 170.901509 124.746592) + (xy 170.900362 124.747296) + (xy 170.901721 124.748496) + (xy 170.889018 124.762879) + (xy 170.887127 124.766907) + (xy 170.838868 124.869695) + (xy 170.838867 124.869698) + (xy 170.836976 124.873726) + (xy 170.818136 124.994724) + (xy 170.818713 124.999137) + (xy 170.818713 124.999138) + (xy 170.824788 125.045593) + (xy 170.834014 125.116145) + (xy 170.841185 125.132443) + (xy 170.841244 125.132813) + (xy 170.842007 125.134311) + (xy 170.883333 125.22823) + (xy 170.897272 125.244813) + (xy 170.898029 125.245713) + (xy 170.900157 125.248434) + (xy 170.902292 125.252625) + (xy 170.910081 125.260414) + (xy 170.913729 125.264391) + (xy 170.962127 125.321968) + (xy 170.985399 125.337459) + (xy 170.991836 125.342733) + (xy 170.993899 125.344232) + (xy 170.997375 125.347708) + (xy 171.001753 125.349939) + (xy 171.001756 125.349941) + (xy 171.00873 125.353494) + (xy 171.014977 125.357148) + (xy 171.064064 125.389823) + (xy 171.068313 125.391151) + (xy 171.068314 125.391151) + (xy 171.100296 125.401143) + (xy 171.110027 125.405109) + (xy 171.112799 125.406521) + (xy 171.112803 125.406522) + (xy 171.117187 125.408756) + (xy 171.127852 125.410445) + (xy 171.136713 125.41252) + (xy 171.176696 125.425012) + (xy 171.176699 125.425012) + (xy 171.180948 125.42634) + (xy 171.230069 125.427241) + (xy 171.238683 125.427999) + (xy 171.24514 125.429022) + (xy 171.245144 125.429022) + (xy 171.25 125.429791) + (xy 171.256947 125.42869) + (xy 171.267853 125.427933) + (xy 171.303383 125.428584) + (xy 171.308019 125.42732) + (xy 171.350968 125.415611) + (xy 171.398421 125.421648) + (xy 171.411601 125.431716) + (xy 172.290694 126.310809) + (xy 172.309 126.355003) + (xy 172.309 126.9375) + (xy 172.290694 126.981694) + (xy 172.2465 127) + (xy 170.2535 127) + (xy 170.209306 126.981694) + (xy 170.191 126.9375) + (xy 170.191 126.293232) + (xy 170.19225 126.285893) + (xy 170.191523 126.28581) + (xy 170.19232 126.278819) + (xy 170.194652 126.272178) + (xy 170.191383 126.2428) + (xy 170.191 126.235888) + (xy 170.191 126.228467) + (xy 170.189423 126.221552) + (xy 170.188242 126.214569) + (xy 170.185793 126.192562) + (xy 170.185015 126.185571) + (xy 170.181282 126.179608) + (xy 170.179912 126.175675) + (xy 170.178098 126.171905) + (xy 170.176532 126.165041) + (xy 170.158354 126.142229) + (xy 170.15426 126.136446) + (xy 170.152284 126.13329) + (xy 170.152279 126.133284) + (xy 170.150404 126.130289) + (xy 170.145191 126.125076) + (xy 170.140506 126.119832) + (xy 170.126612 126.102396) + (xy 170.126611 126.102396) + (xy 170.122225 126.096891) + (xy 170.115886 126.093833) + (xy 170.110385 126.089442) + (xy 170.110811 126.088908) + (xy 170.104647 126.084532) + (xy 169.182793 125.162678) + (xy 169.164487 125.118484) + (xy 169.165353 125.108115) + (xy 169.181767 125.010552) + (xy 169.181896 125) + (xy 169.164536 124.878781) + (xy 169.113852 124.767307) + (xy 169.099199 124.750302) + (xy 169.097708 124.747375) + (xy 169.0919 124.741567) + (xy 169.088746 124.73817) + (xy 169.036826 124.677914) + (xy 169.033918 124.674539) + (xy 169.013741 124.661461) + (xy 169.010155 124.658559) + (xy 169.010081 124.658661) + (xy 169.006106 124.655773) + (xy 169.002625 124.652292) + (xy 168.991343 124.646543) + (xy 168.985736 124.643309) + (xy 168.934893 124.610354) + (xy 168.93489 124.610353) + (xy 168.93116 124.607935) + (xy 168.926901 124.606661) + (xy 168.926898 124.60666) + (xy 168.908345 124.601112) + (xy 168.898839 124.598269) + (xy 168.888377 124.594079) + (xy 168.882813 124.591244) + (xy 168.871067 124.589384) + (xy 168.862951 124.587536) + (xy 168.813838 124.572848) + (xy 168.769496 124.572577) + (xy 168.760103 124.571809) + (xy 168.754857 124.570978) + (xy 168.754856 124.570978) + (xy 168.75 124.570209) + (xy 168.741373 124.571576) + (xy 168.73122 124.572344) + (xy 168.712836 124.572231) + (xy 168.695838 124.572127) + (xy 168.695836 124.572127) + (xy 168.691385 124.5721) + (xy 168.637011 124.587641) + (xy 168.629623 124.589275) + (xy 168.617187 124.591244) + (xy 168.612804 124.593477) + (xy 168.61187 124.593781) + (xy 168.602155 124.597603) + (xy 168.577929 124.604526) + (xy 168.577927 124.604527) + (xy 168.573644 124.605751) + (xy 168.514119 124.643309) + (xy 168.512819 124.644129) + (xy 168.507842 124.646959) + (xy 168.501757 124.650059) + (xy 168.501756 124.65006) + (xy 168.497375 124.652292) + (xy 168.493897 124.65577) + (xy 168.492445 124.656825) + (xy 168.489058 124.65912) + (xy 168.47008 124.671095) + (xy 168.467137 124.674427) + (xy 168.467135 124.674429) + (xy 168.407156 124.742342) + (xy 168.406032 124.743538) + (xy 168.405775 124.743892) + (xy 168.405554 124.744113) + (xy 168.405405 124.744204) + (xy 168.404504 124.745163) + (xy 168.402292 124.747375) + (xy 168.401509 124.746592) + (xy 168.400362 124.747296) + (xy 168.401721 124.748496) + (xy 168.389018 124.762879) + (xy 168.387127 124.766907) + (xy 168.338868 124.869695) + (xy 168.338867 124.869698) + (xy 168.336976 124.873726) + (xy 168.318136 124.994724) + (xy 168.318713 124.999137) + (xy 168.318713 124.999138) + (xy 168.324788 125.045593) + (xy 168.334014 125.116145) + (xy 168.341185 125.132443) + (xy 168.341244 125.132813) + (xy 168.342007 125.134311) + (xy 168.383333 125.22823) + (xy 168.397272 125.244813) + (xy 168.398029 125.245713) + (xy 168.400157 125.248434) + (xy 168.402292 125.252625) + (xy 168.410081 125.260414) + (xy 168.413729 125.264391) + (xy 168.462127 125.321968) + (xy 168.485399 125.337459) + (xy 168.491836 125.342733) + (xy 168.493899 125.344232) + (xy 168.497375 125.347708) + (xy 168.501753 125.349939) + (xy 168.501756 125.349941) + (xy 168.50873 125.353494) + (xy 168.514977 125.357148) + (xy 168.564064 125.389823) + (xy 168.568313 125.391151) + (xy 168.568314 125.391151) + (xy 168.600296 125.401143) + (xy 168.610027 125.405109) + (xy 168.612799 125.406521) + (xy 168.612803 125.406522) + (xy 168.617187 125.408756) + (xy 168.627852 125.410445) + (xy 168.636713 125.41252) + (xy 168.676696 125.425012) + (xy 168.676699 125.425012) + (xy 168.680948 125.42634) + (xy 168.730069 125.427241) + (xy 168.738683 125.427999) + (xy 168.74514 125.429022) + (xy 168.745144 125.429022) + (xy 168.75 125.429791) + (xy 168.756947 125.42869) + (xy 168.767853 125.427933) + (xy 168.803383 125.428584) + (xy 168.808019 125.42732) + (xy 168.850968 125.415611) + (xy 168.898421 125.421648) + (xy 168.911601 125.431716) + (xy 169.790694 126.310809) + (xy 169.809 126.355003) + (xy 169.809 126.9375) + (xy 169.790694 126.981694) + (xy 169.7465 127) + (xy 165.2535 127) + (xy 165.209306 126.981694) + (xy 165.191 126.9375) + (xy 165.191 126.293232) + (xy 165.19225 126.285893) + (xy 165.191523 126.28581) + (xy 165.19232 126.278819) + (xy 165.194652 126.272178) + (xy 165.191383 126.2428) + (xy 165.191 126.235888) + (xy 165.191 126.228467) + (xy 165.189423 126.221552) + (xy 165.188242 126.214569) + (xy 165.185793 126.192562) + (xy 165.185015 126.185571) + (xy 165.181282 126.179608) + (xy 165.179912 126.175675) + (xy 165.178098 126.171905) + (xy 165.176532 126.165041) + (xy 165.158354 126.142229) + (xy 165.15426 126.136446) + (xy 165.152284 126.13329) + (xy 165.152279 126.133284) + (xy 165.150404 126.130289) + (xy 165.145191 126.125076) + (xy 165.140506 126.119832) + (xy 165.126612 126.102396) + (xy 165.126611 126.102396) + (xy 165.122225 126.096891) + (xy 165.115886 126.093833) + (xy 165.110385 126.089442) + (xy 165.110811 126.088908) + (xy 165.104647 126.084532) + (xy 164.182793 125.162678) + (xy 164.164487 125.118484) + (xy 164.165353 125.108115) + (xy 164.181767 125.010552) + (xy 164.181896 125) + (xy 164.164536 124.878781) + (xy 164.113852 124.767307) + (xy 164.099199 124.750302) + (xy 164.097708 124.747375) + (xy 164.0919 124.741567) + (xy 164.088746 124.73817) + (xy 164.036826 124.677914) + (xy 164.033918 124.674539) + (xy 164.013741 124.661461) + (xy 164.010155 124.658559) + (xy 164.010081 124.658661) + (xy 164.006106 124.655773) + (xy 164.002625 124.652292) + (xy 163.991343 124.646543) + (xy 163.985736 124.643309) + (xy 163.934893 124.610354) + (xy 163.93489 124.610353) + (xy 163.93116 124.607935) + (xy 163.926901 124.606661) + (xy 163.926898 124.60666) + (xy 163.908345 124.601112) + (xy 163.898839 124.598269) + (xy 163.888377 124.594079) + (xy 163.882813 124.591244) + (xy 163.871067 124.589384) + (xy 163.862951 124.587536) + (xy 163.813838 124.572848) + (xy 163.769496 124.572577) + (xy 163.760103 124.571809) + (xy 163.754857 124.570978) + (xy 163.754856 124.570978) + (xy 163.75 124.570209) + (xy 163.741373 124.571576) + (xy 163.73122 124.572344) + (xy 163.712836 124.572231) + (xy 163.695838 124.572127) + (xy 163.695836 124.572127) + (xy 163.691385 124.5721) + (xy 163.637011 124.587641) + (xy 163.629623 124.589275) + (xy 163.617187 124.591244) + (xy 163.612804 124.593477) + (xy 163.61187 124.593781) + (xy 163.602155 124.597603) + (xy 163.577929 124.604526) + (xy 163.577927 124.604527) + (xy 163.573644 124.605751) + (xy 163.514119 124.643309) + (xy 163.512819 124.644129) + (xy 163.507842 124.646959) + (xy 163.501757 124.650059) + (xy 163.501756 124.65006) + (xy 163.497375 124.652292) + (xy 163.493897 124.65577) + (xy 163.492445 124.656825) + (xy 163.489058 124.65912) + (xy 163.47008 124.671095) + (xy 163.467137 124.674427) + (xy 163.467135 124.674429) + (xy 163.407156 124.742342) + (xy 163.406032 124.743538) + (xy 163.405775 124.743892) + (xy 163.405554 124.744113) + (xy 163.405405 124.744204) + (xy 163.404504 124.745163) + (xy 163.402292 124.747375) + (xy 163.401509 124.746592) + (xy 163.400362 124.747296) + (xy 163.401721 124.748496) + (xy 163.389018 124.762879) + (xy 163.387127 124.766907) + (xy 163.338868 124.869695) + (xy 163.338867 124.869698) + (xy 163.336976 124.873726) + (xy 163.318136 124.994724) + (xy 163.318713 124.999137) + (xy 163.318713 124.999138) + (xy 163.324788 125.045593) + (xy 163.334014 125.116145) + (xy 163.341185 125.132443) + (xy 163.341244 125.132813) + (xy 163.342007 125.134311) + (xy 163.383333 125.22823) + (xy 163.397272 125.244813) + (xy 163.398029 125.245713) + (xy 163.400157 125.248434) + (xy 163.402292 125.252625) + (xy 163.410081 125.260414) + (xy 163.413729 125.264391) + (xy 163.462127 125.321968) + (xy 163.485399 125.337459) + (xy 163.491836 125.342733) + (xy 163.493899 125.344232) + (xy 163.497375 125.347708) + (xy 163.501753 125.349939) + (xy 163.501756 125.349941) + (xy 163.50873 125.353494) + (xy 163.514977 125.357148) + (xy 163.564064 125.389823) + (xy 163.568313 125.391151) + (xy 163.568314 125.391151) + (xy 163.600296 125.401143) + (xy 163.610027 125.405109) + (xy 163.612799 125.406521) + (xy 163.612803 125.406522) + (xy 163.617187 125.408756) + (xy 163.627852 125.410445) + (xy 163.636713 125.41252) + (xy 163.676696 125.425012) + (xy 163.676699 125.425012) + (xy 163.680948 125.42634) + (xy 163.730069 125.427241) + (xy 163.738683 125.427999) + (xy 163.74514 125.429022) + (xy 163.745144 125.429022) + (xy 163.75 125.429791) + (xy 163.756947 125.42869) + (xy 163.767853 125.427933) + (xy 163.803383 125.428584) + (xy 163.808019 125.42732) + (xy 163.850968 125.415611) + (xy 163.898421 125.421648) + (xy 163.911601 125.431716) + (xy 164.790694 126.310809) + (xy 164.809 126.355003) + (xy 164.809 126.9375) + (xy 164.790694 126.981694) + (xy 164.7465 127) + (xy 162.7535 127) + (xy 162.709306 126.981694) + (xy 162.691 126.9375) + (xy 162.691 126.293232) + (xy 162.69225 126.285893) + (xy 162.691523 126.28581) + (xy 162.69232 126.278819) + (xy 162.694652 126.272178) + (xy 162.691383 126.2428) + (xy 162.691 126.235888) + (xy 162.691 126.228467) + (xy 162.689423 126.221552) + (xy 162.688242 126.214569) + (xy 162.685793 126.192562) + (xy 162.685015 126.185571) + (xy 162.681282 126.179608) + (xy 162.679912 126.175675) + (xy 162.678098 126.171905) + (xy 162.676532 126.165041) + (xy 162.658354 126.142229) + (xy 162.65426 126.136446) + (xy 162.652284 126.13329) + (xy 162.652279 126.133284) + (xy 162.650404 126.130289) + (xy 162.645191 126.125076) + (xy 162.640506 126.119832) + (xy 162.626612 126.102396) + (xy 162.626611 126.102396) + (xy 162.622225 126.096891) + (xy 162.615886 126.093833) + (xy 162.610385 126.089442) + (xy 162.610811 126.088908) + (xy 162.604647 126.084532) + (xy 161.682793 125.162678) + (xy 161.664487 125.118484) + (xy 161.665353 125.108115) + (xy 161.681767 125.010552) + (xy 161.681896 125) + (xy 161.664536 124.878781) + (xy 161.613852 124.767307) + (xy 161.599199 124.750302) + (xy 161.597708 124.747375) + (xy 161.5919 124.741567) + (xy 161.588746 124.73817) + (xy 161.536826 124.677914) + (xy 161.533918 124.674539) + (xy 161.513741 124.661461) + (xy 161.510155 124.658559) + (xy 161.510081 124.658661) + (xy 161.506106 124.655773) + (xy 161.502625 124.652292) + (xy 161.491343 124.646543) + (xy 161.485736 124.643309) + (xy 161.434893 124.610354) + (xy 161.43489 124.610353) + (xy 161.43116 124.607935) + (xy 161.426901 124.606661) + (xy 161.426898 124.60666) + (xy 161.408345 124.601112) + (xy 161.398839 124.598269) + (xy 161.388377 124.594079) + (xy 161.382813 124.591244) + (xy 161.371067 124.589384) + (xy 161.362951 124.587536) + (xy 161.313838 124.572848) + (xy 161.269496 124.572577) + (xy 161.260103 124.571809) + (xy 161.254857 124.570978) + (xy 161.254856 124.570978) + (xy 161.25 124.570209) + (xy 161.241373 124.571576) + (xy 161.23122 124.572344) + (xy 161.212836 124.572231) + (xy 161.195838 124.572127) + (xy 161.195836 124.572127) + (xy 161.191385 124.5721) + (xy 161.137011 124.587641) + (xy 161.129623 124.589275) + (xy 161.117187 124.591244) + (xy 161.112804 124.593477) + (xy 161.11187 124.593781) + (xy 161.102155 124.597603) + (xy 161.077929 124.604526) + (xy 161.077927 124.604527) + (xy 161.073644 124.605751) + (xy 161.014119 124.643309) + (xy 161.012819 124.644129) + (xy 161.007842 124.646959) + (xy 161.001757 124.650059) + (xy 161.001756 124.65006) + (xy 160.997375 124.652292) + (xy 160.993897 124.65577) + (xy 160.992445 124.656825) + (xy 160.989058 124.65912) + (xy 160.97008 124.671095) + (xy 160.967137 124.674427) + (xy 160.967135 124.674429) + (xy 160.907156 124.742342) + (xy 160.906032 124.743538) + (xy 160.905775 124.743892) + (xy 160.905554 124.744113) + (xy 160.905405 124.744204) + (xy 160.904504 124.745163) + (xy 160.902292 124.747375) + (xy 160.901509 124.746592) + (xy 160.900362 124.747296) + (xy 160.901721 124.748496) + (xy 160.889018 124.762879) + (xy 160.887127 124.766907) + (xy 160.838868 124.869695) + (xy 160.838867 124.869698) + (xy 160.836976 124.873726) + (xy 160.818136 124.994724) + (xy 160.818713 124.999137) + (xy 160.818713 124.999138) + (xy 160.824788 125.045593) + (xy 160.834014 125.116145) + (xy 160.841185 125.132443) + (xy 160.841244 125.132813) + (xy 160.842007 125.134311) + (xy 160.883333 125.22823) + (xy 160.897272 125.244813) + (xy 160.898029 125.245713) + (xy 160.900157 125.248434) + (xy 160.902292 125.252625) + (xy 160.910081 125.260414) + (xy 160.913729 125.264391) + (xy 160.962127 125.321968) + (xy 160.985399 125.337459) + (xy 160.991836 125.342733) + (xy 160.993899 125.344232) + (xy 160.997375 125.347708) + (xy 161.001753 125.349939) + (xy 161.001756 125.349941) + (xy 161.00873 125.353494) + (xy 161.014977 125.357148) + (xy 161.064064 125.389823) + (xy 161.068313 125.391151) + (xy 161.068314 125.391151) + (xy 161.100296 125.401143) + (xy 161.110027 125.405109) + (xy 161.112799 125.406521) + (xy 161.112803 125.406522) + (xy 161.117187 125.408756) + (xy 161.127852 125.410445) + (xy 161.136713 125.41252) + (xy 161.176696 125.425012) + (xy 161.176699 125.425012) + (xy 161.180948 125.42634) + (xy 161.230069 125.427241) + (xy 161.238683 125.427999) + (xy 161.24514 125.429022) + (xy 161.245144 125.429022) + (xy 161.25 125.429791) + (xy 161.256947 125.42869) + (xy 161.267853 125.427933) + (xy 161.303383 125.428584) + (xy 161.308019 125.42732) + (xy 161.350968 125.415611) + (xy 161.398421 125.421648) + (xy 161.411601 125.431716) + (xy 162.290694 126.310809) + (xy 162.309 126.355003) + (xy 162.309 126.9375) + (xy 162.290694 126.981694) + (xy 162.2465 127) + (xy 160.444 127) + (xy 160.399806 126.981694) + (xy 160.3815 126.9375) + (xy 160.3815 125.957472) + (xy 160.387754 125.930221) + (xy 160.407205 125.890074) + (xy 160.411451 125.881311) + (xy 160.413317 125.870224) + (xy 160.431367 125.762928) + (xy 160.431767 125.760552) + (xy 160.431896 125.75) + (xy 160.414536 125.628781) + (xy 160.363852 125.517307) + (xy 160.349199 125.500302) + (xy 160.347708 125.497375) + (xy 160.3419 125.491567) + (xy 160.338746 125.48817) + (xy 160.31602 125.461796) + (xy 160.283918 125.424539) + (xy 160.263741 125.411461) + (xy 160.260155 125.408559) + (xy 160.260081 125.408661) + (xy 160.256106 125.405773) + (xy 160.252625 125.402292) + (xy 160.241343 125.396543) + (xy 160.235736 125.393309) + (xy 160.184893 125.360354) + (xy 160.18489 125.360353) + (xy 160.18116 125.357935) + (xy 160.176901 125.356661) + (xy 160.176898 125.35666) + (xy 160.154429 125.349941) + (xy 160.148839 125.348269) + (xy 160.138362 125.344072) + (xy 160.137445 125.343604) + (xy 160.121642 125.332119) + (xy 154.803263 120.01374) + (xy 154.794951 120.003448) + (xy 154.788876 119.994039) + (xy 154.788873 119.994036) + (xy 154.786071 119.989696) + (xy 154.756589 119.966454) + (xy 154.751089 119.961566) + (xy 154.747352 119.957829) + (xy 154.745252 119.956328) + (xy 154.745248 119.956325) + (xy 154.730326 119.945661) + (xy 154.727971 119.943893) + (xy 154.716439 119.934802) + (xy 154.68633 119.911066) + (xy 154.681457 119.909355) + (xy 154.677977 119.907441) + (xy 154.674359 119.905668) + (xy 154.670157 119.902666) + (xy 154.619337 119.887468) + (xy 154.61654 119.886558) + (xy 154.566498 119.868984) + (xy 154.56258 119.868645) + (xy 154.562577 119.868644) + (xy 154.56224 119.868615) + (xy 154.562234 119.868615) + (xy 154.560909 119.8685) + (xy 154.56065 119.8685) + (xy 154.556646 119.868161) + (xy 154.553421 119.867754) + (xy 154.548476 119.866275) + (xy 154.493076 119.868452) + (xy 154.490622 119.8685) + (xy 140.019499 119.8685) + (xy 140.014106 119.868267) + (xy 139.974797 119.864862) + (xy 139.974794 119.864862) + (xy 139.969654 119.864417) + (xy 139.964647 119.865661) + (xy 139.964644 119.865661) + (xy 139.960636 119.866657) + (xy 139.945569 119.8685) + (xy 125.68391 119.8685) + (xy 125.639716 119.850194) + (xy 123.320606 117.531083) + (xy 148.898001 117.531083) + (xy 148.898333 117.535614) + (xy 148.907478 117.597743) + (xy 148.910326 117.60691) + (xy 148.957556 117.703105) + (xy 148.963484 117.711387) + (xy 149.039111 117.786883) + (xy 149.047406 117.792799) + (xy 149.143687 117.839863) + (xy 149.152851 117.842695) + (xy 149.214391 117.851672) + (xy 149.218904 117.852) + (xy 149.424069 117.852) + (xy 149.432859 117.848359) + (xy 149.4365 117.839569) + (xy 149.4365 117.839568) + (xy 149.5635 117.839568) + (xy 149.567141 117.848358) + (xy 149.575931 117.851999) + (xy 149.781083 117.851999) + (xy 149.785614 117.851667) + (xy 149.847743 117.842522) + (xy 149.85691 117.839674) + (xy 149.953105 117.792444) + (xy 149.961387 117.786516) + (xy 150.036883 117.710889) + (xy 150.042799 117.702594) + (xy 150.089863 117.606313) + (xy 150.092695 117.597149) + (xy 150.101672 117.535609) + (xy 150.102 117.531096) + (xy 150.102 117.531083) + (xy 155.648001 117.531083) + (xy 155.648333 117.535614) + (xy 155.657478 117.597743) + (xy 155.660326 117.60691) + (xy 155.707556 117.703105) + (xy 155.713484 117.711387) + (xy 155.789111 117.786883) + (xy 155.797406 117.792799) + (xy 155.893687 117.839863) + (xy 155.902851 117.842695) + (xy 155.964391 117.851672) + (xy 155.968904 117.852) + (xy 156.174069 117.852) + (xy 156.182859 117.848359) + (xy 156.1865 117.839569) + (xy 156.1865 117.839568) + (xy 156.3135 117.839568) + (xy 156.317141 117.848358) + (xy 156.325931 117.851999) + (xy 156.531083 117.851999) + (xy 156.535614 117.851667) + (xy 156.597743 117.842522) + (xy 156.60691 117.839674) + (xy 156.703105 117.792444) + (xy 156.711387 117.786516) + (xy 156.786883 117.710889) + (xy 156.792799 117.702594) + (xy 156.839863 117.606313) + (xy 156.842695 117.597149) + (xy 156.851672 117.535609) + (xy 156.852 117.531096) + (xy 156.852 117.531083) + (xy 163.648001 117.531083) + (xy 163.648333 117.535614) + (xy 163.657478 117.597743) + (xy 163.660326 117.60691) + (xy 163.707556 117.703105) + (xy 163.713484 117.711387) + (xy 163.789111 117.786883) + (xy 163.797406 117.792799) + (xy 163.893687 117.839863) + (xy 163.902851 117.842695) + (xy 163.964391 117.851672) + (xy 163.968904 117.852) + (xy 164.174069 117.852) + (xy 164.182859 117.848359) + (xy 164.1865 117.839569) + (xy 164.1865 117.839568) + (xy 164.3135 117.839568) + (xy 164.317141 117.848358) + (xy 164.325931 117.851999) + (xy 164.531083 117.851999) + (xy 164.535614 117.851667) + (xy 164.597743 117.842522) + (xy 164.60691 117.839674) + (xy 164.703105 117.792444) + (xy 164.711387 117.786516) + (xy 164.786883 117.710889) + (xy 164.792799 117.702594) + (xy 164.839863 117.606313) + (xy 164.842695 117.597149) + (xy 164.851672 117.535609) + (xy 164.852 117.531096) + (xy 164.852 117.531083) + (xy 166.398001 117.531083) + (xy 166.398333 117.535614) + (xy 166.407478 117.597743) + (xy 166.410326 117.60691) + (xy 166.457556 117.703105) + (xy 166.463484 117.711387) + (xy 166.539111 117.786883) + (xy 166.547406 117.792799) + (xy 166.643687 117.839863) + (xy 166.652851 117.842695) + (xy 166.714391 117.851672) + (xy 166.718904 117.852) + (xy 166.924069 117.852) + (xy 166.932859 117.848359) + (xy 166.9365 117.839569) + (xy 166.9365 117.839568) + (xy 167.0635 117.839568) + (xy 167.067141 117.848358) + (xy 167.075931 117.851999) + (xy 167.281083 117.851999) + (xy 167.285614 117.851667) + (xy 167.347743 117.842522) + (xy 167.35691 117.839674) + (xy 167.453105 117.792444) + (xy 167.461387 117.786516) + (xy 167.536883 117.710889) + (xy 167.542799 117.702594) + (xy 167.589863 117.606313) + (xy 167.592695 117.597149) + (xy 167.601672 117.535609) + (xy 167.602 117.531096) + (xy 167.602 117.350931) + (xy 167.598359 117.342141) + (xy 167.589569 117.3385) + (xy 167.075931 117.3385) + (xy 167.067141 117.342141) + (xy 167.0635 117.350931) + (xy 167.0635 117.839568) + (xy 166.9365 117.839568) + (xy 166.9365 117.350931) + (xy 166.932859 117.342141) + (xy 166.924069 117.3385) + (xy 166.410432 117.3385) + (xy 166.401642 117.342141) + (xy 166.398001 117.350931) + (xy 166.398001 117.531083) + (xy 164.852 117.531083) + (xy 164.852 117.350931) + (xy 164.848359 117.342141) + (xy 164.839569 117.3385) + (xy 164.325931 117.3385) + (xy 164.317141 117.342141) + (xy 164.3135 117.350931) + (xy 164.3135 117.839568) + (xy 164.1865 117.839568) + (xy 164.1865 117.350931) + (xy 164.182859 117.342141) + (xy 164.174069 117.3385) + (xy 163.660432 117.3385) + (xy 163.651642 117.342141) + (xy 163.648001 117.350931) + (xy 163.648001 117.531083) + (xy 156.852 117.531083) + (xy 156.852 117.350931) + (xy 156.848359 117.342141) + (xy 156.839569 117.3385) + (xy 156.325931 117.3385) + (xy 156.317141 117.342141) + (xy 156.3135 117.350931) + (xy 156.3135 117.839568) + (xy 156.1865 117.839568) + (xy 156.1865 117.350931) + (xy 156.182859 117.342141) + (xy 156.174069 117.3385) + (xy 155.660432 117.3385) + (xy 155.651642 117.342141) + (xy 155.648001 117.350931) + (xy 155.648001 117.531083) + (xy 150.102 117.531083) + (xy 150.102 117.350931) + (xy 150.098359 117.342141) + (xy 150.089569 117.3385) + (xy 149.575931 117.3385) + (xy 149.567141 117.342141) + (xy 149.5635 117.350931) + (xy 149.5635 117.839568) + (xy 149.4365 117.839568) + (xy 149.4365 117.350931) + (xy 149.432859 117.342141) + (xy 149.424069 117.3385) + (xy 148.910432 117.3385) + (xy 148.901642 117.342141) + (xy 148.898001 117.350931) + (xy 148.898001 117.531083) + (xy 123.320606 117.531083) + (xy 122.988592 117.199069) + (xy 148.898 117.199069) + (xy 148.901641 117.207859) + (xy 148.910431 117.2115) + (xy 149.424069 117.2115) + (xy 149.432859 117.207859) + (xy 149.4365 117.199069) + (xy 149.5635 117.199069) + (xy 149.567141 117.207859) + (xy 149.575931 117.2115) + (xy 150.089568 117.2115) + (xy 150.098358 117.207859) + (xy 150.101999 117.199069) + (xy 155.648 117.199069) + (xy 155.651641 117.207859) + (xy 155.660431 117.2115) + (xy 156.174069 117.2115) + (xy 156.182859 117.207859) + (xy 156.1865 117.199069) + (xy 156.3135 117.199069) + (xy 156.317141 117.207859) + (xy 156.325931 117.2115) + (xy 156.839568 117.2115) + (xy 156.848358 117.207859) + (xy 156.851999 117.199069) + (xy 163.648 117.199069) + (xy 163.651641 117.207859) + (xy 163.660431 117.2115) + (xy 164.174069 117.2115) + (xy 164.182859 117.207859) + (xy 164.1865 117.199069) + (xy 164.3135 117.199069) + (xy 164.317141 117.207859) + (xy 164.325931 117.2115) + (xy 164.839568 117.2115) + (xy 164.848358 117.207859) + (xy 164.851999 117.199069) + (xy 166.398 117.199069) + (xy 166.401641 117.207859) + (xy 166.410431 117.2115) + (xy 166.924069 117.2115) + (xy 166.932859 117.207859) + (xy 166.9365 117.199069) + (xy 167.0635 117.199069) + (xy 167.067141 117.207859) + (xy 167.075931 117.2115) + (xy 167.589568 117.2115) + (xy 167.598358 117.207859) + (xy 167.601999 117.199069) + (xy 167.601999 117.018917) + (xy 167.601667 117.014386) + (xy 167.592522 116.952257) + (xy 167.589674 116.94309) + (xy 167.542444 116.846895) + (xy 167.536516 116.838613) + (xy 167.460889 116.763117) + (xy 167.452594 116.757201) + (xy 167.356313 116.710137) + (xy 167.347149 116.707305) + (xy 167.285609 116.698328) + (xy 167.281096 116.698) + (xy 167.075931 116.698) + (xy 167.067141 116.701641) + (xy 167.0635 116.710431) + (xy 167.0635 117.199069) + (xy 166.9365 117.199069) + (xy 166.9365 116.710432) + (xy 166.932859 116.701642) + (xy 166.924069 116.698001) + (xy 166.718917 116.698001) + (xy 166.714386 116.698333) + (xy 166.652257 116.707478) + (xy 166.64309 116.710326) + (xy 166.546895 116.757556) + (xy 166.538613 116.763484) + (xy 166.463117 116.839111) + (xy 166.457201 116.847406) + (xy 166.410137 116.943687) + (xy 166.407305 116.952851) + (xy 166.398328 117.014391) + (xy 166.398 117.018904) + (xy 166.398 117.199069) + (xy 164.851999 117.199069) + (xy 164.851999 117.018917) + (xy 164.851667 117.014386) + (xy 164.842522 116.952257) + (xy 164.839674 116.94309) + (xy 164.792444 116.846895) + (xy 164.786516 116.838613) + (xy 164.710889 116.763117) + (xy 164.702594 116.757201) + (xy 164.606313 116.710137) + (xy 164.597149 116.707305) + (xy 164.535609 116.698328) + (xy 164.531096 116.698) + (xy 164.325931 116.698) + (xy 164.317141 116.701641) + (xy 164.3135 116.710431) + (xy 164.3135 117.199069) + (xy 164.1865 117.199069) + (xy 164.1865 116.710432) + (xy 164.182859 116.701642) + (xy 164.174069 116.698001) + (xy 163.968917 116.698001) + (xy 163.964386 116.698333) + (xy 163.902257 116.707478) + (xy 163.89309 116.710326) + (xy 163.796895 116.757556) + (xy 163.788613 116.763484) + (xy 163.713117 116.839111) + (xy 163.707201 116.847406) + (xy 163.660137 116.943687) + (xy 163.657305 116.952851) + (xy 163.648328 117.014391) + (xy 163.648 117.018904) + (xy 163.648 117.199069) + (xy 156.851999 117.199069) + (xy 156.851999 117.018917) + (xy 156.851667 117.014386) + (xy 156.842522 116.952257) + (xy 156.839674 116.94309) + (xy 156.792444 116.846895) + (xy 156.786516 116.838613) + (xy 156.710889 116.763117) + (xy 156.702594 116.757201) + (xy 156.606313 116.710137) + (xy 156.597149 116.707305) + (xy 156.535609 116.698328) + (xy 156.531096 116.698) + (xy 156.325931 116.698) + (xy 156.317141 116.701641) + (xy 156.3135 116.710431) + (xy 156.3135 117.199069) + (xy 156.1865 117.199069) + (xy 156.1865 116.710432) + (xy 156.182859 116.701642) + (xy 156.174069 116.698001) + (xy 155.968917 116.698001) + (xy 155.964386 116.698333) + (xy 155.902257 116.707478) + (xy 155.89309 116.710326) + (xy 155.796895 116.757556) + (xy 155.788613 116.763484) + (xy 155.713117 116.839111) + (xy 155.707201 116.847406) + (xy 155.660137 116.943687) + (xy 155.657305 116.952851) + (xy 155.648328 117.014391) + (xy 155.648 117.018904) + (xy 155.648 117.199069) + (xy 150.101999 117.199069) + (xy 150.101999 117.018917) + (xy 150.101667 117.014386) + (xy 150.092522 116.952257) + (xy 150.089674 116.94309) + (xy 150.042444 116.846895) + (xy 150.036516 116.838613) + (xy 149.960889 116.763117) + (xy 149.952594 116.757201) + (xy 149.856313 116.710137) + (xy 149.847149 116.707305) + (xy 149.785609 116.698328) + (xy 149.781096 116.698) + (xy 149.575931 116.698) + (xy 149.567141 116.701641) + (xy 149.5635 116.710431) + (xy 149.5635 117.199069) + (xy 149.4365 117.199069) + (xy 149.4365 116.710432) + (xy 149.432859 116.701642) + (xy 149.424069 116.698001) + (xy 149.218917 116.698001) + (xy 149.214386 116.698333) + (xy 149.152257 116.707478) + (xy 149.14309 116.710326) + (xy 149.046895 116.757556) + (xy 149.038613 116.763484) + (xy 148.963117 116.839111) + (xy 148.957201 116.847406) + (xy 148.910137 116.943687) + (xy 148.907305 116.952851) + (xy 148.898328 117.014391) + (xy 148.898 117.018904) + (xy 148.898 117.199069) + (xy 122.988592 117.199069) + (xy 121.419406 115.629883) + (xy 121.406705 115.611558) + (xy 121.398649 115.59384) + (xy 121.363852 115.517307) + (xy 121.349199 115.500302) + (xy 121.347708 115.497375) + (xy 121.3419 115.491567) + (xy 121.338746 115.48817) + (xy 121.286826 115.427914) + (xy 121.283918 115.424539) + (xy 121.263741 115.411461) + (xy 121.260155 115.408559) + (xy 121.260081 115.408661) + (xy 121.256106 115.405773) + (xy 121.252625 115.402292) + (xy 121.241343 115.396543) + (xy 121.235736 115.393309) + (xy 121.184893 115.360354) + (xy 121.18489 115.360353) + (xy 121.18116 115.357935) + (xy 121.176901 115.356661) + (xy 121.176898 115.35666) + (xy 121.158345 115.351112) + (xy 121.148839 115.348269) + (xy 121.138377 115.344079) + (xy 121.132813 115.341244) + (xy 121.121067 115.339384) + (xy 121.112951 115.337536) + (xy 121.063838 115.322848) + (xy 121.019496 115.322577) + (xy 121.010103 115.321809) + (xy 121.004857 115.320978) + (xy 121.004856 115.320978) + (xy 121 115.320209) + (xy 120.991373 115.321576) + (xy 120.98122 115.322344) + (xy 120.962836 115.322231) + (xy 120.945838 115.322127) + (xy 120.945836 115.322127) + (xy 120.941385 115.3221) + (xy 120.887011 115.337641) + (xy 120.879623 115.339275) + (xy 120.867187 115.341244) + (xy 120.862804 115.343477) + (xy 120.86187 115.343781) + (xy 120.852156 115.347603) + (xy 120.849821 115.34827) + (xy 120.827929 115.354526) + (xy 120.827927 115.354527) + (xy 120.823644 115.355751) + (xy 120.764119 115.393309) + (xy 120.762819 115.394129) + (xy 120.757842 115.396959) + (xy 120.751757 115.400059) + (xy 120.751756 115.40006) + (xy 120.747375 115.402292) + (xy 120.743897 115.40577) + (xy 120.742445 115.406825) + (xy 120.739058 115.40912) + (xy 120.72008 115.421095) + (xy 120.717137 115.424427) + (xy 120.717135 115.424429) + (xy 120.657156 115.492342) + (xy 120.656032 115.493538) + (xy 120.655775 115.493892) + (xy 120.655554 115.494113) + (xy 120.655405 115.494204) + (xy 120.654504 115.495163) + (xy 120.652292 115.497375) + (xy 120.651509 115.496592) + (xy 120.650362 115.497296) + (xy 120.651721 115.498496) + (xy 120.639018 115.512879) + (xy 120.637127 115.516907) + (xy 120.588868 115.619695) + (xy 120.588867 115.619698) + (xy 120.586976 115.623726) + (xy 120.568136 115.744724) + (xy 120.568713 115.749137) + (xy 120.568713 115.749138) + (xy 120.578237 115.821968) + (xy 120.584014 115.866145) + (xy 120.591185 115.882443) + (xy 120.591244 115.882813) + (xy 120.592007 115.884311) + (xy 120.594031 115.88891) + (xy 120.594031 115.888911) + (xy 120.611681 115.929022) + (xy 120.633333 115.97823) + (xy 120.636197 115.981637) + (xy 120.648029 115.995713) + (xy 120.650157 115.998434) + (xy 120.652292 116.002625) + (xy 120.660081 116.010414) + (xy 120.66373 116.014392) + (xy 120.707289 116.066212) + (xy 120.712127 116.071968) + (xy 120.735399 116.087459) + (xy 120.741836 116.092733) + (xy 120.743899 116.094232) + (xy 120.747375 116.097708) + (xy 120.751753 116.099939) + (xy 120.751756 116.099941) + (xy 120.75873 116.103494) + (xy 120.764977 116.107148) + (xy 120.814064 116.139823) + (xy 120.818313 116.141151) + (xy 120.818314 116.141151) + (xy 120.850296 116.151143) + (xy 120.860024 116.155107) + (xy 120.862535 116.156386) + (xy 120.878362 116.167884) + (xy 125.196737 120.48626) + (xy 125.205049 120.496552) + (xy 125.211124 120.505961) + (xy 125.211127 120.505964) + (xy 125.213929 120.510304) + (xy 125.217987 120.513503) + (xy 125.243411 120.533546) + (xy 125.248911 120.538434) + (xy 125.252648 120.542171) + (xy 125.254748 120.543672) + (xy 125.254752 120.543675) + (xy 125.269674 120.554339) + (xy 125.272029 120.556107) + (xy 125.31367 120.588934) + (xy 125.318543 120.590645) + (xy 125.322023 120.592559) + (xy 125.325641 120.594332) + (xy 125.329843 120.597334) + (xy 125.334787 120.598813) + (xy 125.334788 120.598813) + (xy 125.380659 120.612531) + (xy 125.383456 120.613441) + (xy 125.433502 120.631016) + (xy 125.43742 120.631355) + (xy 125.437423 120.631356) + (xy 125.43776 120.631385) + (xy 125.437766 120.631385) + (xy 125.439091 120.6315) + (xy 125.43935 120.6315) + (xy 125.443354 120.631839) + (xy 125.446579 120.632246) + (xy 125.451524 120.633725) + (xy 125.506925 120.631548) + (xy 125.509378 120.6315) + (xy 139.556 120.6315) + (xy 139.600194 120.649806) + (xy 139.6185 120.694) + (xy 139.6185 125.542639) + (xy 139.612575 125.5692) + (xy 139.588869 125.619692) + (xy 139.588867 125.619698) + (xy 139.586976 125.623726) + (xy 139.568136 125.744724) + (xy 139.568713 125.749137) + (xy 139.568713 125.749138) + (xy 139.583436 125.861726) + (xy 139.584014 125.866145) + (xy 139.591185 125.882443) + (xy 139.591244 125.882813) + (xy 139.592007 125.884311) + (xy 139.594031 125.88891) + (xy 139.594031 125.888911) + (xy 139.613207 125.932491) + (xy 139.6185 125.957663) + (xy 139.6185 126.9375) + (xy 139.600194 126.981694) + (xy 139.556 127) + (xy 137.7535 127) + (xy 137.709306 126.981694) + (xy 137.691 126.9375) + (xy 137.691 126.355003) + (xy 137.709306 126.310809) + (xy 138.587788 125.432327) + (xy 138.631982 125.414021) + (xy 138.65062 125.416865) + (xy 138.676696 125.425012) + (xy 138.676699 125.425012) + (xy 138.680948 125.42634) + (xy 138.730069 125.427241) + (xy 138.738683 125.427999) + (xy 138.74514 125.429022) + (xy 138.745144 125.429022) + (xy 138.75 125.429791) + (xy 138.756947 125.42869) + (xy 138.767853 125.427933) + (xy 138.803383 125.428584) + (xy 138.863532 125.412185) + (xy 138.87019 125.410755) + (xy 138.872147 125.410445) + (xy 138.882813 125.408756) + (xy 138.887196 125.406522) + (xy 138.891875 125.405002) + (xy 138.891878 125.40501) + (xy 138.896727 125.403136) + (xy 138.921527 125.396375) + (xy 138.926521 125.393309) + (xy 138.989709 125.354511) + (xy 138.994037 125.352085) + (xy 138.99824 125.349944) + (xy 138.998248 125.349938) + (xy 139.002625 125.347708) + (xy 139.005427 125.344906) + (xy 139.006264 125.344346) + (xy 139.025881 125.332301) + (xy 139.108058 125.241513) + (xy 139.161451 125.131311) + (xy 139.163317 125.120224) + (xy 139.181367 125.012928) + (xy 139.181367 125.012927) + (xy 139.181767 125.010552) + (xy 139.181896 125) + (xy 139.164536 124.878781) + (xy 139.113852 124.767307) + (xy 139.099199 124.750302) + (xy 139.097708 124.747375) + (xy 139.0919 124.741567) + (xy 139.088746 124.73817) + (xy 139.036826 124.677914) + (xy 139.033918 124.674539) + (xy 139.013741 124.661461) + (xy 139.010155 124.658559) + (xy 139.010081 124.658661) + (xy 139.006106 124.655773) + (xy 139.002625 124.652292) + (xy 138.991343 124.646543) + (xy 138.985736 124.643309) + (xy 138.934893 124.610354) + (xy 138.93489 124.610353) + (xy 138.93116 124.607935) + (xy 138.926901 124.606661) + (xy 138.926898 124.60666) + (xy 138.908345 124.601112) + (xy 138.898839 124.598269) + (xy 138.888377 124.594079) + (xy 138.882813 124.591244) + (xy 138.871067 124.589384) + (xy 138.862951 124.587536) + (xy 138.813838 124.572848) + (xy 138.769496 124.572577) + (xy 138.760103 124.571809) + (xy 138.754857 124.570978) + (xy 138.754856 124.570978) + (xy 138.75 124.570209) + (xy 138.741373 124.571576) + (xy 138.73122 124.572344) + (xy 138.712836 124.572231) + (xy 138.695838 124.572127) + (xy 138.695836 124.572127) + (xy 138.691385 124.5721) + (xy 138.637011 124.587641) + (xy 138.629623 124.589275) + (xy 138.617187 124.591244) + (xy 138.612804 124.593477) + (xy 138.61187 124.593781) + (xy 138.602155 124.597603) + (xy 138.577929 124.604526) + (xy 138.577927 124.604527) + (xy 138.573644 124.605751) + (xy 138.514119 124.643309) + (xy 138.512819 124.644129) + (xy 138.507842 124.646959) + (xy 138.501757 124.650059) + (xy 138.501756 124.65006) + (xy 138.497375 124.652292) + (xy 138.493897 124.65577) + (xy 138.492445 124.656825) + (xy 138.489058 124.65912) + (xy 138.47008 124.671095) + (xy 138.467137 124.674427) + (xy 138.467135 124.674429) + (xy 138.407156 124.742342) + (xy 138.406032 124.743538) + (xy 138.405775 124.743892) + (xy 138.405554 124.744113) + (xy 138.405405 124.744204) + (xy 138.404504 124.745163) + (xy 138.402292 124.747375) + (xy 138.401509 124.746592) + (xy 138.400362 124.747296) + (xy 138.401721 124.748496) + (xy 138.389018 124.762879) + (xy 138.387127 124.766907) + (xy 138.338868 124.869695) + (xy 138.338867 124.869698) + (xy 138.336976 124.873726) + (xy 138.318136 124.994724) + (xy 138.318713 124.999137) + (xy 138.318713 124.999138) + (xy 138.323366 125.034716) + (xy 138.333437 125.111726) + (xy 138.33346 125.111905) + (xy 138.32104 125.158099) + (xy 138.315682 125.164203) + (xy 137.395513 126.084372) + (xy 137.389439 126.088677) + (xy 137.389895 126.08925) + (xy 137.384387 126.093631) + (xy 137.378042 126.096678) + (xy 137.373646 126.102175) + (xy 137.359582 126.119761) + (xy 137.354965 126.12492) + (xy 137.349716 126.130169) + (xy 137.345943 126.136172) + (xy 137.341838 126.141948) + (xy 137.323617 126.164733) + (xy 137.32204 126.171593) + (xy 137.320222 126.175353) + (xy 137.318844 126.179289) + (xy 137.315098 126.185248) + (xy 137.314307 126.192242) + (xy 137.314307 126.192243) + (xy 137.311821 126.214236) + (xy 137.310629 126.221213) + (xy 137.309 126.228297) + (xy 137.309 126.235671) + (xy 137.308604 126.242692) + (xy 137.305309 126.271838) + (xy 137.30763 126.278483) + (xy 137.308414 126.285477) + (xy 137.307736 126.285553) + (xy 137.309 126.293005) + (xy 137.309 126.9375) + (xy 137.290694 126.981694) + (xy 137.2465 127) + (xy 135.2535 127) + (xy 135.209306 126.981694) + (xy 135.191 126.9375) + (xy 135.191 126.355003) + (xy 135.209306 126.310809) + (xy 136.087788 125.432327) + (xy 136.131982 125.414021) + (xy 136.15062 125.416865) + (xy 136.176696 125.425012) + (xy 136.176699 125.425012) + (xy 136.180948 125.42634) + (xy 136.230069 125.427241) + (xy 136.238683 125.427999) + (xy 136.24514 125.429022) + (xy 136.245144 125.429022) + (xy 136.25 125.429791) + (xy 136.256947 125.42869) + (xy 136.267853 125.427933) + (xy 136.303383 125.428584) + (xy 136.363532 125.412185) + (xy 136.37019 125.410755) + (xy 136.372147 125.410445) + (xy 136.382813 125.408756) + (xy 136.387196 125.406522) + (xy 136.391875 125.405002) + (xy 136.391878 125.40501) + (xy 136.396727 125.403136) + (xy 136.421527 125.396375) + (xy 136.426521 125.393309) + (xy 136.489709 125.354511) + (xy 136.494037 125.352085) + (xy 136.49824 125.349944) + (xy 136.498248 125.349938) + (xy 136.502625 125.347708) + (xy 136.505427 125.344906) + (xy 136.506264 125.344346) + (xy 136.525881 125.332301) + (xy 136.608058 125.241513) + (xy 136.661451 125.131311) + (xy 136.663317 125.120224) + (xy 136.681367 125.012928) + (xy 136.681367 125.012927) + (xy 136.681767 125.010552) + (xy 136.681896 125) + (xy 136.664536 124.878781) + (xy 136.613852 124.767307) + (xy 136.599199 124.750302) + (xy 136.597708 124.747375) + (xy 136.5919 124.741567) + (xy 136.588746 124.73817) + (xy 136.536826 124.677914) + (xy 136.533918 124.674539) + (xy 136.513741 124.661461) + (xy 136.510155 124.658559) + (xy 136.510081 124.658661) + (xy 136.506106 124.655773) + (xy 136.502625 124.652292) + (xy 136.491343 124.646543) + (xy 136.485736 124.643309) + (xy 136.434893 124.610354) + (xy 136.43489 124.610353) + (xy 136.43116 124.607935) + (xy 136.426901 124.606661) + (xy 136.426898 124.60666) + (xy 136.408345 124.601112) + (xy 136.398839 124.598269) + (xy 136.388377 124.594079) + (xy 136.382813 124.591244) + (xy 136.371067 124.589384) + (xy 136.362951 124.587536) + (xy 136.313838 124.572848) + (xy 136.269496 124.572577) + (xy 136.260103 124.571809) + (xy 136.254857 124.570978) + (xy 136.254856 124.570978) + (xy 136.25 124.570209) + (xy 136.241373 124.571576) + (xy 136.23122 124.572344) + (xy 136.212836 124.572231) + (xy 136.195838 124.572127) + (xy 136.195836 124.572127) + (xy 136.191385 124.5721) + (xy 136.137011 124.587641) + (xy 136.129623 124.589275) + (xy 136.117187 124.591244) + (xy 136.112804 124.593477) + (xy 136.11187 124.593781) + (xy 136.102155 124.597603) + (xy 136.077929 124.604526) + (xy 136.077927 124.604527) + (xy 136.073644 124.605751) + (xy 136.014119 124.643309) + (xy 136.012819 124.644129) + (xy 136.007842 124.646959) + (xy 136.001757 124.650059) + (xy 136.001756 124.65006) + (xy 135.997375 124.652292) + (xy 135.993897 124.65577) + (xy 135.992445 124.656825) + (xy 135.989058 124.65912) + (xy 135.97008 124.671095) + (xy 135.967137 124.674427) + (xy 135.967135 124.674429) + (xy 135.907156 124.742342) + (xy 135.906032 124.743538) + (xy 135.905775 124.743892) + (xy 135.905554 124.744113) + (xy 135.905405 124.744204) + (xy 135.904504 124.745163) + (xy 135.902292 124.747375) + (xy 135.901509 124.746592) + (xy 135.900362 124.747296) + (xy 135.901721 124.748496) + (xy 135.889018 124.762879) + (xy 135.887127 124.766907) + (xy 135.838868 124.869695) + (xy 135.838867 124.869698) + (xy 135.836976 124.873726) + (xy 135.818136 124.994724) + (xy 135.818713 124.999137) + (xy 135.818713 124.999138) + (xy 135.823366 125.034716) + (xy 135.833437 125.111726) + (xy 135.83346 125.111905) + (xy 135.82104 125.158099) + (xy 135.815682 125.164203) + (xy 134.895513 126.084372) + (xy 134.889439 126.088677) + (xy 134.889895 126.08925) + (xy 134.884387 126.093631) + (xy 134.878042 126.096678) + (xy 134.873646 126.102175) + (xy 134.859582 126.119761) + (xy 134.854965 126.12492) + (xy 134.849716 126.130169) + (xy 134.845943 126.136172) + (xy 134.841838 126.141948) + (xy 134.823617 126.164733) + (xy 134.82204 126.171593) + (xy 134.820222 126.175353) + (xy 134.818844 126.179289) + (xy 134.815098 126.185248) + (xy 134.814307 126.192242) + (xy 134.814307 126.192243) + (xy 134.811821 126.214236) + (xy 134.810629 126.221213) + (xy 134.809 126.228297) + (xy 134.809 126.235671) + (xy 134.808604 126.242692) + (xy 134.805309 126.271838) + (xy 134.80763 126.278483) + (xy 134.808414 126.285477) + (xy 134.807736 126.285553) + (xy 134.809 126.293005) + (xy 134.809 126.9375) + (xy 134.790694 126.981694) + (xy 134.7465 127) + (xy 132.7535 127) + (xy 132.709306 126.981694) + (xy 132.691 126.9375) + (xy 132.691 126.355003) + (xy 132.709306 126.310809) + (xy 133.587788 125.432327) + (xy 133.631982 125.414021) + (xy 133.65062 125.416865) + (xy 133.676696 125.425012) + (xy 133.676699 125.425012) + (xy 133.680948 125.42634) + (xy 133.730069 125.427241) + (xy 133.738683 125.427999) + (xy 133.74514 125.429022) + (xy 133.745144 125.429022) + (xy 133.75 125.429791) + (xy 133.756947 125.42869) + (xy 133.767853 125.427933) + (xy 133.803383 125.428584) + (xy 133.863532 125.412185) + (xy 133.87019 125.410755) + (xy 133.872147 125.410445) + (xy 133.882813 125.408756) + (xy 133.887196 125.406522) + (xy 133.891875 125.405002) + (xy 133.891878 125.40501) + (xy 133.896727 125.403136) + (xy 133.921527 125.396375) + (xy 133.926521 125.393309) + (xy 133.989709 125.354511) + (xy 133.994037 125.352085) + (xy 133.99824 125.349944) + (xy 133.998248 125.349938) + (xy 134.002625 125.347708) + (xy 134.005427 125.344906) + (xy 134.006264 125.344346) + (xy 134.025881 125.332301) + (xy 134.108058 125.241513) + (xy 134.161451 125.131311) + (xy 134.163317 125.120224) + (xy 134.181367 125.012928) + (xy 134.181367 125.012927) + (xy 134.181767 125.010552) + (xy 134.181896 125) + (xy 134.164536 124.878781) + (xy 134.113852 124.767307) + (xy 134.099199 124.750302) + (xy 134.097708 124.747375) + (xy 134.0919 124.741567) + (xy 134.088746 124.73817) + (xy 134.036826 124.677914) + (xy 134.033918 124.674539) + (xy 134.013741 124.661461) + (xy 134.010155 124.658559) + (xy 134.010081 124.658661) + (xy 134.006106 124.655773) + (xy 134.002625 124.652292) + (xy 133.991343 124.646543) + (xy 133.985736 124.643309) + (xy 133.934893 124.610354) + (xy 133.93489 124.610353) + (xy 133.93116 124.607935) + (xy 133.926901 124.606661) + (xy 133.926898 124.60666) + (xy 133.908345 124.601112) + (xy 133.898839 124.598269) + (xy 133.888377 124.594079) + (xy 133.882813 124.591244) + (xy 133.871067 124.589384) + (xy 133.862951 124.587536) + (xy 133.813838 124.572848) + (xy 133.769496 124.572577) + (xy 133.760103 124.571809) + (xy 133.754857 124.570978) + (xy 133.754856 124.570978) + (xy 133.75 124.570209) + (xy 133.741373 124.571576) + (xy 133.73122 124.572344) + (xy 133.712836 124.572231) + (xy 133.695838 124.572127) + (xy 133.695836 124.572127) + (xy 133.691385 124.5721) + (xy 133.637011 124.587641) + (xy 133.629623 124.589275) + (xy 133.617187 124.591244) + (xy 133.612804 124.593477) + (xy 133.61187 124.593781) + (xy 133.602155 124.597603) + (xy 133.577929 124.604526) + (xy 133.577927 124.604527) + (xy 133.573644 124.605751) + (xy 133.514119 124.643309) + (xy 133.512819 124.644129) + (xy 133.507842 124.646959) + (xy 133.501757 124.650059) + (xy 133.501756 124.65006) + (xy 133.497375 124.652292) + (xy 133.493897 124.65577) + (xy 133.492445 124.656825) + (xy 133.489058 124.65912) + (xy 133.47008 124.671095) + (xy 133.467137 124.674427) + (xy 133.467135 124.674429) + (xy 133.407156 124.742342) + (xy 133.406032 124.743538) + (xy 133.405775 124.743892) + (xy 133.405554 124.744113) + (xy 133.405405 124.744204) + (xy 133.404504 124.745163) + (xy 133.402292 124.747375) + (xy 133.401509 124.746592) + (xy 133.400362 124.747296) + (xy 133.401721 124.748496) + (xy 133.389018 124.762879) + (xy 133.387127 124.766907) + (xy 133.338868 124.869695) + (xy 133.338867 124.869698) + (xy 133.336976 124.873726) + (xy 133.318136 124.994724) + (xy 133.318713 124.999137) + (xy 133.318713 124.999138) + (xy 133.323366 125.034716) + (xy 133.333437 125.111726) + (xy 133.33346 125.111905) + (xy 133.32104 125.158099) + (xy 133.315682 125.164203) + (xy 132.395513 126.084372) + (xy 132.389439 126.088677) + (xy 132.389895 126.08925) + (xy 132.384387 126.093631) + (xy 132.378042 126.096678) + (xy 132.373646 126.102175) + (xy 132.359582 126.119761) + (xy 132.354965 126.12492) + (xy 132.349716 126.130169) + (xy 132.345943 126.136172) + (xy 132.341838 126.141948) + (xy 132.323617 126.164733) + (xy 132.32204 126.171593) + (xy 132.320222 126.175353) + (xy 132.318844 126.179289) + (xy 132.315098 126.185248) + (xy 132.314307 126.192242) + (xy 132.314307 126.192243) + (xy 132.311821 126.214236) + (xy 132.310629 126.221213) + (xy 132.309 126.228297) + (xy 132.309 126.235671) + (xy 132.308604 126.242692) + (xy 132.305309 126.271838) + (xy 132.30763 126.278483) + (xy 132.308414 126.285477) + (xy 132.307736 126.285553) + (xy 132.309 126.293005) + (xy 132.309 126.9375) + (xy 132.290694 126.981694) + (xy 132.2465 127) + (xy 130.2535 127) + (xy 130.209306 126.981694) + (xy 130.191 126.9375) + (xy 130.191 126.355003) + (xy 130.209306 126.310809) + (xy 131.087788 125.432327) + (xy 131.131982 125.414021) + (xy 131.15062 125.416865) + (xy 131.176696 125.425012) + (xy 131.176699 125.425012) + (xy 131.180948 125.42634) + (xy 131.230069 125.427241) + (xy 131.238683 125.427999) + (xy 131.24514 125.429022) + (xy 131.245144 125.429022) + (xy 131.25 125.429791) + (xy 131.256947 125.42869) + (xy 131.267853 125.427933) + (xy 131.303383 125.428584) + (xy 131.363532 125.412185) + (xy 131.37019 125.410755) + (xy 131.372147 125.410445) + (xy 131.382813 125.408756) + (xy 131.387196 125.406522) + (xy 131.391875 125.405002) + (xy 131.391878 125.40501) + (xy 131.396727 125.403136) + (xy 131.421527 125.396375) + (xy 131.426521 125.393309) + (xy 131.489709 125.354511) + (xy 131.494037 125.352085) + (xy 131.49824 125.349944) + (xy 131.498248 125.349938) + (xy 131.502625 125.347708) + (xy 131.505427 125.344906) + (xy 131.506264 125.344346) + (xy 131.525881 125.332301) + (xy 131.608058 125.241513) + (xy 131.661451 125.131311) + (xy 131.663317 125.120224) + (xy 131.681367 125.012928) + (xy 131.681367 125.012927) + (xy 131.681767 125.010552) + (xy 131.681896 125) + (xy 131.664536 124.878781) + (xy 131.613852 124.767307) + (xy 131.599199 124.750302) + (xy 131.597708 124.747375) + (xy 131.5919 124.741567) + (xy 131.588746 124.73817) + (xy 131.536826 124.677914) + (xy 131.533918 124.674539) + (xy 131.513741 124.661461) + (xy 131.510155 124.658559) + (xy 131.510081 124.658661) + (xy 131.506106 124.655773) + (xy 131.502625 124.652292) + (xy 131.491343 124.646543) + (xy 131.485736 124.643309) + (xy 131.434893 124.610354) + (xy 131.43489 124.610353) + (xy 131.43116 124.607935) + (xy 131.426901 124.606661) + (xy 131.426898 124.60666) + (xy 131.408345 124.601112) + (xy 131.398839 124.598269) + (xy 131.388377 124.594079) + (xy 131.382813 124.591244) + (xy 131.371067 124.589384) + (xy 131.362951 124.587536) + (xy 131.313838 124.572848) + (xy 131.269496 124.572577) + (xy 131.260103 124.571809) + (xy 131.254857 124.570978) + (xy 131.254856 124.570978) + (xy 131.25 124.570209) + (xy 131.241373 124.571576) + (xy 131.23122 124.572344) + (xy 131.212836 124.572231) + (xy 131.195838 124.572127) + (xy 131.195836 124.572127) + (xy 131.191385 124.5721) + (xy 131.137011 124.587641) + (xy 131.129623 124.589275) + (xy 131.117187 124.591244) + (xy 131.112804 124.593477) + (xy 131.11187 124.593781) + (xy 131.102155 124.597603) + (xy 131.077929 124.604526) + (xy 131.077927 124.604527) + (xy 131.073644 124.605751) + (xy 131.014119 124.643309) + (xy 131.012819 124.644129) + (xy 131.007842 124.646959) + (xy 131.001757 124.650059) + (xy 131.001756 124.65006) + (xy 130.997375 124.652292) + (xy 130.993897 124.65577) + (xy 130.992445 124.656825) + (xy 130.989058 124.65912) + (xy 130.97008 124.671095) + (xy 130.967137 124.674427) + (xy 130.967135 124.674429) + (xy 130.907156 124.742342) + (xy 130.906032 124.743538) + (xy 130.905775 124.743892) + (xy 130.905554 124.744113) + (xy 130.905405 124.744204) + (xy 130.904504 124.745163) + (xy 130.902292 124.747375) + (xy 130.901509 124.746592) + (xy 130.900362 124.747296) + (xy 130.901721 124.748496) + (xy 130.889018 124.762879) + (xy 130.887127 124.766907) + (xy 130.838868 124.869695) + (xy 130.838867 124.869698) + (xy 130.836976 124.873726) + (xy 130.818136 124.994724) + (xy 130.818713 124.999137) + (xy 130.818713 124.999138) + (xy 130.823366 125.034716) + (xy 130.833437 125.111726) + (xy 130.83346 125.111905) + (xy 130.82104 125.158099) + (xy 130.815682 125.164203) + (xy 129.895513 126.084372) + (xy 129.889439 126.088677) + (xy 129.889895 126.08925) + (xy 129.884387 126.093631) + (xy 129.878042 126.096678) + (xy 129.873646 126.102175) + (xy 129.859582 126.119761) + (xy 129.854965 126.12492) + (xy 129.849716 126.130169) + (xy 129.845943 126.136172) + (xy 129.841838 126.141948) + (xy 129.823617 126.164733) + (xy 129.82204 126.171593) + (xy 129.820222 126.175353) + (xy 129.818844 126.179289) + (xy 129.815098 126.185248) + (xy 129.814307 126.192242) + (xy 129.814307 126.192243) + (xy 129.811821 126.214236) + (xy 129.810629 126.221213) + (xy 129.809 126.228297) + (xy 129.809 126.235671) + (xy 129.808604 126.242692) + (xy 129.805309 126.271838) + (xy 129.80763 126.278483) + (xy 129.808414 126.285477) + (xy 129.807736 126.285553) + (xy 129.809 126.293005) + (xy 129.809 126.9375) + (xy 129.790694 126.981694) + (xy 129.7465 127) + (xy 118.0125 127) + (xy 117.968306 126.981694) + (xy 117.95 126.9375) + (xy 117.95 125.005877) + (xy 117.950039 124.961589) + (xy 117.950039 124.961588) + (xy 117.950045 124.954551) + (xy 117.946834 124.947864) + (xy 117.943042 124.939966) + (xy 117.938448 124.926812) + (xy 117.936415 124.917901) + (xy 117.93485 124.911038) + (xy 117.924911 124.898565) + (xy 117.91745 124.886671) + (xy 117.910547 124.872295) + (xy 117.905049 124.867898) + (xy 117.897908 124.862187) + (xy 117.888065 124.852327) + (xy 117.887878 124.852092) + (xy 117.877985 124.839677) + (xy 117.871648 124.83662) + (xy 117.871645 124.836618) + (xy 117.863622 124.832749) + (xy 117.851738 124.825265) + (xy 117.844782 124.819702) + (xy 117.839285 124.815306) + (xy 117.832428 124.813729) + (xy 117.832423 124.813727) + (xy 117.823511 124.811678) + (xy 117.810366 124.807062) + (xy 117.81015 124.806958) + (xy 117.795798 124.800035) + (xy 117.774114 124.800016) + (xy 117.772799 124.800015) + (xy 117.772783 124.800014) + (xy 117.772723 124.8) + (xy 117.755877 124.8) + (xy 117.704808 124.799955) + (xy 117.704551 124.799955) + (xy 117.704472 124.799993) + (xy 117.704407 124.8) + (xy 112.405877 124.8) + (xy 112.354808 124.799955) + (xy 112.354551 124.799955) + (xy 112.354472 124.799993) + (xy 112.354407 124.8) + (xy 110.455877 124.8) + (xy 110.404808 124.799955) + (xy 110.404551 124.799955) + (xy 110.404472 124.799993) + (xy 110.404407 124.8) + (xy 105.905877 124.8) + (xy 105.861589 124.799961) + (xy 105.861588 124.799961) + (xy 105.854551 124.799955) + (xy 105.848206 124.803002) + (xy 105.848205 124.803002) + (xy 105.839966 124.806958) + (xy 105.826812 124.811552) + (xy 105.811038 124.81515) + (xy 105.805532 124.819537) + (xy 105.805533 124.819537) + (xy 105.798565 124.825089) + (xy 105.786672 124.832549) + (xy 105.772295 124.839453) + (xy 105.767899 124.84495) + (xy 105.767898 124.844951) + (xy 105.762187 124.852092) + (xy 105.752327 124.861935) + (xy 105.739677 124.872015) + (xy 105.73662 124.878352) + (xy 105.736618 124.878355) + (xy 105.732749 124.886378) + (xy 105.725266 124.898261) + (xy 105.715306 124.910715) + (xy 105.713729 124.917572) + (xy 105.713727 124.917577) + (xy 105.711678 124.926489) + (xy 105.707062 124.939634) + (xy 105.700035 124.954202) + (xy 105.700029 124.961239) + (xy 105.700015 124.977201) + (xy 105.700014 124.977217) + (xy 105.7 124.977277) + (xy 105.7 124.994123) + (xy 105.699984 125.012928) + (xy 105.699955 125.045449) + (xy 105.699993 125.045528) + (xy 105.7 125.045593) + (xy 105.7 131.2375) + (xy 105.681694 131.281694) + (xy 105.6375 131.3) + (xy 99.3625 131.3) + (xy 99.318306 131.281694) + (xy 99.3 131.2375) + (xy 99.3 128.289564) + (xy 101.241051 128.289564) + (xy 101.241386 128.292329) + (xy 101.267618 128.509108) + (xy 101.267619 128.509114) + (xy 101.267954 128.51188) + (xy 101.3338 128.725917) + (xy 101.436509 128.924912) + (xy 101.572833 129.102573) + (xy 101.574889 129.104444) + (xy 101.574891 129.104446) + (xy 101.736405 129.251413) + (xy 101.736409 129.251416) + (xy 101.738464 129.253286) + (xy 101.928167 129.372286) + (xy 101.930747 129.373323) + (xy 102.133361 129.454774) + (xy 102.133365 129.454775) + (xy 102.135944 129.455812) + (xy 102.248287 129.479077) + (xy 102.35298 129.500759) + (xy 102.352987 129.50076) + (xy 102.355228 129.501224) + (xy 102.412048 129.5045) + (xy 102.556819 129.5045) + (xy 102.558182 129.504378) + (xy 102.55819 129.504378) + (xy 102.720283 129.489911) + (xy 102.723051 129.489664) + (xy 102.846793 129.455812) + (xy 102.936366 129.431308) + (xy 102.936371 129.431306) + (xy 102.939051 129.430573) + (xy 103.141174 129.334166) + (xy 103.32303 129.203489) + (xy 103.324959 129.201499) + (xy 103.324963 129.201495) + (xy 103.476937 129.04467) + (xy 103.476938 129.044668) + (xy 103.478871 129.042674) + (xy 103.60377 128.856803) + (xy 103.693782 128.651752) + (xy 103.746059 128.434002) + (xy 103.754228 128.292329) + (xy 103.758789 128.213217) + (xy 103.758789 128.213213) + (xy 103.758949 128.210436) + (xy 103.741142 128.063286) + (xy 103.732382 127.990892) + (xy 103.732381 127.990886) + (xy 103.732046 127.98812) + (xy 103.6662 127.774083) + (xy 103.563491 127.575088) + (xy 103.530828 127.53252) + (xy 103.473129 127.457326) + (xy 103.427167 127.397427) + (xy 103.399773 127.3725) + (xy 103.263595 127.248587) + (xy 103.263591 127.248584) + (xy 103.261536 127.246714) + (xy 103.071833 127.127714) + (xy 102.92684 127.069427) + (xy 102.866639 127.045226) + (xy 102.866635 127.045225) + (xy 102.864056 127.044188) + (xy 102.751713 127.020923) + (xy 102.64702 126.999241) + (xy 102.647013 126.99924) + (xy 102.644772 126.998776) + (xy 102.587952 126.9955) + (xy 102.443181 126.9955) + (xy 102.441818 126.995622) + (xy 102.44181 126.995622) + (xy 102.296132 127.008624) + (xy 102.276949 127.010336) + (xy 102.194034 127.033019) + (xy 102.063634 127.068692) + (xy 102.063629 127.068694) + (xy 102.060949 127.069427) + (xy 101.858826 127.165834) + (xy 101.67697 127.296511) + (xy 101.675041 127.298501) + (xy 101.675037 127.298505) + (xy 101.58099 127.395554) + (xy 101.521129 127.457326) + (xy 101.39623 127.643197) + (xy 101.306218 127.848248) + (xy 101.253941 128.065998) + (xy 101.253781 128.068778) + (xy 101.25378 128.068783) + (xy 101.245613 128.210436) + (xy 101.241051 128.289564) + (xy 99.3 128.289564) + (xy 99.3 115.959438) + (xy 113.073 115.959438) + (xy 113.073599 115.965519) + (xy 113.079169 115.993518) + (xy 113.083788 116.00467) + (xy 113.105019 116.036445) + (xy 113.113555 116.044981) + (xy 113.14533 116.066212) + (xy 113.156482 116.070831) + (xy 113.184481 116.076401) + (xy 113.190562 116.077) + (xy 114.924069 116.077) + (xy 114.932859 116.073359) + (xy 114.9365 116.064569) + (xy 115.0635 116.064569) + (xy 115.067141 116.073359) + (xy 115.075931 116.077) + (xy 116.809438 116.077) + (xy 116.815519 116.076401) + (xy 116.843518 116.070831) + (xy 116.85467 116.066212) + (xy 116.886445 116.044981) + (xy 116.894981 116.036445) + (xy 116.916212 116.00467) + (xy 116.920831 115.993518) + (xy 116.926401 115.965519) + (xy 116.927 115.959438) + (xy 116.927 115.281083) + (xy 125.148001 115.281083) + (xy 125.148333 115.285614) + (xy 125.157478 115.347743) + (xy 125.160326 115.35691) + (xy 125.207556 115.453105) + (xy 125.213484 115.461387) + (xy 125.289111 115.536883) + (xy 125.297406 115.542799) + (xy 125.393687 115.589863) + (xy 125.402851 115.592695) + (xy 125.464391 115.601672) + (xy 125.468904 115.602) + (xy 125.674069 115.602) + (xy 125.682859 115.598359) + (xy 125.6865 115.589569) + (xy 125.6865 115.589568) + (xy 125.8135 115.589568) + (xy 125.817141 115.598358) + (xy 125.825931 115.601999) + (xy 126.031083 115.601999) + (xy 126.035614 115.601667) + (xy 126.097743 115.592522) + (xy 126.10691 115.589674) + (xy 126.203105 115.542444) + (xy 126.211387 115.536516) + (xy 126.286883 115.460889) + (xy 126.292799 115.452594) + (xy 126.339863 115.356313) + (xy 126.342695 115.347149) + (xy 126.351672 115.285609) + (xy 126.352 115.281096) + (xy 126.352 115.100931) + (xy 126.348359 115.092141) + (xy 126.339569 115.0885) + (xy 125.825931 115.0885) + (xy 125.817141 115.092141) + (xy 125.8135 115.100931) + (xy 125.8135 115.589568) + (xy 125.6865 115.589568) + (xy 125.6865 115.100931) + (xy 125.682859 115.092141) + (xy 125.674069 115.0885) + (xy 125.160432 115.0885) + (xy 125.151642 115.092141) + (xy 125.148001 115.100931) + (xy 125.148001 115.281083) + (xy 116.927 115.281083) + (xy 116.927 114.949069) + (xy 125.148 114.949069) + (xy 125.151641 114.957859) + (xy 125.160431 114.9615) + (xy 125.674069 114.9615) + (xy 125.682859 114.957859) + (xy 125.6865 114.949069) + (xy 125.8135 114.949069) + (xy 125.817141 114.957859) + (xy 125.825931 114.9615) + (xy 126.339568 114.9615) + (xy 126.348358 114.957859) + (xy 126.351999 114.949069) + (xy 126.351999 114.778181) + (xy 128.8975 114.778181) + (xy 128.897501 115.296818) + (xy 128.897766 115.29883) + (xy 128.897766 115.298833) + (xy 128.903104 115.339387) + (xy 128.904139 115.347248) + (xy 128.90616 115.351581) + (xy 128.90616 115.351582) + (xy 128.94013 115.424429) + (xy 128.955744 115.457914) + (xy 129.042086 115.544256) + (xy 129.152752 115.595861) + (xy 129.170078 115.598142) + (xy 129.20115 115.602233) + (xy 129.201156 115.602233) + (xy 129.203181 115.6025) + (xy 129.205228 115.6025) + (xy 129.500799 115.602499) + (xy 129.796818 115.602499) + (xy 129.79883 115.602234) + (xy 129.798833 115.602234) + (xy 129.842508 115.596485) + (xy 129.842509 115.596485) + (xy 129.847248 115.595861) + (xy 129.957914 115.544256) + (xy 130.044256 115.457914) + (xy 130.095861 115.347248) + (xy 130.099319 115.320979) + (xy 130.102233 115.29885) + (xy 130.102233 115.298844) + (xy 130.1025 115.296819) + (xy 130.1025 115.291) + (xy 130.120806 115.246806) + (xy 130.165 115.2285) + (xy 130.169268 115.2285) + (xy 130.176607 115.22975) + (xy 130.17669 115.229023) + (xy 130.183681 115.22982) + (xy 130.190322 115.232152) + (xy 130.197315 115.231374) + (xy 130.197316 115.231374) + (xy 130.218439 115.229023) + (xy 130.219698 115.228883) + (xy 130.22661 115.2285) + (xy 130.234033 115.2285) + (xy 130.240965 115.226919) + (xy 130.247918 115.225743) + (xy 130.276929 115.222514) + (xy 130.282893 115.218781) + (xy 130.286841 115.217406) + (xy 130.2906 115.215597) + (xy 130.297459 115.214032) + (xy 130.303162 115.209488) + (xy 130.320273 115.195852) + (xy 130.326059 115.191756) + (xy 130.329215 115.18978) + (xy 130.329217 115.189779) + (xy 130.332211 115.187904) + (xy 130.337424 115.182691) + (xy 130.342668 115.178006) + (xy 130.360104 115.164112) + (xy 130.360104 115.164111) + (xy 130.365609 115.159725) + (xy 130.368667 115.153386) + (xy 130.373058 115.147885) + (xy 130.373592 115.148311) + (xy 130.377968 115.142147) + (xy 130.455806 115.064309) + (xy 130.5 115.046003) + (xy 130.544194 115.064309) + (xy 130.609372 115.129487) + (xy 130.613677 115.135561) + (xy 130.61425 115.135105) + (xy 130.618631 115.140613) + (xy 130.621678 115.146958) + (xy 130.627175 115.151354) + (xy 130.644761 115.165418) + (xy 130.64992 115.170035) + (xy 130.655169 115.175284) + (xy 130.65936 115.177918) + (xy 130.661172 115.179057) + (xy 130.666948 115.183162) + (xy 130.689733 115.201383) + (xy 130.696593 115.20296) + (xy 130.700353 115.204778) + (xy 130.704289 115.206156) + (xy 130.710248 115.209902) + (xy 130.717242 115.210693) + (xy 130.717243 115.210693) + (xy 130.739236 115.213179) + (xy 130.746213 115.214371) + (xy 130.753297 115.216) + (xy 130.760671 115.216) + (xy 130.767692 115.216396) + (xy 130.796838 115.219691) + (xy 130.803483 115.21737) + (xy 130.810477 115.216586) + (xy 130.810553 115.217264) + (xy 130.818005 115.216) + (xy 130.835001 115.216) + (xy 130.879195 115.234306) + (xy 130.897501 115.2785) + (xy 130.897501 115.28341) + (xy 130.907699 115.352695) + (xy 130.959419 115.458036) + (xy 130.963071 115.461682) + (xy 130.963072 115.461683) + (xy 131.038817 115.537296) + (xy 131.038819 115.537298) + (xy 131.042472 115.540944) + (xy 131.115762 115.576769) + (xy 131.143158 115.590161) + (xy 131.147902 115.59248) + (xy 131.152705 115.593181) + (xy 131.152706 115.593181) + (xy 131.171079 115.595861) + (xy 131.216589 115.6025) + (xy 131.499251 115.6025) + (xy 131.78341 115.602499) + (xy 131.852695 115.592301) + (xy 131.85826 115.589569) + (xy 131.876223 115.580749) + (xy 131.958036 115.540581) + (xy 131.962094 115.536516) + (xy 132.037296 115.461183) + (xy 132.037298 115.461181) + (xy 132.040944 115.457528) + (xy 132.081419 115.374726) + (xy 132.090349 115.356458) + (xy 132.090349 115.356457) + (xy 132.09248 115.352098) + (xy 132.094064 115.341244) + (xy 132.100841 115.294782) + (xy 132.1025 115.283411) + (xy 132.102499 114.76659) + (xy 132.102499 114.766589) + (xy 132.8975 114.766589) + (xy 132.897501 115.28341) + (xy 132.907699 115.352695) + (xy 132.959419 115.458036) + (xy 132.963071 115.461682) + (xy 132.963072 115.461683) + (xy 133.038817 115.537296) + (xy 133.038819 115.537298) + (xy 133.042472 115.540944) + (xy 133.115762 115.576769) + (xy 133.143158 115.590161) + (xy 133.147902 115.59248) + (xy 133.152705 115.593181) + (xy 133.152706 115.593181) + (xy 133.171079 115.595861) + (xy 133.216589 115.6025) + (xy 133.499251 115.6025) + (xy 133.78341 115.602499) + (xy 133.852695 115.592301) + (xy 133.85826 115.589569) + (xy 133.876223 115.580749) + (xy 133.958036 115.540581) + (xy 133.962094 115.536516) + (xy 134.037296 115.461183) + (xy 134.037298 115.461181) + (xy 134.040944 115.457528) + (xy 134.081419 115.374726) + (xy 134.090349 115.356458) + (xy 134.090349 115.356457) + (xy 134.09248 115.352098) + (xy 134.094064 115.341244) + (xy 134.100841 115.294782) + (xy 134.1025 115.283411) + (xy 134.1025 115.2785) + (xy 134.120806 115.234306) + (xy 134.165 115.216) + (xy 134.181768 115.216) + (xy 134.189107 115.21725) + (xy 134.18919 115.216523) + (xy 134.196181 115.21732) + (xy 134.202822 115.219652) + (xy 134.209815 115.218874) + (xy 134.209816 115.218874) + (xy 134.230373 115.216586) + (xy 134.232198 115.216383) + (xy 134.23911 115.216) + (xy 134.246533 115.216) + (xy 134.253465 115.214419) + (xy 134.260418 115.213243) + (xy 134.289429 115.210014) + (xy 134.295393 115.206281) + (xy 134.299341 115.204906) + (xy 134.3031 115.203097) + (xy 134.309959 115.201532) + (xy 134.320108 115.193445) + (xy 134.327213 115.187783) + (xy 134.332774 115.183351) + (xy 134.338559 115.179256) + (xy 134.341715 115.17728) + (xy 134.341717 115.177279) + (xy 134.344711 115.175404) + (xy 134.349924 115.170191) + (xy 134.355168 115.165506) + (xy 134.372604 115.151612) + (xy 134.372604 115.151611) + (xy 134.378109 115.147225) + (xy 134.381167 115.140886) + (xy 134.385558 115.135385) + (xy 134.386092 115.135811) + (xy 134.390468 115.129647) + (xy 134.455806 115.064309) + (xy 134.5 115.046003) + (xy 134.544194 115.064309) + (xy 134.621872 115.141987) + (xy 134.626177 115.148061) + (xy 134.62675 115.147605) + (xy 134.631131 115.153113) + (xy 134.634178 115.159458) + (xy 134.639675 115.163854) + (xy 134.657261 115.177918) + (xy 134.66242 115.182535) + (xy 134.667668 115.187783) + (xy 134.670639 115.18965) + (xy 134.67064 115.189651) + (xy 134.673669 115.191555) + (xy 134.679444 115.195659) + (xy 134.696736 115.209488) + (xy 134.69674 115.20949) + (xy 134.702233 115.213883) + (xy 134.70909 115.21546) + (xy 134.712852 115.217278) + (xy 134.71679 115.218657) + (xy 134.722748 115.222402) + (xy 134.729739 115.223192) + (xy 134.729744 115.223194) + (xy 134.751737 115.22568) + (xy 134.758723 115.226873) + (xy 134.765797 115.2285) + (xy 134.773177 115.2285) + (xy 134.780198 115.228896) + (xy 134.809338 115.23219) + (xy 134.815984 115.229869) + (xy 134.822978 115.229085) + (xy 134.823054 115.229764) + (xy 134.830504 115.2285) + (xy 134.835001 115.2285) + (xy 134.879195 115.246806) + (xy 134.897501 115.291) + (xy 134.897501 115.296818) + (xy 134.897766 115.29883) + (xy 134.897766 115.298833) + (xy 134.903104 115.339387) + (xy 134.904139 115.347248) + (xy 134.90616 115.351581) + (xy 134.90616 115.351582) + (xy 134.94013 115.424429) + (xy 134.955744 115.457914) + (xy 135.042086 115.544256) + (xy 135.152752 115.595861) + (xy 135.170078 115.598142) + (xy 135.20115 115.602233) + (xy 135.201156 115.602233) + (xy 135.203181 115.6025) + (xy 135.205228 115.6025) + (xy 135.500799 115.602499) + (xy 135.796818 115.602499) + (xy 135.79883 115.602234) + (xy 135.798833 115.602234) + (xy 135.842508 115.596485) + (xy 135.842509 115.596485) + (xy 135.847248 115.595861) + (xy 135.957914 115.544256) + (xy 136.044256 115.457914) + (xy 136.095861 115.347248) + (xy 136.099319 115.320979) + (xy 136.102233 115.29885) + (xy 136.102233 115.298844) + (xy 136.1025 115.296819) + (xy 136.102499 114.778182) + (xy 136.10128 114.768917) + (xy 136.096485 114.732492) + (xy 136.096485 114.732491) + (xy 136.095861 114.727752) + (xy 136.044256 114.617086) + (xy 135.957914 114.530744) + (xy 135.847248 114.479139) + (xy 135.829922 114.476858) + (xy 135.79885 114.472767) + (xy 135.798844 114.472767) + (xy 135.796819 114.4725) + (xy 135.794772 114.4725) + (xy 135.499201 114.472501) + (xy 135.203182 114.472501) + (xy 135.20117 114.472766) + (xy 135.201167 114.472766) + (xy 135.157492 114.478515) + (xy 135.157491 114.478515) + (xy 135.152752 114.479139) + (xy 135.042086 114.530744) + (xy 134.955744 114.617086) + (xy 134.904139 114.727752) + (xy 134.903515 114.732492) + (xy 134.903515 114.732493) + (xy 134.902501 114.740193) + (xy 134.878583 114.781619) + (xy 134.832377 114.793999) + (xy 134.796342 114.776228) + (xy 134.724416 114.704301) + (xy 134.70611 114.660107) + (xy 134.724416 114.615913) + (xy 134.7359 114.60685) + (xy 134.738686 114.605139) + (xy 134.739712 114.604509) + (xy 134.744034 114.602085) + (xy 134.752625 114.597708) + (xy 134.755427 114.594906) + (xy 134.756264 114.594346) + (xy 134.775881 114.582301) + (xy 134.858058 114.491513) + (xy 134.911451 114.381311) + (xy 134.913317 114.370224) + (xy 134.927268 114.287296) + (xy 134.931767 114.260552) + (xy 134.931896 114.25) + (xy 134.914536 114.128781) + (xy 134.870116 114.031083) + (xy 145.398001 114.031083) + (xy 145.398333 114.035614) + (xy 145.407478 114.097743) + (xy 145.410326 114.10691) + (xy 145.457556 114.203105) + (xy 145.463484 114.211387) + (xy 145.539111 114.286883) + (xy 145.547406 114.292799) + (xy 145.643687 114.339863) + (xy 145.652851 114.342695) + (xy 145.714391 114.351672) + (xy 145.718904 114.352) + (xy 145.899069 114.352) + (xy 145.907859 114.348359) + (xy 145.9115 114.339569) + (xy 145.9115 114.339568) + (xy 146.0385 114.339568) + (xy 146.042141 114.348358) + (xy 146.050931 114.351999) + (xy 146.231083 114.351999) + (xy 146.235614 114.351667) + (xy 146.297743 114.342522) + (xy 146.30691 114.339674) + (xy 146.403105 114.292444) + (xy 146.411387 114.286516) + (xy 146.486883 114.210889) + (xy 146.492799 114.202594) + (xy 146.539863 114.106313) + (xy 146.542695 114.097149) + (xy 146.551672 114.035609) + (xy 146.552 114.031096) + (xy 146.552 113.825931) + (xy 146.548359 113.817141) + (xy 146.539569 113.8135) + (xy 146.050931 113.8135) + (xy 146.042141 113.817141) + (xy 146.0385 113.825931) + (xy 146.0385 114.339568) + (xy 145.9115 114.339568) + (xy 145.9115 113.825931) + (xy 145.907859 113.817141) + (xy 145.899069 113.8135) + (xy 145.410432 113.8135) + (xy 145.401642 113.817141) + (xy 145.398001 113.825931) + (xy 145.398001 114.031083) + (xy 134.870116 114.031083) + (xy 134.863852 114.017307) + (xy 134.849199 114.000302) + (xy 134.847708 113.997375) + (xy 134.8419 113.991567) + (xy 134.838746 113.98817) + (xy 134.786826 113.927914) + (xy 134.783918 113.924539) + (xy 134.763741 113.911461) + (xy 134.760155 113.908559) + (xy 134.760081 113.908661) + (xy 134.756106 113.905773) + (xy 134.752625 113.902292) + (xy 134.741343 113.896543) + (xy 134.735736 113.893309) + (xy 134.723408 113.885318) + (xy 134.712049 113.877956) + (xy 134.684893 113.860354) + (xy 134.68489 113.860353) + (xy 134.68116 113.857935) + (xy 134.676901 113.856661) + (xy 134.676898 113.85666) + (xy 134.658345 113.851112) + (xy 134.648839 113.848269) + (xy 134.638377 113.844079) + (xy 134.632813 113.841244) + (xy 134.621067 113.839384) + (xy 134.612951 113.837536) + (xy 134.563838 113.822848) + (xy 134.519496 113.822577) + (xy 134.510103 113.821809) + (xy 134.504857 113.820978) + (xy 134.504856 113.820978) + (xy 134.5 113.820209) + (xy 134.491373 113.821576) + (xy 134.48122 113.822344) + (xy 134.462836 113.822231) + (xy 134.445838 113.822127) + (xy 134.445836 113.822127) + (xy 134.441385 113.8221) + (xy 134.387011 113.837641) + (xy 134.379623 113.839275) + (xy 134.367187 113.841244) + (xy 134.362804 113.843477) + (xy 134.36187 113.843781) + (xy 134.352155 113.847603) + (xy 134.327929 113.854526) + (xy 134.327927 113.854527) + (xy 134.323644 113.855751) + (xy 134.264119 113.893309) + (xy 134.262819 113.894129) + (xy 134.257842 113.896959) + (xy 134.251757 113.900059) + (xy 134.251756 113.90006) + (xy 134.247375 113.902292) + (xy 134.243897 113.90577) + (xy 134.242445 113.906825) + (xy 134.239058 113.90912) + (xy 134.22008 113.921095) + (xy 134.217137 113.924427) + (xy 134.217135 113.924429) + (xy 134.157156 113.992342) + (xy 134.156032 113.993538) + (xy 134.155775 113.993892) + (xy 134.155554 113.994113) + (xy 134.155405 113.994204) + (xy 134.154504 113.995163) + (xy 134.152292 113.997375) + (xy 134.151509 113.996592) + (xy 134.150362 113.997296) + (xy 134.151721 113.998496) + (xy 134.145002 114.006104) + (xy 134.139018 114.012879) + (xy 134.135038 114.021357) + (xy 134.088868 114.119695) + (xy 134.088867 114.119698) + (xy 134.086976 114.123726) + (xy 134.068136 114.244724) + (xy 134.068713 114.249137) + (xy 134.068713 114.249138) + (xy 134.08223 114.3525) + (xy 134.084014 114.366145) + (xy 134.091185 114.382443) + (xy 134.091244 114.382813) + (xy 134.092007 114.384311) + (xy 134.094031 114.38891) + (xy 134.094031 114.388911) + (xy 134.130929 114.472766) + (xy 134.133333 114.47823) + (xy 134.147272 114.494813) + (xy 134.148029 114.495713) + (xy 134.150157 114.498434) + (xy 134.152292 114.502625) + (xy 134.160081 114.510414) + (xy 134.16373 114.514392) + (xy 134.182983 114.537296) + (xy 134.212127 114.571968) + (xy 134.235399 114.587459) + (xy 134.241836 114.592733) + (xy 134.243899 114.594232) + (xy 134.247375 114.597708) + (xy 134.251753 114.599939) + (xy 134.251756 114.599941) + (xy 134.25873 114.603494) + (xy 134.264978 114.607149) + (xy 134.266171 114.607943) + (xy 134.292811 114.647672) + (xy 134.283556 114.694603) + (xy 134.275726 114.704159) + (xy 134.204636 114.775249) + (xy 134.160442 114.793555) + (xy 134.116248 114.775249) + (xy 134.098608 114.740156) + (xy 134.096514 114.725931) + (xy 134.092301 114.697305) + (xy 134.040581 114.591964) + (xy 134.036065 114.587456) + (xy 133.961183 114.512704) + (xy 133.961181 114.512702) + (xy 133.957528 114.509056) + (xy 133.882743 114.4725) + (xy 133.856458 114.459651) + (xy 133.856457 114.459651) + (xy 133.852098 114.45752) + (xy 133.847295 114.456819) + (xy 133.847294 114.456819) + (xy 133.811804 114.451642) + (xy 133.783411 114.4475) + (xy 133.500749 114.4475) + (xy 133.21659 114.447501) + (xy 133.147305 114.457699) + (xy 133.142947 114.459839) + (xy 133.142946 114.459839) + (xy 133.123777 114.469251) + (xy 133.041964 114.509419) + (xy 133.038318 114.513071) + (xy 133.038317 114.513072) + (xy 132.962704 114.588817) + (xy 132.962702 114.588819) + (xy 132.959056 114.592472) + (xy 132.945206 114.620806) + (xy 132.909943 114.692946) + (xy 132.90752 114.697902) + (xy 132.906819 114.702705) + (xy 132.906819 114.702706) + (xy 132.904713 114.717141) + (xy 132.8975 114.766589) + (xy 132.102499 114.766589) + (xy 132.092301 114.697305) + (xy 132.040581 114.591964) + (xy 132.036065 114.587456) + (xy 131.961183 114.512704) + (xy 131.961181 114.512702) + (xy 131.957528 114.509056) + (xy 131.882743 114.4725) + (xy 131.856458 114.459651) + (xy 131.856457 114.459651) + (xy 131.852098 114.45752) + (xy 131.847295 114.456819) + (xy 131.847294 114.456819) + (xy 131.811804 114.451642) + (xy 131.783411 114.4475) + (xy 131.500749 114.4475) + (xy 131.21659 114.447501) + (xy 131.147305 114.457699) + (xy 131.142947 114.459839) + (xy 131.142946 114.459839) + (xy 131.123777 114.469251) + (xy 131.041964 114.509419) + (xy 131.038318 114.513071) + (xy 131.038317 114.513072) + (xy 130.962704 114.588817) + (xy 130.962702 114.588819) + (xy 130.959056 114.592472) + (xy 130.945206 114.620806) + (xy 130.909943 114.692946) + (xy 130.90752 114.697902) + (xy 130.906819 114.702705) + (xy 130.906819 114.702706) + (xy 130.901372 114.740046) + (xy 130.876879 114.781135) + (xy 130.830505 114.792869) + (xy 130.795333 114.775218) + (xy 130.724416 114.704301) + (xy 130.70611 114.660107) + (xy 130.724416 114.615913) + (xy 130.7359 114.60685) + (xy 130.738686 114.605139) + (xy 130.739712 114.604509) + (xy 130.744034 114.602085) + (xy 130.752625 114.597708) + (xy 130.755427 114.594906) + (xy 130.756264 114.594346) + (xy 130.775881 114.582301) + (xy 130.858058 114.491513) + (xy 130.911451 114.381311) + (xy 130.913317 114.370224) + (xy 130.927268 114.287296) + (xy 130.931767 114.260552) + (xy 130.931896 114.25) + (xy 130.914536 114.128781) + (xy 130.863852 114.017307) + (xy 130.849199 114.000302) + (xy 130.847708 113.997375) + (xy 130.8419 113.991567) + (xy 130.838746 113.98817) + (xy 130.786826 113.927914) + (xy 130.783918 113.924539) + (xy 130.763741 113.911461) + (xy 130.760155 113.908559) + (xy 130.760081 113.908661) + (xy 130.756106 113.905773) + (xy 130.752625 113.902292) + (xy 130.741343 113.896543) + (xy 130.735736 113.893309) + (xy 130.723408 113.885318) + (xy 130.712049 113.877956) + (xy 130.684893 113.860354) + (xy 130.68489 113.860353) + (xy 130.68116 113.857935) + (xy 130.676901 113.856661) + (xy 130.676898 113.85666) + (xy 130.658345 113.851112) + (xy 130.648839 113.848269) + (xy 130.638377 113.844079) + (xy 130.632813 113.841244) + (xy 130.621067 113.839384) + (xy 130.612951 113.837536) + (xy 130.563838 113.822848) + (xy 130.519496 113.822577) + (xy 130.510103 113.821809) + (xy 130.504857 113.820978) + (xy 130.504856 113.820978) + (xy 130.5 113.820209) + (xy 130.491373 113.821576) + (xy 130.48122 113.822344) + (xy 130.462836 113.822231) + (xy 130.445838 113.822127) + (xy 130.445836 113.822127) + (xy 130.441385 113.8221) + (xy 130.387011 113.837641) + (xy 130.379623 113.839275) + (xy 130.367187 113.841244) + (xy 130.362804 113.843477) + (xy 130.36187 113.843781) + (xy 130.352155 113.847603) + (xy 130.327929 113.854526) + (xy 130.327927 113.854527) + (xy 130.323644 113.855751) + (xy 130.264119 113.893309) + (xy 130.262819 113.894129) + (xy 130.257842 113.896959) + (xy 130.251757 113.900059) + (xy 130.251756 113.90006) + (xy 130.247375 113.902292) + (xy 130.243897 113.90577) + (xy 130.242445 113.906825) + (xy 130.239058 113.90912) + (xy 130.22008 113.921095) + (xy 130.217137 113.924427) + (xy 130.217135 113.924429) + (xy 130.157156 113.992342) + (xy 130.156032 113.993538) + (xy 130.155775 113.993892) + (xy 130.155554 113.994113) + (xy 130.155405 113.994204) + (xy 130.154504 113.995163) + (xy 130.152292 113.997375) + (xy 130.151509 113.996592) + (xy 130.150362 113.997296) + (xy 130.151721 113.998496) + (xy 130.145002 114.006104) + (xy 130.139018 114.012879) + (xy 130.135038 114.021357) + (xy 130.088868 114.119695) + (xy 130.088867 114.119698) + (xy 130.086976 114.123726) + (xy 130.068136 114.244724) + (xy 130.068713 114.249137) + (xy 130.068713 114.249138) + (xy 130.08223 114.3525) + (xy 130.084014 114.366145) + (xy 130.091185 114.382443) + (xy 130.091244 114.382813) + (xy 130.092007 114.384311) + (xy 130.094031 114.38891) + (xy 130.094031 114.388911) + (xy 130.130929 114.472766) + (xy 130.133333 114.47823) + (xy 130.147272 114.494813) + (xy 130.148029 114.495713) + (xy 130.150157 114.498434) + (xy 130.152292 114.502625) + (xy 130.160081 114.510414) + (xy 130.16373 114.514392) + (xy 130.182983 114.537296) + (xy 130.212127 114.571968) + (xy 130.235399 114.587459) + (xy 130.241836 114.592733) + (xy 130.243899 114.594232) + (xy 130.247375 114.597708) + (xy 130.251753 114.599939) + (xy 130.251756 114.599941) + (xy 130.25873 114.603494) + (xy 130.264978 114.607149) + (xy 130.266171 114.607943) + (xy 130.292811 114.647672) + (xy 130.283556 114.694603) + (xy 130.275726 114.704159) + (xy 130.203658 114.776227) + (xy 130.159464 114.794533) + (xy 130.11527 114.776227) + (xy 130.097498 114.740189) + (xy 130.096485 114.732492) + (xy 130.096485 114.732491) + (xy 130.095861 114.727752) + (xy 130.044256 114.617086) + (xy 129.957914 114.530744) + (xy 129.847248 114.479139) + (xy 129.829922 114.476858) + (xy 129.79885 114.472767) + (xy 129.798844 114.472767) + (xy 129.796819 114.4725) + (xy 129.794772 114.4725) + (xy 129.499201 114.472501) + (xy 129.203182 114.472501) + (xy 129.20117 114.472766) + (xy 129.201167 114.472766) + (xy 129.157492 114.478515) + (xy 129.157491 114.478515) + (xy 129.152752 114.479139) + (xy 129.042086 114.530744) + (xy 128.955744 114.617086) + (xy 128.904139 114.727752) + (xy 128.902506 114.740156) + (xy 128.89872 114.768917) + (xy 128.8975 114.778181) + (xy 126.351999 114.778181) + (xy 126.351999 114.768917) + (xy 126.351667 114.764386) + (xy 126.342522 114.702257) + (xy 126.339674 114.69309) + (xy 126.292444 114.596895) + (xy 126.286516 114.588613) + (xy 126.210889 114.513117) + (xy 126.202594 114.507201) + (xy 126.106313 114.460137) + (xy 126.097149 114.457305) + (xy 126.035609 114.448328) + (xy 126.031096 114.448) + (xy 125.825931 114.448) + (xy 125.817141 114.451641) + (xy 125.8135 114.460431) + (xy 125.8135 114.949069) + (xy 125.6865 114.949069) + (xy 125.6865 114.460432) + (xy 125.682859 114.451642) + (xy 125.674069 114.448001) + (xy 125.468917 114.448001) + (xy 125.464386 114.448333) + (xy 125.402257 114.457478) + (xy 125.39309 114.460326) + (xy 125.296895 114.507556) + (xy 125.288613 114.513484) + (xy 125.213117 114.589111) + (xy 125.207201 114.597406) + (xy 125.160137 114.693687) + (xy 125.157305 114.702851) + (xy 125.148328 114.764391) + (xy 125.148 114.768904) + (xy 125.148 114.949069) + (xy 116.927 114.949069) + (xy 116.927 114.725931) + (xy 116.923359 114.717141) + (xy 116.914569 114.7135) + (xy 115.075931 114.7135) + (xy 115.067141 114.717141) + (xy 115.0635 114.725931) + (xy 115.0635 116.064569) + (xy 114.9365 116.064569) + (xy 114.9365 114.725931) + (xy 114.932859 114.717141) + (xy 114.924069 114.7135) + (xy 113.085431 114.7135) + (xy 113.076641 114.717141) + (xy 113.073 114.725931) + (xy 113.073 115.959438) + (xy 99.3 115.959438) + (xy 99.3 114.574069) + (xy 113.073 114.574069) + (xy 113.076641 114.582859) + (xy 113.085431 114.5865) + (xy 114.924069 114.5865) + (xy 114.932859 114.582859) + (xy 114.9365 114.574069) + (xy 115.0635 114.574069) + (xy 115.067141 114.582859) + (xy 115.075931 114.5865) + (xy 116.914569 114.5865) + (xy 116.923359 114.582859) + (xy 116.927 114.574069) + (xy 116.927 113.340562) + (xy 116.926401 113.334481) + (xy 116.920831 113.306482) + (xy 116.916212 113.29533) + (xy 116.894981 113.263555) + (xy 116.886445 113.255019) + (xy 116.85467 113.233788) + (xy 116.843518 113.229169) + (xy 116.815519 113.223599) + (xy 116.809438 113.223) + (xy 115.075931 113.223) + (xy 115.067141 113.226641) + (xy 115.0635 113.235431) + (xy 115.0635 114.574069) + (xy 114.9365 114.574069) + (xy 114.9365 113.235431) + (xy 114.932859 113.226641) + (xy 114.924069 113.223) + (xy 113.190562 113.223) + (xy 113.184481 113.223599) + (xy 113.156482 113.229169) + (xy 113.14533 113.233788) + (xy 113.113555 113.255019) + (xy 113.105019 113.263555) + (xy 113.083788 113.29533) + (xy 113.079169 113.306482) + (xy 113.073599 113.334481) + (xy 113.073 113.340562) + (xy 113.073 114.574069) + (xy 99.3 114.574069) + (xy 99.3 103.521838) + (xy 118.305309 103.521838) + (xy 118.30763 103.528483) + (xy 118.308414 103.535477) + (xy 118.307736 103.535553) + (xy 118.309 103.543005) + (xy 118.309 106.206768) + (xy 118.30775 106.214107) + (xy 118.308477 106.21419) + (xy 118.30768 106.221181) + (xy 118.305348 106.227822) + (xy 118.306126 106.234815) + (xy 118.306126 106.234816) + (xy 118.308617 106.257197) + (xy 118.309 106.26411) + (xy 118.309 106.271533) + (xy 118.310581 106.278465) + (xy 118.311757 106.285418) + (xy 118.314986 106.314429) + (xy 118.318719 106.320393) + (xy 118.320094 106.324341) + (xy 118.321903 106.3281) + (xy 118.323468 106.334959) + (xy 118.327853 106.340461) + (xy 118.327853 106.340462) + (xy 118.341648 106.357773) + (xy 118.345744 106.363559) + (xy 118.349596 106.369711) + (xy 118.354809 106.374924) + (xy 118.359494 106.380168) + (xy 118.371312 106.394998) + (xy 118.377775 106.403109) + (xy 118.384114 106.406167) + (xy 118.389615 106.410558) + (xy 118.389189 106.411092) + (xy 118.395353 106.415468) + (xy 118.834372 106.854487) + (xy 118.838677 106.860561) + (xy 118.83925 106.860105) + (xy 118.843631 106.865613) + (xy 118.846678 106.871958) + (xy 118.860664 106.883143) + (xy 118.869761 106.890418) + (xy 118.87492 106.895035) + (xy 118.880169 106.900284) + (xy 118.883143 106.902153) + (xy 118.886172 106.904057) + (xy 118.891948 106.908162) + (xy 118.914733 106.926383) + (xy 118.921593 106.92796) + (xy 118.925353 106.929778) + (xy 118.929289 106.931156) + (xy 118.935248 106.934902) + (xy 118.942242 106.935693) + (xy 118.942243 106.935693) + (xy 118.964236 106.938179) + (xy 118.971213 106.939371) + (xy 118.978297 106.941) + (xy 118.985671 106.941) + (xy 118.992692 106.941396) + (xy 119.021838 106.944691) + (xy 119.028483 106.94237) + (xy 119.035477 106.941586) + (xy 119.035553 106.942264) + (xy 119.043005 106.941) + (xy 119.076187 106.941) + (xy 119.120381 106.959306) + (xy 119.129248 106.970473) + (xy 119.13154 106.974155) + (xy 119.133333 106.97823) + (xy 119.14549 106.992692) + (xy 119.148029 106.995713) + (xy 119.150157 106.998434) + (xy 119.152292 107.002625) + (xy 119.160081 107.010414) + (xy 119.16373 107.014392) + (xy 119.181454 107.035477) + (xy 119.212127 107.071968) + (xy 119.235399 107.087459) + (xy 119.241836 107.092733) + (xy 119.243899 107.094232) + (xy 119.247375 107.097708) + (xy 119.251753 107.099939) + (xy 119.251756 107.099941) + (xy 119.25873 107.103494) + (xy 119.264977 107.107148) + (xy 119.314064 107.139823) + (xy 119.318313 107.141151) + (xy 119.318314 107.141151) + (xy 119.350296 107.151143) + (xy 119.360027 107.155109) + (xy 119.362799 107.156521) + (xy 119.362803 107.156522) + (xy 119.367187 107.158756) + (xy 119.377852 107.160445) + (xy 119.386713 107.16252) + (xy 119.426696 107.175012) + (xy 119.426699 107.175012) + (xy 119.430948 107.17634) + (xy 119.480069 107.177241) + (xy 119.488683 107.177999) + (xy 119.49514 107.179022) + (xy 119.495144 107.179022) + (xy 119.5 107.179791) + (xy 119.506947 107.17869) + (xy 119.517853 107.177933) + (xy 119.553383 107.178584) + (xy 119.613532 107.162185) + (xy 119.62019 107.160755) + (xy 119.627887 107.159536) + (xy 119.632813 107.158756) + (xy 119.637196 107.156522) + (xy 119.641875 107.155002) + (xy 119.641878 107.15501) + (xy 119.646727 107.153136) + (xy 119.671527 107.146375) + (xy 119.67828 107.142229) + (xy 119.739709 107.104511) + (xy 119.744037 107.102085) + (xy 119.74824 107.099944) + (xy 119.748248 107.099938) + (xy 119.752625 107.097708) + (xy 119.755427 107.094906) + (xy 119.756264 107.094346) + (xy 119.760039 107.092028) + (xy 119.775881 107.082301) + (xy 119.858058 106.991513) + (xy 119.865454 106.976248) + (xy 119.901198 106.944458) + (xy 119.9217 106.941) + (xy 122.644997 106.941) + (xy 122.689191 106.959306) + (xy 123.040694 107.310809) + (xy 123.059 107.355003) + (xy 123.059 111.830525) + (xy 123.040694 111.874719) + (xy 123.029855 111.88338) + (xy 123.01281 111.894135) + (xy 123.007842 111.896959) + (xy 123.001757 111.900059) + (xy 123.001756 111.90006) + (xy 122.997375 111.902292) + (xy 122.993897 111.90577) + (xy 122.992445 111.906825) + (xy 122.989058 111.90912) + (xy 122.97008 111.921095) + (xy 122.967137 111.924427) + (xy 122.967135 111.924429) + (xy 122.907156 111.992342) + (xy 122.906032 111.993538) + (xy 122.905774 111.993893) + (xy 122.905554 111.994113) + (xy 122.905405 111.994204) + (xy 122.904504 111.995163) + (xy 122.902292 111.997375) + (xy 122.901509 111.996592) + (xy 122.900362 111.997296) + (xy 122.901721 111.998496) + (xy 122.889018 112.012879) + (xy 122.887127 112.016907) + (xy 122.838868 112.119695) + (xy 122.838867 112.119698) + (xy 122.836976 112.123726) + (xy 122.818136 112.244724) + (xy 122.818713 112.249137) + (xy 122.818713 112.249138) + (xy 122.828237 112.321968) + (xy 122.834014 112.366145) + (xy 122.841185 112.382443) + (xy 122.841244 112.382813) + (xy 122.842007 112.384311) + (xy 122.844031 112.38891) + (xy 122.844031 112.388911) + (xy 122.861681 112.429022) + (xy 122.883333 112.47823) + (xy 122.886197 112.481637) + (xy 122.898029 112.495713) + (xy 122.900157 112.498434) + (xy 122.902292 112.502625) + (xy 122.910081 112.510414) + (xy 122.913729 112.514391) + (xy 122.962127 112.571968) + (xy 122.985399 112.587459) + (xy 122.991836 112.592733) + (xy 122.993899 112.594232) + (xy 122.997375 112.597708) + (xy 123.001753 112.599939) + (xy 123.001756 112.599941) + (xy 123.00873 112.603494) + (xy 123.014983 112.607152) + (xy 123.028878 112.616401) + (xy 123.031134 112.617903) + (xy 123.057778 112.657631) + (xy 123.059 112.669929) + (xy 123.059 112.956768) + (xy 123.05775 112.964107) + (xy 123.058477 112.96419) + (xy 123.05768 112.971181) + (xy 123.055348 112.977822) + (xy 123.056126 112.984815) + (xy 123.056126 112.984816) + (xy 123.058617 113.007197) + (xy 123.059 113.01411) + (xy 123.059 113.021533) + (xy 123.060581 113.028465) + (xy 123.061757 113.035418) + (xy 123.064986 113.064429) + (xy 123.068719 113.070393) + (xy 123.070094 113.074341) + (xy 123.071903 113.0781) + (xy 123.073468 113.084959) + (xy 123.077853 113.090461) + (xy 123.077853 113.090462) + (xy 123.091648 113.107773) + (xy 123.095744 113.113559) + (xy 123.099596 113.119711) + (xy 123.104809 113.124924) + (xy 123.109494 113.130168) + (xy 123.127775 113.153109) + (xy 123.134114 113.156167) + (xy 123.139615 113.160558) + (xy 123.139189 113.161092) + (xy 123.145353 113.165468) + (xy 123.559372 113.579487) + (xy 123.563677 113.585561) + (xy 123.56425 113.585105) + (xy 123.568631 113.590613) + (xy 123.571678 113.596958) + (xy 123.577175 113.601354) + (xy 123.594761 113.615418) + (xy 123.59992 113.620035) + (xy 123.605168 113.625283) + (xy 123.608139 113.62715) + (xy 123.60814 113.627151) + (xy 123.611169 113.629055) + (xy 123.616944 113.633159) + (xy 123.634236 113.646988) + (xy 123.63424 113.64699) + (xy 123.639733 113.651383) + (xy 123.64659 113.65296) + (xy 123.650352 113.654778) + (xy 123.65429 113.656157) + (xy 123.660248 113.659902) + (xy 123.667239 113.660692) + (xy 123.667244 113.660694) + (xy 123.689237 113.66318) + (xy 123.696223 113.664373) + (xy 123.703297 113.666) + (xy 123.710677 113.666) + (xy 123.717698 113.666396) + (xy 123.746838 113.66969) + (xy 123.753482 113.66737) + (xy 123.760478 113.666585) + (xy 123.760554 113.667264) + (xy 123.768003 113.666) + (xy 125.085001 113.666) + (xy 125.129195 113.684306) + (xy 125.147501 113.7285) + (xy 125.147501 113.73341) + (xy 125.157699 113.802695) + (xy 125.159839 113.807053) + (xy 125.159839 113.807054) + (xy 125.167226 113.8221) + (xy 125.209419 113.908036) + (xy 125.213071 113.911682) + (xy 125.213072 113.911683) + (xy 125.288817 113.987296) + (xy 125.288819 113.987298) + (xy 125.292472 113.990944) + (xy 125.365762 114.026769) + (xy 125.393158 114.040161) + (xy 125.397902 114.04248) + (xy 125.402705 114.043181) + (xy 125.402706 114.043181) + (xy 125.424659 114.046383) + (xy 125.466589 114.0525) + (xy 125.749251 114.0525) + (xy 126.03341 114.052499) + (xy 126.102695 114.042301) + (xy 126.10826 114.039569) + (xy 126.145092 114.021485) + (xy 126.208036 113.990581) + (xy 126.212094 113.986516) + (xy 126.287296 113.911183) + (xy 126.287298 113.911181) + (xy 126.290944 113.907528) + (xy 126.332568 113.822375) + (xy 126.340349 113.806458) + (xy 126.340349 113.806457) + (xy 126.34248 113.802098) + (xy 126.3525 113.733411) + (xy 126.352499 113.21659) + (xy 126.342301 113.147305) + (xy 126.290581 113.041964) + (xy 126.286928 113.038317) + (xy 126.211183 112.962704) + (xy 126.211181 112.962702) + (xy 126.207528 112.959056) + (xy 126.110009 112.911387) + (xy 126.106458 112.909651) + (xy 126.106457 112.909651) + (xy 126.102098 112.90752) + (xy 126.097295 112.906819) + (xy 126.097294 112.906819) + (xy 126.061804 112.901642) + (xy 126.033411 112.8975) + (xy 125.750749 112.8975) + (xy 125.46659 112.897501) + (xy 125.397305 112.907699) + (xy 125.392947 112.909839) + (xy 125.392946 112.909839) + (xy 125.389793 112.911387) + (xy 125.291964 112.959419) + (xy 125.288318 112.963071) + (xy 125.288317 112.963072) + (xy 125.212704 113.038817) + (xy 125.212702 113.038819) + (xy 125.209056 113.042472) + (xy 125.204399 113.052) + (xy 125.159943 113.142946) + (xy 125.15752 113.147902) + (xy 125.156819 113.152705) + (xy 125.156819 113.152706) + (xy 125.155692 113.160431) + (xy 125.1475 113.216589) + (xy 125.1475 113.2215) + (xy 125.129194 113.265694) + (xy 125.085 113.284) + (xy 123.830002 113.284) + (xy 123.785808 113.265694) + (xy 123.459306 112.939191) + (xy 123.441 112.894997) + (xy 123.441 112.694) + (xy 123.459306 112.649806) + (xy 123.5035 112.6315) + (xy 129.2465 112.6315) + (xy 129.290694 112.649806) + (xy 129.309 112.694) + (xy 129.309 112.835001) + (xy 129.290694 112.879195) + (xy 129.2465 112.897501) + (xy 129.203182 112.897501) + (xy 129.20117 112.897766) + (xy 129.201167 112.897766) + (xy 129.157492 112.903515) + (xy 129.157491 112.903515) + (xy 129.152752 112.904139) + (xy 129.148418 112.90616) + (xy 129.116841 112.920885) + (xy 129.042086 112.955744) + (xy 128.955744 113.042086) + (xy 128.904139 113.152752) + (xy 128.8975 113.203181) + (xy 128.897501 113.721818) + (xy 128.897766 113.72383) + (xy 128.897766 113.723833) + (xy 128.901528 113.752415) + (xy 128.904139 113.772248) + (xy 128.955744 113.882914) + (xy 129.042086 113.969256) + (xy 129.152752 114.020861) + (xy 129.170078 114.023142) + (xy 129.20115 114.027233) + (xy 129.201156 114.027233) + (xy 129.203181 114.0275) + (xy 129.205228 114.0275) + (xy 129.500799 114.027499) + (xy 129.796818 114.027499) + (xy 129.79883 114.027234) + (xy 129.798833 114.027234) + (xy 129.842508 114.021485) + (xy 129.842509 114.021485) + (xy 129.847248 114.020861) + (xy 129.908188 113.992444) + (xy 129.952956 113.971568) + (xy 129.957914 113.969256) + (xy 130.044256 113.882914) + (xy 130.095861 113.772248) + (xy 130.09879 113.75) + (xy 130.101281 113.731083) + (xy 130.898001 113.731083) + (xy 130.898333 113.735614) + (xy 130.907478 113.797743) + (xy 130.910326 113.80691) + (xy 130.957556 113.903105) + (xy 130.963484 113.911387) + (xy 131.039111 113.986883) + (xy 131.047406 113.992799) + (xy 131.143687 114.039863) + (xy 131.152851 114.042695) + (xy 131.214391 114.051672) + (xy 131.218904 114.052) + (xy 131.424069 114.052) + (xy 131.432859 114.048359) + (xy 131.4365 114.039569) + (xy 131.4365 114.039568) + (xy 131.5635 114.039568) + (xy 131.567141 114.048358) + (xy 131.575931 114.051999) + (xy 131.781083 114.051999) + (xy 131.785614 114.051667) + (xy 131.847743 114.042522) + (xy 131.85691 114.039674) + (xy 131.953105 113.992444) + (xy 131.961387 113.986516) + (xy 132.036883 113.910889) + (xy 132.042799 113.902594) + (xy 132.089863 113.806313) + (xy 132.092695 113.797149) + (xy 132.101672 113.735609) + (xy 132.102 113.731096) + (xy 132.102 113.731083) + (xy 132.898001 113.731083) + (xy 132.898333 113.735614) + (xy 132.907478 113.797743) + (xy 132.910326 113.80691) + (xy 132.957556 113.903105) + (xy 132.963484 113.911387) + (xy 133.039111 113.986883) + (xy 133.047406 113.992799) + (xy 133.143687 114.039863) + (xy 133.152851 114.042695) + (xy 133.214391 114.051672) + (xy 133.218904 114.052) + (xy 133.424069 114.052) + (xy 133.432859 114.048359) + (xy 133.4365 114.039569) + (xy 133.4365 114.039568) + (xy 133.5635 114.039568) + (xy 133.567141 114.048358) + (xy 133.575931 114.051999) + (xy 133.781083 114.051999) + (xy 133.785614 114.051667) + (xy 133.847743 114.042522) + (xy 133.85691 114.039674) + (xy 133.953105 113.992444) + (xy 133.961387 113.986516) + (xy 134.036883 113.910889) + (xy 134.042799 113.902594) + (xy 134.089863 113.806313) + (xy 134.092695 113.797149) + (xy 134.101672 113.735609) + (xy 134.102 113.731096) + (xy 134.102 113.550931) + (xy 134.098359 113.542141) + (xy 134.089569 113.5385) + (xy 133.575931 113.5385) + (xy 133.567141 113.542141) + (xy 133.5635 113.550931) + (xy 133.5635 114.039568) + (xy 133.4365 114.039568) + (xy 133.4365 113.550931) + (xy 133.432859 113.542141) + (xy 133.424069 113.5385) + (xy 132.910432 113.5385) + (xy 132.901642 113.542141) + (xy 132.898001 113.550931) + (xy 132.898001 113.731083) + (xy 132.102 113.731083) + (xy 132.102 113.550931) + (xy 132.098359 113.542141) + (xy 132.089569 113.5385) + (xy 131.575931 113.5385) + (xy 131.567141 113.542141) + (xy 131.5635 113.550931) + (xy 131.5635 114.039568) + (xy 131.4365 114.039568) + (xy 131.4365 113.550931) + (xy 131.432859 113.542141) + (xy 131.424069 113.5385) + (xy 130.910432 113.5385) + (xy 130.901642 113.542141) + (xy 130.898001 113.550931) + (xy 130.898001 113.731083) + (xy 130.101281 113.731083) + (xy 130.102233 113.72385) + (xy 130.102233 113.723844) + (xy 130.1025 113.721819) + (xy 130.102499 113.399069) + (xy 130.898 113.399069) + (xy 130.901641 113.407859) + (xy 130.910431 113.4115) + (xy 131.424069 113.4115) + (xy 131.432859 113.407859) + (xy 131.4365 113.399069) + (xy 131.5635 113.399069) + (xy 131.567141 113.407859) + (xy 131.575931 113.4115) + (xy 132.089568 113.4115) + (xy 132.098358 113.407859) + (xy 132.101999 113.399069) + (xy 132.898 113.399069) + (xy 132.901641 113.407859) + (xy 132.910431 113.4115) + (xy 133.424069 113.4115) + (xy 133.432859 113.407859) + (xy 133.4365 113.399069) + (xy 133.5635 113.399069) + (xy 133.567141 113.407859) + (xy 133.575931 113.4115) + (xy 134.089568 113.4115) + (xy 134.098358 113.407859) + (xy 134.101999 113.399069) + (xy 134.101999 113.218917) + (xy 134.101667 113.214386) + (xy 134.092522 113.152257) + (xy 134.089674 113.14309) + (xy 134.042444 113.046895) + (xy 134.036516 113.038613) + (xy 133.960889 112.963117) + (xy 133.952594 112.957201) + (xy 133.856313 112.910137) + (xy 133.847149 112.907305) + (xy 133.785609 112.898328) + (xy 133.781096 112.898) + (xy 133.575931 112.898) + (xy 133.567141 112.901641) + (xy 133.5635 112.910431) + (xy 133.5635 113.399069) + (xy 133.4365 113.399069) + (xy 133.4365 112.910432) + (xy 133.432859 112.901642) + (xy 133.424069 112.898001) + (xy 133.218917 112.898001) + (xy 133.214386 112.898333) + (xy 133.152257 112.907478) + (xy 133.14309 112.910326) + (xy 133.046895 112.957556) + (xy 133.038613 112.963484) + (xy 132.963117 113.039111) + (xy 132.957201 113.047406) + (xy 132.910137 113.143687) + (xy 132.907305 113.152851) + (xy 132.898328 113.214391) + (xy 132.898 113.218904) + (xy 132.898 113.399069) + (xy 132.101999 113.399069) + (xy 132.101999 113.218917) + (xy 132.101667 113.214386) + (xy 132.092522 113.152257) + (xy 132.089674 113.14309) + (xy 132.042444 113.046895) + (xy 132.036516 113.038613) + (xy 131.960889 112.963117) + (xy 131.952594 112.957201) + (xy 131.856313 112.910137) + (xy 131.847149 112.907305) + (xy 131.785609 112.898328) + (xy 131.781096 112.898) + (xy 131.575931 112.898) + (xy 131.567141 112.901641) + (xy 131.5635 112.910431) + (xy 131.5635 113.399069) + (xy 131.4365 113.399069) + (xy 131.4365 112.910432) + (xy 131.432859 112.901642) + (xy 131.424069 112.898001) + (xy 131.218917 112.898001) + (xy 131.214386 112.898333) + (xy 131.152257 112.907478) + (xy 131.14309 112.910326) + (xy 131.046895 112.957556) + (xy 131.038613 112.963484) + (xy 130.963117 113.039111) + (xy 130.957201 113.047406) + (xy 130.910137 113.143687) + (xy 130.907305 113.152851) + (xy 130.898328 113.214391) + (xy 130.898 113.218904) + (xy 130.898 113.399069) + (xy 130.102499 113.399069) + (xy 130.102499 113.203182) + (xy 130.096889 113.160558) + (xy 130.096485 113.157492) + (xy 130.096485 113.157491) + (xy 130.095861 113.152752) + (xy 130.044256 113.042086) + (xy 129.957914 112.955744) + (xy 129.847248 112.904139) + (xy 129.828274 112.901641) + (xy 129.79885 112.897767) + (xy 129.798844 112.897767) + (xy 129.796819 112.8975) + (xy 129.7535 112.8975) + (xy 129.709306 112.879194) + (xy 129.691 112.835) + (xy 129.691 112.694) + (xy 129.709306 112.649806) + (xy 129.7535 112.6315) + (xy 135.033939 112.6315) + (xy 135.060635 112.63754) + (xy 135.064064 112.639823) + (xy 135.068316 112.641151) + (xy 135.068317 112.641152) + (xy 135.100296 112.651143) + (xy 135.110027 112.655109) + (xy 135.112799 112.656521) + (xy 135.112803 112.656522) + (xy 135.117187 112.658756) + (xy 135.127852 112.660445) + (xy 135.136713 112.66252) + (xy 135.176696 112.675012) + (xy 135.176699 112.675012) + (xy 135.180948 112.67634) + (xy 135.230069 112.677241) + (xy 135.238683 112.677999) + (xy 135.245142 112.679022) + (xy 135.245144 112.679022) + (xy 135.247341 112.67937) + (xy 135.250003 112.679792) + (xy 135.249902 112.680431) + (xy 135.290694 112.697328) + (xy 135.309 112.741522) + (xy 135.309 112.835001) + (xy 135.290694 112.879195) + (xy 135.2465 112.897501) + (xy 135.203182 112.897501) + (xy 135.20117 112.897766) + (xy 135.201167 112.897766) + (xy 135.157492 112.903515) + (xy 135.157491 112.903515) + (xy 135.152752 112.904139) + (xy 135.148418 112.90616) + (xy 135.116841 112.920885) + (xy 135.042086 112.955744) + (xy 134.955744 113.042086) + (xy 134.904139 113.152752) + (xy 134.8975 113.203181) + (xy 134.897501 113.721818) + (xy 134.897766 113.72383) + (xy 134.897766 113.723833) + (xy 134.901528 113.752415) + (xy 134.904139 113.772248) + (xy 134.955744 113.882914) + (xy 135.042086 113.969256) + (xy 135.152752 114.020861) + (xy 135.170078 114.023142) + (xy 135.20115 114.027233) + (xy 135.201156 114.027233) + (xy 135.203181 114.0275) + (xy 135.205228 114.0275) + (xy 135.500799 114.027499) + (xy 135.796818 114.027499) + (xy 135.79883 114.027234) + (xy 135.798833 114.027234) + (xy 135.842508 114.021485) + (xy 135.842509 114.021485) + (xy 135.847248 114.020861) + (xy 135.908188 113.992444) + (xy 135.952956 113.971568) + (xy 135.957914 113.969256) + (xy 136.044256 113.882914) + (xy 136.095861 113.772248) + (xy 136.09879 113.75) + (xy 136.102233 113.72385) + (xy 136.102233 113.723844) + (xy 136.1025 113.721819) + (xy 136.1025 113.674069) + (xy 145.398 113.674069) + (xy 145.401641 113.682859) + (xy 145.410431 113.6865) + (xy 145.899069 113.6865) + (xy 145.907859 113.682859) + (xy 145.9115 113.674069) + (xy 146.0385 113.674069) + (xy 146.042141 113.682859) + (xy 146.050931 113.6865) + (xy 146.539568 113.6865) + (xy 146.548358 113.682859) + (xy 146.551999 113.674069) + (xy 146.551999 113.468917) + (xy 146.551667 113.464386) + (xy 146.542522 113.402257) + (xy 146.539674 113.39309) + (xy 146.492444 113.296895) + (xy 146.486516 113.288613) + (xy 146.410889 113.213117) + (xy 146.402594 113.207201) + (xy 146.306313 113.160137) + (xy 146.297149 113.157305) + (xy 146.235609 113.148328) + (xy 146.231096 113.148) + (xy 146.050931 113.148) + (xy 146.042141 113.151641) + (xy 146.0385 113.160431) + (xy 146.0385 113.674069) + (xy 145.9115 113.674069) + (xy 145.9115 113.160432) + (xy 145.907859 113.151642) + (xy 145.899069 113.148001) + (xy 145.718917 113.148001) + (xy 145.714386 113.148333) + (xy 145.652257 113.157478) + (xy 145.64309 113.160326) + (xy 145.546895 113.207556) + (xy 145.538613 113.213484) + (xy 145.463117 113.289111) + (xy 145.457201 113.297406) + (xy 145.410137 113.393687) + (xy 145.407305 113.402851) + (xy 145.398328 113.464391) + (xy 145.398 113.468904) + (xy 145.398 113.674069) + (xy 136.1025 113.674069) + (xy 136.102499 113.203182) + (xy 136.096889 113.160558) + (xy 136.096485 113.157492) + (xy 136.096485 113.157491) + (xy 136.095861 113.152752) + (xy 136.044256 113.042086) + (xy 135.957914 112.955744) + (xy 135.847248 112.904139) + (xy 135.828274 112.901641) + (xy 135.79885 112.897767) + (xy 135.798844 112.897767) + (xy 135.796819 112.8975) + (xy 135.7535 112.8975) + (xy 135.709306 112.879194) + (xy 135.691 112.835) + (xy 135.691 112.694) + (xy 135.709306 112.649806) + (xy 135.7535 112.6315) + (xy 149.056 112.6315) + (xy 149.100194 112.649806) + (xy 149.1185 112.694) + (xy 149.1185 113.4965) + (xy 149.100194 113.540694) + (xy 149.056 113.559) + (xy 148.164999 113.559) + (xy 148.120805 113.540694) + (xy 148.102499 113.4965) + (xy 148.102499 113.46659) + (xy 148.092301 113.397305) + (xy 148.040581 113.291964) + (xy 148.032603 113.284) + (xy 147.961183 113.212704) + (xy 147.961181 113.212702) + (xy 147.957528 113.209056) + (xy 147.868358 113.165468) + (xy 147.856458 113.159651) + (xy 147.856457 113.159651) + (xy 147.852098 113.15752) + (xy 147.847295 113.156819) + (xy 147.847294 113.156819) + (xy 147.825341 113.153617) + (xy 147.783411 113.1475) + (xy 147.525683 113.1475) + (xy 147.26659 113.147501) + (xy 147.197305 113.157699) + (xy 147.192947 113.159839) + (xy 147.192946 113.159839) + (xy 147.191482 113.160558) + (xy 147.091964 113.209419) + (xy 147.088318 113.213071) + (xy 147.088317 113.213072) + (xy 147.012704 113.288817) + (xy 147.012702 113.288819) + (xy 147.009056 113.292472) + (xy 146.982574 113.346648) + (xy 146.959943 113.392946) + (xy 146.95752 113.397902) + (xy 146.956819 113.402705) + (xy 146.956819 113.402706) + (xy 146.955542 113.411461) + (xy 146.9475 113.466589) + (xy 146.947501 114.03341) + (xy 146.957699 114.102695) + (xy 147.009419 114.208036) + (xy 147.013071 114.211682) + (xy 147.013072 114.211683) + (xy 147.088817 114.287296) + (xy 147.088819 114.287298) + (xy 147.092472 114.290944) + (xy 147.165762 114.326769) + (xy 147.193158 114.340161) + (xy 147.197902 114.34248) + (xy 147.202705 114.343181) + (xy 147.202706 114.343181) + (xy 147.224659 114.346383) + (xy 147.266589 114.3525) + (xy 147.524317 114.3525) + (xy 147.78341 114.352499) + (xy 147.852695 114.342301) + (xy 147.85826 114.339569) + (xy 147.876223 114.330749) + (xy 147.958036 114.290581) + (xy 147.962094 114.286516) + (xy 148.037296 114.211183) + (xy 148.037298 114.211181) + (xy 148.040944 114.207528) + (xy 148.090008 114.107156) + (xy 148.090349 114.106458) + (xy 148.090349 114.106457) + (xy 148.09248 114.102098) + (xy 148.093218 114.097043) + (xy 148.100319 114.048359) + (xy 148.1025 114.033411) + (xy 148.1025 114.0035) + (xy 148.120806 113.959306) + (xy 148.165 113.941) + (xy 149.076187 113.941) + (xy 149.120381 113.959306) + (xy 149.129248 113.970473) + (xy 149.13154 113.974155) + (xy 149.133333 113.97823) + (xy 149.147272 113.994813) + (xy 149.148029 113.995713) + (xy 149.150157 113.998434) + (xy 149.152292 114.002625) + (xy 149.160081 114.010414) + (xy 149.16373 114.014392) + (xy 149.195342 114.051999) + (xy 149.212127 114.071968) + (xy 149.235399 114.087459) + (xy 149.241836 114.092733) + (xy 149.243899 114.094232) + (xy 149.247375 114.097708) + (xy 149.251753 114.099939) + (xy 149.251756 114.099941) + (xy 149.25873 114.103494) + (xy 149.264983 114.107152) + (xy 149.27846 114.116123) + (xy 149.281134 114.117903) + (xy 149.307778 114.157631) + (xy 149.309 114.169929) + (xy 149.309 115.085001) + (xy 149.290694 115.129195) + (xy 149.2465 115.147501) + (xy 149.21659 115.147501) + (xy 149.147305 115.157699) + (xy 149.142947 115.159839) + (xy 149.142946 115.159839) + (xy 149.127176 115.167582) + (xy 149.041964 115.209419) + (xy 149.038318 115.213071) + (xy 149.038317 115.213072) + (xy 148.962704 115.288817) + (xy 148.962702 115.288819) + (xy 148.959056 115.292472) + (xy 148.927779 115.356458) + (xy 148.909943 115.392946) + (xy 148.90752 115.397902) + (xy 148.906819 115.402705) + (xy 148.906819 115.402706) + (xy 148.905542 115.411461) + (xy 148.8975 115.466589) + (xy 148.897501 115.98341) + (xy 148.907699 116.052695) + (xy 148.909839 116.057053) + (xy 148.909839 116.057054) + (xy 148.915489 116.068561) + (xy 148.959419 116.158036) + (xy 148.963071 116.161682) + (xy 148.963072 116.161683) + (xy 149.038817 116.237296) + (xy 149.038819 116.237298) + (xy 149.042472 116.240944) + (xy 149.115762 116.276769) + (xy 149.143158 116.290161) + (xy 149.147902 116.29248) + (xy 149.152705 116.293181) + (xy 149.152706 116.293181) + (xy 149.174659 116.296383) + (xy 149.216589 116.3025) + (xy 149.499251 116.3025) + (xy 149.78341 116.302499) + (xy 149.852695 116.292301) + (xy 149.958036 116.240581) + (xy 149.961683 116.236928) + (xy 150.037296 116.161183) + (xy 150.037298 116.161181) + (xy 150.040944 116.157528) + (xy 150.076769 116.084238) + (xy 150.090349 116.056458) + (xy 150.090349 116.056457) + (xy 150.09248 116.052098) + (xy 150.094764 116.036445) + (xy 150.096383 116.025341) + (xy 150.1025 115.983411) + (xy 150.102499 115.46659) + (xy 150.102499 115.466589) + (xy 155.6475 115.466589) + (xy 155.647501 115.98341) + (xy 155.657699 116.052695) + (xy 155.659839 116.057053) + (xy 155.659839 116.057054) + (xy 155.665489 116.068561) + (xy 155.709419 116.158036) + (xy 155.713071 116.161682) + (xy 155.713072 116.161683) + (xy 155.788817 116.237296) + (xy 155.788819 116.237298) + (xy 155.792472 116.240944) + (xy 155.865762 116.276769) + (xy 155.893158 116.290161) + (xy 155.897902 116.29248) + (xy 155.902705 116.293181) + (xy 155.902706 116.293181) + (xy 155.924659 116.296383) + (xy 155.966589 116.3025) + (xy 156.249251 116.3025) + (xy 156.53341 116.302499) + (xy 156.602695 116.292301) + (xy 156.708036 116.240581) + (xy 156.711683 116.236928) + (xy 156.787296 116.161183) + (xy 156.787298 116.161181) + (xy 156.790944 116.157528) + (xy 156.826769 116.084238) + (xy 156.840349 116.056458) + (xy 156.840349 116.056457) + (xy 156.84248 116.052098) + (xy 156.844764 116.036445) + (xy 156.846383 116.025341) + (xy 156.8525 115.983411) + (xy 156.852499 115.46659) + (xy 156.842301 115.397305) + (xy 156.790581 115.291964) + (xy 156.782012 115.28341) + (xy 156.709345 115.210869) + (xy 156.691 115.166636) + (xy 156.691 114.169384) + (xy 156.709306 114.12519) + (xy 156.720797 114.116123) + (xy 156.739712 114.104509) + (xy 156.744034 114.102085) + (xy 156.752625 114.097708) + (xy 156.755427 114.094906) + (xy 156.756264 114.094346) + (xy 156.758891 114.092733) + (xy 156.775881 114.082301) + (xy 156.858058 113.991513) + (xy 156.911451 113.881311) + (xy 156.913317 113.870224) + (xy 156.931367 113.762928) + (xy 156.931767 113.760552) + (xy 156.931896 113.75) + (xy 156.93114 113.744724) + (xy 163.568136 113.744724) + (xy 163.568713 113.749137) + (xy 163.568713 113.749138) + (xy 163.582655 113.855751) + (xy 163.584014 113.866145) + (xy 163.591185 113.882443) + (xy 163.591244 113.882813) + (xy 163.592007 113.884311) + (xy 163.594031 113.88891) + (xy 163.594031 113.888911) + (xy 163.630402 113.971568) + (xy 163.633333 113.97823) + (xy 163.647272 113.994813) + (xy 163.648029 113.995713) + (xy 163.650157 113.998434) + (xy 163.652292 114.002625) + (xy 163.660081 114.010414) + (xy 163.66373 114.014392) + (xy 163.695342 114.051999) + (xy 163.712127 114.071968) + (xy 163.735399 114.087459) + (xy 163.741836 114.092733) + (xy 163.743899 114.094232) + (xy 163.747375 114.097708) + (xy 163.751753 114.099939) + (xy 163.751756 114.099941) + (xy 163.75873 114.103494) + (xy 163.764983 114.107152) + (xy 163.77846 114.116123) + (xy 163.781134 114.117903) + (xy 163.807778 114.157631) + (xy 163.809 114.169929) + (xy 163.809 115.166496) + (xy 163.790733 115.210652) + (xy 163.712704 115.288817) + (xy 163.712702 115.288819) + (xy 163.709056 115.292472) + (xy 163.677779 115.356458) + (xy 163.659943 115.392946) + (xy 163.65752 115.397902) + (xy 163.656819 115.402705) + (xy 163.656819 115.402706) + (xy 163.655542 115.411461) + (xy 163.6475 115.466589) + (xy 163.647501 115.98341) + (xy 163.657699 116.052695) + (xy 163.659839 116.057053) + (xy 163.659839 116.057054) + (xy 163.665489 116.068561) + (xy 163.709419 116.158036) + (xy 163.713071 116.161682) + (xy 163.713072 116.161683) + (xy 163.788817 116.237296) + (xy 163.788819 116.237298) + (xy 163.792472 116.240944) + (xy 163.865762 116.276769) + (xy 163.893158 116.290161) + (xy 163.897902 116.29248) + (xy 163.902705 116.293181) + (xy 163.902706 116.293181) + (xy 163.924659 116.296383) + (xy 163.966589 116.3025) + (xy 164.249251 116.3025) + (xy 164.53341 116.302499) + (xy 164.602695 116.292301) + (xy 164.708036 116.240581) + (xy 164.711683 116.236928) + (xy 164.787296 116.161183) + (xy 164.787298 116.161181) + (xy 164.790944 116.157528) + (xy 164.826769 116.084238) + (xy 164.840349 116.056458) + (xy 164.840349 116.056457) + (xy 164.84248 116.052098) + (xy 164.844764 116.036445) + (xy 164.846383 116.025341) + (xy 164.8525 115.983411) + (xy 164.852499 115.46659) + (xy 164.852499 115.466589) + (xy 166.3975 115.466589) + (xy 166.397501 115.98341) + (xy 166.407699 116.052695) + (xy 166.409839 116.057053) + (xy 166.409839 116.057054) + (xy 166.415489 116.068561) + (xy 166.459419 116.158036) + (xy 166.463071 116.161682) + (xy 166.463072 116.161683) + (xy 166.538817 116.237296) + (xy 166.538819 116.237298) + (xy 166.542472 116.240944) + (xy 166.615762 116.276769) + (xy 166.643158 116.290161) + (xy 166.647902 116.29248) + (xy 166.652705 116.293181) + (xy 166.652706 116.293181) + (xy 166.674659 116.296383) + (xy 166.716589 116.3025) + (xy 166.999251 116.3025) + (xy 167.28341 116.302499) + (xy 167.352695 116.292301) + (xy 167.458036 116.240581) + (xy 167.461683 116.236928) + (xy 167.537296 116.161183) + (xy 167.537298 116.161181) + (xy 167.540944 116.157528) + (xy 167.576769 116.084238) + (xy 167.590349 116.056458) + (xy 167.590349 116.056457) + (xy 167.59248 116.052098) + (xy 167.594764 116.036445) + (xy 167.596383 116.025341) + (xy 167.6025 115.983411) + (xy 167.602499 115.46659) + (xy 167.592301 115.397305) + (xy 167.540581 115.291964) + (xy 167.529681 115.281083) + (xy 167.461183 115.212704) + (xy 167.461181 115.212702) + (xy 167.457528 115.209056) + (xy 167.377701 115.170035) + (xy 167.356458 115.159651) + (xy 167.356457 115.159651) + (xy 167.352098 115.15752) + (xy 167.347295 115.156819) + (xy 167.347294 115.156819) + (xy 167.31626 115.152292) + (xy 167.283411 115.1475) + (xy 167.2535 115.1475) + (xy 167.209306 115.129194) + (xy 167.191 115.085) + (xy 167.191 114.169384) + (xy 167.209306 114.12519) + (xy 167.220797 114.116123) + (xy 167.239712 114.104509) + (xy 167.244034 114.102085) + (xy 167.252625 114.097708) + (xy 167.255427 114.094906) + (xy 167.256264 114.094346) + (xy 167.258891 114.092733) + (xy 167.275881 114.082301) + (xy 167.358058 113.991513) + (xy 167.411451 113.881311) + (xy 167.413317 113.870224) + (xy 167.431367 113.762928) + (xy 167.431767 113.760552) + (xy 167.431896 113.75) + (xy 167.414536 113.628781) + (xy 167.387105 113.568449) + (xy 167.3815 113.542581) + (xy 167.3815 111.0035) + (xy 167.399806 110.959306) + (xy 167.444 110.941) + (xy 168.335001 110.941) + (xy 168.379195 110.959306) + (xy 168.397501 111.0035) + (xy 168.397501 111.03341) + (xy 168.407699 111.102695) + (xy 168.409839 111.107053) + (xy 168.409839 111.107054) + (xy 168.414303 111.116145) + (xy 168.459419 111.208036) + (xy 168.463071 111.211682) + (xy 168.463072 111.211683) + (xy 168.538817 111.287296) + (xy 168.538819 111.287298) + (xy 168.542472 111.290944) + (xy 168.610982 111.324433) + (xy 168.643158 111.340161) + (xy 168.647902 111.34248) + (xy 168.652705 111.343181) + (xy 168.652706 111.343181) + (xy 168.674659 111.346383) + (xy 168.716589 111.3525) + (xy 168.974317 111.3525) + (xy 169.23341 111.352499) + (xy 169.302695 111.342301) + (xy 169.30826 111.339569) + (xy 169.344108 111.321968) + (xy 169.408036 111.290581) + (xy 169.412094 111.286516) + (xy 169.487296 111.211183) + (xy 169.487298 111.211181) + (xy 169.490944 111.207528) + (xy 169.537774 111.111726) + (xy 169.540349 111.106458) + (xy 169.540349 111.106457) + (xy 169.54248 111.102098) + (xy 169.5525 111.033411) + (xy 169.5525 111.031083) + (xy 169.948001 111.031083) + (xy 169.948333 111.035614) + (xy 169.957478 111.097743) + (xy 169.960326 111.10691) + (xy 170.007556 111.203105) + (xy 170.013484 111.211387) + (xy 170.089111 111.286883) + (xy 170.097406 111.292799) + (xy 170.193687 111.339863) + (xy 170.202851 111.342695) + (xy 170.264391 111.351672) + (xy 170.268904 111.352) + (xy 170.449069 111.352) + (xy 170.457859 111.348359) + (xy 170.4615 111.339569) + (xy 170.4615 111.339568) + (xy 170.5885 111.339568) + (xy 170.592141 111.348358) + (xy 170.600931 111.351999) + (xy 170.781083 111.351999) + (xy 170.785614 111.351667) + (xy 170.847743 111.342522) + (xy 170.85691 111.339674) + (xy 170.953105 111.292444) + (xy 170.961387 111.286516) + (xy 171.036883 111.210889) + (xy 171.042799 111.202594) + (xy 171.089863 111.106313) + (xy 171.092695 111.097149) + (xy 171.101672 111.035609) + (xy 171.102 111.031096) + (xy 171.102 110.825931) + (xy 171.098359 110.817141) + (xy 171.089569 110.8135) + (xy 170.600931 110.8135) + (xy 170.592141 110.817141) + (xy 170.5885 110.825931) + (xy 170.5885 111.339568) + (xy 170.4615 111.339568) + (xy 170.4615 110.825931) + (xy 170.457859 110.817141) + (xy 170.449069 110.8135) + (xy 169.960432 110.8135) + (xy 169.951642 110.817141) + (xy 169.948001 110.825931) + (xy 169.948001 111.031083) + (xy 169.5525 111.031083) + (xy 169.552499 110.674069) + (xy 169.948 110.674069) + (xy 169.951641 110.682859) + (xy 169.960431 110.6865) + (xy 170.449069 110.6865) + (xy 170.457859 110.682859) + (xy 170.4615 110.674069) + (xy 170.5885 110.674069) + (xy 170.592141 110.682859) + (xy 170.600931 110.6865) + (xy 171.089568 110.6865) + (xy 171.098358 110.682859) + (xy 171.101999 110.674069) + (xy 171.101999 110.468917) + (xy 171.101667 110.464386) + (xy 171.092522 110.402257) + (xy 171.089674 110.39309) + (xy 171.042444 110.296895) + (xy 171.036516 110.288613) + (xy 170.960889 110.213117) + (xy 170.952594 110.207201) + (xy 170.856313 110.160137) + (xy 170.847149 110.157305) + (xy 170.785609 110.148328) + (xy 170.781096 110.148) + (xy 170.600931 110.148) + (xy 170.592141 110.151641) + (xy 170.5885 110.160431) + (xy 170.5885 110.674069) + (xy 170.4615 110.674069) + (xy 170.4615 110.160432) + (xy 170.457859 110.151642) + (xy 170.449069 110.148001) + (xy 170.268917 110.148001) + (xy 170.264386 110.148333) + (xy 170.202257 110.157478) + (xy 170.19309 110.160326) + (xy 170.096895 110.207556) + (xy 170.088613 110.213484) + (xy 170.013117 110.289111) + (xy 170.007201 110.297406) + (xy 169.960137 110.393687) + (xy 169.957305 110.402851) + (xy 169.948328 110.464391) + (xy 169.948 110.468904) + (xy 169.948 110.674069) + (xy 169.552499 110.674069) + (xy 169.552499 110.46659) + (xy 169.542301 110.397305) + (xy 169.490581 110.291964) + (xy 169.470317 110.271735) + (xy 169.411183 110.212704) + (xy 169.411181 110.212702) + (xy 169.407528 110.209056) + (xy 169.334238 110.173231) + (xy 169.306458 110.159651) + (xy 169.306457 110.159651) + (xy 169.302098 110.15752) + (xy 169.297295 110.156819) + (xy 169.297294 110.156819) + (xy 169.261804 110.151642) + (xy 169.233411 110.1475) + (xy 168.975683 110.1475) + (xy 168.71659 110.147501) + (xy 168.647305 110.157699) + (xy 168.642947 110.159839) + (xy 168.642946 110.159839) + (xy 168.634787 110.163845) + (xy 168.541964 110.209419) + (xy 168.538318 110.213071) + (xy 168.538317 110.213072) + (xy 168.462704 110.288817) + (xy 168.462702 110.288819) + (xy 168.459056 110.292472) + (xy 168.430443 110.351007) + (xy 168.409943 110.392946) + (xy 168.40752 110.397902) + (xy 168.3975 110.466589) + (xy 168.3975 110.4965) + (xy 168.379194 110.540694) + (xy 168.335 110.559) + (xy 167.444 110.559) + (xy 167.399806 110.540694) + (xy 167.3815 110.4965) + (xy 167.3815 106.5035) + (xy 167.399806 106.459306) + (xy 167.444 106.441) + (xy 168.335001 106.441) + (xy 168.379195 106.459306) + (xy 168.397501 106.5035) + (xy 168.397501 106.53341) + (xy 168.407699 106.602695) + (xy 168.409839 106.607053) + (xy 168.409839 106.607054) + (xy 168.414303 106.616145) + (xy 168.459419 106.708036) + (xy 168.463071 106.711682) + (xy 168.463072 106.711683) + (xy 168.538817 106.787296) + (xy 168.538819 106.787298) + (xy 168.542472 106.790944) + (xy 168.610982 106.824433) + (xy 168.643158 106.840161) + (xy 168.647902 106.84248) + (xy 168.652705 106.843181) + (xy 168.652706 106.843181) + (xy 168.660693 106.844346) + (xy 168.716589 106.8525) + (xy 168.974317 106.8525) + (xy 169.23341 106.852499) + (xy 169.302695 106.842301) + (xy 169.30826 106.839569) + (xy 169.344108 106.821968) + (xy 169.408036 106.790581) + (xy 169.412094 106.786516) + (xy 169.487296 106.711183) + (xy 169.487298 106.711181) + (xy 169.490944 106.707528) + (xy 169.539568 106.608056) + (xy 169.540349 106.606458) + (xy 169.540349 106.606457) + (xy 169.54248 106.602098) + (xy 169.543218 106.597043) + (xy 169.54713 106.570222) + (xy 169.5525 106.533411) + (xy 169.5525 106.531083) + (xy 169.948001 106.531083) + (xy 169.948333 106.535614) + (xy 169.957478 106.597743) + (xy 169.960326 106.60691) + (xy 170.007556 106.703105) + (xy 170.013484 106.711387) + (xy 170.089111 106.786883) + (xy 170.097406 106.792799) + (xy 170.193687 106.839863) + (xy 170.202851 106.842695) + (xy 170.264391 106.851672) + (xy 170.268904 106.852) + (xy 170.449069 106.852) + (xy 170.457859 106.848359) + (xy 170.4615 106.839569) + (xy 170.4615 106.839568) + (xy 170.5885 106.839568) + (xy 170.592141 106.848358) + (xy 170.600931 106.851999) + (xy 170.781083 106.851999) + (xy 170.785614 106.851667) + (xy 170.847743 106.842522) + (xy 170.85691 106.839674) + (xy 170.953105 106.792444) + (xy 170.961387 106.786516) + (xy 171.036883 106.710889) + (xy 171.042799 106.702594) + (xy 171.089863 106.606313) + (xy 171.092695 106.597149) + (xy 171.101672 106.535609) + (xy 171.102 106.531096) + (xy 171.102 106.325931) + (xy 171.098359 106.317141) + (xy 171.089569 106.3135) + (xy 170.600931 106.3135) + (xy 170.592141 106.317141) + (xy 170.5885 106.325931) + (xy 170.5885 106.839568) + (xy 170.4615 106.839568) + (xy 170.4615 106.325931) + (xy 170.457859 106.317141) + (xy 170.449069 106.3135) + (xy 169.960432 106.3135) + (xy 169.951642 106.317141) + (xy 169.948001 106.325931) + (xy 169.948001 106.531083) + (xy 169.5525 106.531083) + (xy 169.552499 106.174069) + (xy 169.948 106.174069) + (xy 169.951641 106.182859) + (xy 169.960431 106.1865) + (xy 170.449069 106.1865) + (xy 170.457859 106.182859) + (xy 170.4615 106.174069) + (xy 170.5885 106.174069) + (xy 170.592141 106.182859) + (xy 170.600931 106.1865) + (xy 171.089568 106.1865) + (xy 171.098358 106.182859) + (xy 171.101999 106.174069) + (xy 171.101999 105.968917) + (xy 171.101667 105.964386) + (xy 171.092522 105.902257) + (xy 171.089674 105.89309) + (xy 171.042444 105.796895) + (xy 171.036516 105.788613) + (xy 170.960889 105.713117) + (xy 170.952594 105.707201) + (xy 170.856313 105.660137) + (xy 170.847149 105.657305) + (xy 170.785609 105.648328) + (xy 170.781096 105.648) + (xy 170.600931 105.648) + (xy 170.592141 105.651641) + (xy 170.5885 105.660431) + (xy 170.5885 106.174069) + (xy 170.4615 106.174069) + (xy 170.4615 105.660432) + (xy 170.457859 105.651642) + (xy 170.449069 105.648001) + (xy 170.268917 105.648001) + (xy 170.264386 105.648333) + (xy 170.202257 105.657478) + (xy 170.19309 105.660326) + (xy 170.096895 105.707556) + (xy 170.088613 105.713484) + (xy 170.013117 105.789111) + (xy 170.007201 105.797406) + (xy 169.960137 105.893687) + (xy 169.957305 105.902851) + (xy 169.948328 105.964391) + (xy 169.948 105.968904) + (xy 169.948 106.174069) + (xy 169.552499 106.174069) + (xy 169.552499 105.96659) + (xy 169.542301 105.897305) + (xy 169.537788 105.888112) + (xy 169.525086 105.862242) + (xy 169.490581 105.791964) + (xy 169.485905 105.787296) + (xy 169.411183 105.712704) + (xy 169.411181 105.712702) + (xy 169.407528 105.709056) + (xy 169.311646 105.662187) + (xy 169.306458 105.659651) + (xy 169.306457 105.659651) + (xy 169.302098 105.65752) + (xy 169.297295 105.656819) + (xy 169.297294 105.656819) + (xy 169.261804 105.651642) + (xy 169.233411 105.6475) + (xy 168.975683 105.6475) + (xy 168.71659 105.647501) + (xy 168.647305 105.657699) + (xy 168.642947 105.659839) + (xy 168.642946 105.659839) + (xy 168.64026 105.661158) + (xy 168.541964 105.709419) + (xy 168.538318 105.713071) + (xy 168.538317 105.713072) + (xy 168.462704 105.788817) + (xy 168.462702 105.788819) + (xy 168.459056 105.792472) + (xy 168.430118 105.851672) + (xy 168.409943 105.892946) + (xy 168.40752 105.897902) + (xy 168.406819 105.902705) + (xy 168.406819 105.902706) + (xy 168.406065 105.907874) + (xy 168.3975 105.966589) + (xy 168.3975 105.9965) + (xy 168.379194 106.040694) + (xy 168.335 106.059) + (xy 167.444 106.059) + (xy 167.399806 106.040694) + (xy 167.3815 105.9965) + (xy 167.3815 104.444) + (xy 167.399806 104.399806) + (xy 167.444 104.3815) + (xy 174.633939 104.3815) + (xy 174.660635 104.38754) + (xy 174.664064 104.389823) + (xy 174.668316 104.391151) + (xy 174.668317 104.391152) + (xy 174.700296 104.401143) + (xy 174.710027 104.405109) + (xy 174.712799 104.406521) + (xy 174.712803 104.406522) + (xy 174.717187 104.408756) + (xy 174.727852 104.410445) + (xy 174.736713 104.41252) + (xy 174.776696 104.425012) + (xy 174.776699 104.425012) + (xy 174.780948 104.42634) + (xy 174.830069 104.427241) + (xy 174.838683 104.427999) + (xy 174.84514 104.429022) + (xy 174.845144 104.429022) + (xy 174.85 104.429791) + (xy 174.856947 104.42869) + (xy 174.867853 104.427933) + (xy 174.903383 104.428584) + (xy 174.963532 104.412185) + (xy 174.97019 104.410755) + (xy 174.972147 104.410445) + (xy 174.982813 104.408756) + (xy 174.987196 104.406522) + (xy 174.991875 104.405002) + (xy 174.991878 104.40501) + (xy 174.996727 104.403136) + (xy 175.021527 104.396375) + (xy 175.026521 104.393309) + (xy 175.030706 104.390739) + (xy 175.063409 104.3815) + (xy 185.033939 104.3815) + (xy 185.060635 104.38754) + (xy 185.064064 104.389823) + (xy 185.068316 104.391151) + (xy 185.068317 104.391152) + (xy 185.100296 104.401143) + (xy 185.110027 104.405109) + (xy 185.112799 104.406521) + (xy 185.112803 104.406522) + (xy 185.117187 104.408756) + (xy 185.127852 104.410445) + (xy 185.136713 104.41252) + (xy 185.176696 104.425012) + (xy 185.176699 104.425012) + (xy 185.180948 104.42634) + (xy 185.230069 104.427241) + (xy 185.238683 104.427999) + (xy 185.24514 104.429022) + (xy 185.245144 104.429022) + (xy 185.25 104.429791) + (xy 185.256947 104.42869) + (xy 185.267853 104.427933) + (xy 185.303383 104.428584) + (xy 185.363532 104.412185) + (xy 185.37019 104.410755) + (xy 185.372147 104.410445) + (xy 185.382813 104.408756) + (xy 185.387196 104.406522) + (xy 185.391875 104.405002) + (xy 185.391878 104.40501) + (xy 185.396727 104.403136) + (xy 185.421527 104.396375) + (xy 185.426521 104.393309) + (xy 185.430706 104.390739) + (xy 185.463409 104.3815) + (xy 188.806 104.3815) + (xy 188.850194 104.399806) + (xy 188.8685 104.444) + (xy 188.8685 115.056) + (xy 188.850194 115.100194) + (xy 188.806 115.1185) + (xy 187.1035 115.1185) + (xy 187.059306 115.100194) + (xy 187.041 115.056) + (xy 187.041 114.664999) + (xy 187.059306 114.620805) + (xy 187.1035 114.602499) + (xy 187.13341 114.602499) + (xy 187.202695 114.592301) + (xy 187.209193 114.589111) + (xy 187.244108 114.571968) + (xy 187.308036 114.540581) + (xy 187.320164 114.528432) + (xy 187.387296 114.461183) + (xy 187.387298 114.461181) + (xy 187.390944 114.457528) + (xy 187.437774 114.361726) + (xy 187.440349 114.356458) + (xy 187.440349 114.356457) + (xy 187.44248 114.352098) + (xy 187.444293 114.339674) + (xy 187.451987 114.286928) + (xy 187.4525 114.283411) + (xy 187.452499 113.76659) + (xy 187.442301 113.697305) + (xy 187.390581 113.591964) + (xy 187.386928 113.588317) + (xy 187.311183 113.512704) + (xy 187.311181 113.512702) + (xy 187.307528 113.509056) + (xy 187.234238 113.473231) + (xy 187.206458 113.459651) + (xy 187.206457 113.459651) + (xy 187.202098 113.45752) + (xy 187.197295 113.456819) + (xy 187.197294 113.456819) + (xy 187.175341 113.453617) + (xy 187.133411 113.4475) + (xy 186.850749 113.4475) + (xy 186.56659 113.447501) + (xy 186.497305 113.457699) + (xy 186.391964 113.509419) + (xy 186.388318 113.513071) + (xy 186.388317 113.513072) + (xy 186.312704 113.588817) + (xy 186.312702 113.588819) + (xy 186.309056 113.592472) + (xy 186.282408 113.646988) + (xy 186.259943 113.692946) + (xy 186.25752 113.697902) + (xy 186.2475 113.766589) + (xy 186.247501 114.28341) + (xy 186.257699 114.352695) + (xy 186.309419 114.458036) + (xy 186.313071 114.461682) + (xy 186.313072 114.461683) + (xy 186.388817 114.537296) + (xy 186.388819 114.537298) + (xy 186.392472 114.540944) + (xy 186.460238 114.574069) + (xy 186.493158 114.590161) + (xy 186.497902 114.59248) + (xy 186.502705 114.593181) + (xy 186.502706 114.593181) + (xy 186.510693 114.594346) + (xy 186.566589 114.6025) + (xy 186.5965 114.6025) + (xy 186.640694 114.620806) + (xy 186.659 114.665) + (xy 186.659 115.080525) + (xy 186.640694 115.124719) + (xy 186.629855 115.13338) + (xy 186.61281 115.144135) + (xy 186.607842 115.146959) + (xy 186.601757 115.150059) + (xy 186.601756 115.15006) + (xy 186.597375 115.152292) + (xy 186.593897 115.15577) + (xy 186.592445 115.156825) + (xy 186.589058 115.15912) + (xy 186.57008 115.171095) + (xy 186.567137 115.174427) + (xy 186.567135 115.174429) + (xy 186.507156 115.242342) + (xy 186.506032 115.243538) + (xy 186.505775 115.243892) + (xy 186.505554 115.244113) + (xy 186.505405 115.244204) + (xy 186.504504 115.245163) + (xy 186.502292 115.247375) + (xy 186.501509 115.246592) + (xy 186.500362 115.247296) + (xy 186.501721 115.248496) + (xy 186.489018 115.262879) + (xy 186.487127 115.266906) + (xy 186.487126 115.266908) + (xy 186.484237 115.273062) + (xy 186.448884 115.305287) + (xy 186.427662 115.309) + (xy 185.655002 115.309) + (xy 185.610808 115.290694) + (xy 183.051198 112.731083) + (xy 186.248001 112.731083) + (xy 186.248333 112.735614) + (xy 186.257478 112.797743) + (xy 186.260326 112.80691) + (xy 186.307556 112.903105) + (xy 186.313484 112.911387) + (xy 186.389111 112.986883) + (xy 186.397406 112.992799) + (xy 186.493687 113.039863) + (xy 186.502851 113.042695) + (xy 186.564391 113.051672) + (xy 186.568904 113.052) + (xy 186.774069 113.052) + (xy 186.782859 113.048359) + (xy 186.7865 113.039569) + (xy 186.7865 113.039568) + (xy 186.9135 113.039568) + (xy 186.917141 113.048358) + (xy 186.925931 113.051999) + (xy 187.131083 113.051999) + (xy 187.135614 113.051667) + (xy 187.197743 113.042522) + (xy 187.20691 113.039674) + (xy 187.303105 112.992444) + (xy 187.311387 112.986516) + (xy 187.386883 112.910889) + (xy 187.392799 112.902594) + (xy 187.439863 112.806313) + (xy 187.442695 112.797149) + (xy 187.451672 112.735609) + (xy 187.452 112.731096) + (xy 187.452 112.550931) + (xy 187.448359 112.542141) + (xy 187.439569 112.5385) + (xy 186.925931 112.5385) + (xy 186.917141 112.542141) + (xy 186.9135 112.550931) + (xy 186.9135 113.039568) + (xy 186.7865 113.039568) + (xy 186.7865 112.550931) + (xy 186.782859 112.542141) + (xy 186.774069 112.5385) + (xy 186.260432 112.5385) + (xy 186.251642 112.542141) + (xy 186.248001 112.550931) + (xy 186.248001 112.731083) + (xy 183.051198 112.731083) + (xy 182.719184 112.399069) + (xy 186.248 112.399069) + (xy 186.251641 112.407859) + (xy 186.260431 112.4115) + (xy 186.774069 112.4115) + (xy 186.782859 112.407859) + (xy 186.7865 112.399069) + (xy 186.9135 112.399069) + (xy 186.917141 112.407859) + (xy 186.925931 112.4115) + (xy 187.439568 112.4115) + (xy 187.448358 112.407859) + (xy 187.451999 112.399069) + (xy 187.451999 112.218917) + (xy 187.451667 112.214386) + (xy 187.442522 112.152257) + (xy 187.439674 112.14309) + (xy 187.392444 112.046895) + (xy 187.386516 112.038613) + (xy 187.310889 111.963117) + (xy 187.302594 111.957201) + (xy 187.206313 111.910137) + (xy 187.197149 111.907305) + (xy 187.135609 111.898328) + (xy 187.131096 111.898) + (xy 186.925931 111.898) + (xy 186.917141 111.901641) + (xy 186.9135 111.910431) + (xy 186.9135 112.399069) + (xy 186.7865 112.399069) + (xy 186.7865 111.910432) + (xy 186.782859 111.901642) + (xy 186.774069 111.898001) + (xy 186.568917 111.898001) + (xy 186.564386 111.898333) + (xy 186.502257 111.907478) + (xy 186.49309 111.910326) + (xy 186.396895 111.957556) + (xy 186.388613 111.963484) + (xy 186.313117 112.039111) + (xy 186.307201 112.047406) + (xy 186.260137 112.143687) + (xy 186.257305 112.152851) + (xy 186.248328 112.214391) + (xy 186.248 112.218904) + (xy 186.248 112.399069) + (xy 182.719184 112.399069) + (xy 182.482793 112.162678) + (xy 182.464487 112.118484) + (xy 182.465353 112.108115) + (xy 182.481767 112.010552) + (xy 182.481896 112) + (xy 182.464536 111.878781) + (xy 182.413852 111.767307) + (xy 182.399199 111.750302) + (xy 182.397708 111.747375) + (xy 182.3919 111.741567) + (xy 182.388746 111.73817) + (xy 182.336826 111.677914) + (xy 182.333918 111.674539) + (xy 182.313741 111.661461) + (xy 182.310155 111.658559) + (xy 182.310081 111.658661) + (xy 182.306106 111.655773) + (xy 182.302625 111.652292) + (xy 182.291343 111.646543) + (xy 182.285736 111.643309) + (xy 182.234893 111.610354) + (xy 182.23489 111.610353) + (xy 182.23116 111.607935) + (xy 182.226901 111.606661) + (xy 182.226898 111.60666) + (xy 182.199139 111.598359) + (xy 182.198839 111.598269) + (xy 182.188377 111.594079) + (xy 182.182813 111.591244) + (xy 182.171067 111.589384) + (xy 182.162951 111.587536) + (xy 182.113838 111.572848) + (xy 182.069496 111.572577) + (xy 182.060103 111.571809) + (xy 182.054857 111.570978) + (xy 182.054856 111.570978) + (xy 182.05 111.570209) + (xy 182.041373 111.571576) + (xy 182.03122 111.572344) + (xy 182.012836 111.572231) + (xy 181.995838 111.572127) + (xy 181.995836 111.572127) + (xy 181.991385 111.5721) + (xy 181.937011 111.587641) + (xy 181.929623 111.589275) + (xy 181.917187 111.591244) + (xy 181.912804 111.593477) + (xy 181.91187 111.593781) + (xy 181.902156 111.597603) + (xy 181.899821 111.59827) + (xy 181.877929 111.604526) + (xy 181.877927 111.604527) + (xy 181.873644 111.605751) + (xy 181.814119 111.643309) + (xy 181.812819 111.644129) + (xy 181.807842 111.646959) + (xy 181.801757 111.650059) + (xy 181.801756 111.65006) + (xy 181.797375 111.652292) + (xy 181.793897 111.65577) + (xy 181.792445 111.656825) + (xy 181.789058 111.65912) + (xy 181.77008 111.671095) + (xy 181.767137 111.674427) + (xy 181.767135 111.674429) + (xy 181.707156 111.742342) + (xy 181.706032 111.743538) + (xy 181.705775 111.743892) + (xy 181.705554 111.744113) + (xy 181.705405 111.744204) + (xy 181.704504 111.745163) + (xy 181.702292 111.747375) + (xy 181.701509 111.746592) + (xy 181.700362 111.747296) + (xy 181.701721 111.748496) + (xy 181.689018 111.762879) + (xy 181.687127 111.766907) + (xy 181.638868 111.869695) + (xy 181.638867 111.869698) + (xy 181.636976 111.873726) + (xy 181.618136 111.994724) + (xy 181.618713 111.999137) + (xy 181.618713 111.999138) + (xy 181.621037 112.016907) + (xy 181.634014 112.116145) + (xy 181.635809 112.120224) + (xy 181.639286 112.128126) + (xy 181.641185 112.132443) + (xy 181.641244 112.132813) + (xy 181.642007 112.134311) + (xy 181.644031 112.13891) + (xy 181.644031 112.138911) + (xy 181.677244 112.214391) + (xy 181.683333 112.22823) + (xy 181.697198 112.244724) + (xy 181.698029 112.245713) + (xy 181.700157 112.248434) + (xy 181.702292 112.252625) + (xy 181.710081 112.260414) + (xy 181.713729 112.264391) + (xy 181.762127 112.321968) + (xy 181.785399 112.337459) + (xy 181.791836 112.342733) + (xy 181.793899 112.344232) + (xy 181.797375 112.347708) + (xy 181.801753 112.349939) + (xy 181.801756 112.349941) + (xy 181.80873 112.353494) + (xy 181.814977 112.357148) + (xy 181.864064 112.389823) + (xy 181.868313 112.391151) + (xy 181.868314 112.391151) + (xy 181.900296 112.401143) + (xy 181.910027 112.405109) + (xy 181.912799 112.406521) + (xy 181.912803 112.406522) + (xy 181.917187 112.408756) + (xy 181.927852 112.410445) + (xy 181.936713 112.41252) + (xy 181.976696 112.425012) + (xy 181.976699 112.425012) + (xy 181.980948 112.42634) + (xy 182.030069 112.427241) + (xy 182.038683 112.427999) + (xy 182.04514 112.429022) + (xy 182.045144 112.429022) + (xy 182.05 112.429791) + (xy 182.056947 112.42869) + (xy 182.067853 112.427933) + (xy 182.103383 112.428584) + (xy 182.108019 112.42732) + (xy 182.150968 112.415611) + (xy 182.198421 112.421648) + (xy 182.211601 112.431716) + (xy 185.384372 115.604487) + (xy 185.388677 115.610561) + (xy 185.38925 115.610105) + (xy 185.393631 115.615613) + (xy 185.396678 115.621958) + (xy 185.402175 115.626354) + (xy 185.419761 115.640418) + (xy 185.42492 115.645035) + (xy 185.430168 115.650283) + (xy 185.433139 115.65215) + (xy 185.43314 115.652151) + (xy 185.436169 115.654055) + (xy 185.441944 115.658159) + (xy 185.459236 115.671988) + (xy 185.45924 115.67199) + (xy 185.464733 115.676383) + (xy 185.47159 115.67796) + (xy 185.475352 115.679778) + (xy 185.47929 115.681157) + (xy 185.485248 115.684902) + (xy 185.492239 115.685692) + (xy 185.492244 115.685694) + (xy 185.514237 115.68818) + (xy 185.521223 115.689373) + (xy 185.528297 115.691) + (xy 185.535677 115.691) + (xy 185.542698 115.691396) + (xy 185.571838 115.69469) + (xy 185.578482 115.69237) + (xy 185.585478 115.691585) + (xy 185.585554 115.692264) + (xy 185.593003 115.691) + (xy 186.426187 115.691) + (xy 186.470381 115.709306) + (xy 186.479248 115.720473) + (xy 186.48154 115.724155) + (xy 186.483333 115.72823) + (xy 186.497198 115.744724) + (xy 186.498029 115.745713) + (xy 186.500157 115.748434) + (xy 186.502292 115.752625) + (xy 186.510081 115.760414) + (xy 186.513729 115.764391) + (xy 186.562127 115.821968) + (xy 186.585399 115.837459) + (xy 186.591836 115.842733) + (xy 186.593899 115.844232) + (xy 186.597375 115.847708) + (xy 186.601753 115.849939) + (xy 186.601756 115.849941) + (xy 186.60873 115.853494) + (xy 186.614977 115.857148) + (xy 186.664064 115.889823) + (xy 186.668313 115.891151) + (xy 186.668314 115.891151) + (xy 186.700296 115.901143) + (xy 186.710027 115.905109) + (xy 186.712799 115.906521) + (xy 186.712803 115.906522) + (xy 186.717187 115.908756) + (xy 186.727852 115.910445) + (xy 186.736713 115.91252) + (xy 186.776696 115.925012) + (xy 186.776699 115.925012) + (xy 186.780948 115.92634) + (xy 186.830069 115.927241) + (xy 186.838683 115.927999) + (xy 186.84514 115.929022) + (xy 186.845144 115.929022) + (xy 186.85 115.929791) + (xy 186.856947 115.92869) + (xy 186.867853 115.927933) + (xy 186.903383 115.928584) + (xy 186.963532 115.912185) + (xy 186.97019 115.910755) + (xy 186.972147 115.910445) + (xy 186.982813 115.908756) + (xy 186.987196 115.906522) + (xy 186.991875 115.905002) + (xy 186.991878 115.90501) + (xy 186.996727 115.903136) + (xy 187.021527 115.896375) + (xy 187.030706 115.890739) + (xy 187.063409 115.8815) + (xy 189.230501 115.8815) + (xy 189.235894 115.881733) + (xy 189.275203 115.885138) + (xy 189.275206 115.885138) + (xy 189.280346 115.885583) + (xy 189.285353 115.884339) + (xy 189.285356 115.884339) + (xy 189.289364 115.883343) + (xy 189.304431 115.8815) + (xy 191.433939 115.8815) + (xy 191.460635 115.88754) + (xy 191.464064 115.889823) + (xy 191.468316 115.891151) + (xy 191.468317 115.891152) + (xy 191.500296 115.901143) + (xy 191.510027 115.905109) + (xy 191.512799 115.906521) + (xy 191.512803 115.906522) + (xy 191.517187 115.908756) + (xy 191.527852 115.910445) + (xy 191.536713 115.91252) + (xy 191.576696 115.925012) + (xy 191.576699 115.925012) + (xy 191.580948 115.92634) + (xy 191.630069 115.927241) + (xy 191.638683 115.927999) + (xy 191.64514 115.929022) + (xy 191.645144 115.929022) + (xy 191.65 115.929791) + (xy 191.656947 115.92869) + (xy 191.667853 115.927933) + (xy 191.703383 115.928584) + (xy 191.763532 115.912185) + (xy 191.77019 115.910755) + (xy 191.772147 115.910445) + (xy 191.782813 115.908756) + (xy 191.787196 115.906522) + (xy 191.791875 115.905002) + (xy 191.791878 115.90501) + (xy 191.796727 115.903136) + (xy 191.821527 115.896375) + (xy 191.830034 115.891152) + (xy 191.889709 115.854511) + (xy 191.894037 115.852085) + (xy 191.89824 115.849944) + (xy 191.898248 115.849938) + (xy 191.902625 115.847708) + (xy 191.905427 115.844906) + (xy 191.906264 115.844346) + (xy 191.908891 115.842733) + (xy 191.925881 115.832301) + (xy 192.008058 115.741513) + (xy 192.061451 115.631311) + (xy 192.06304 115.621871) + (xy 192.076715 115.540581) + (xy 192.081767 115.510552) + (xy 192.081896 115.5) + (xy 192.064536 115.378781) + (xy 192.013852 115.267307) + (xy 191.999199 115.250302) + (xy 191.997708 115.247375) + (xy 191.9919 115.241567) + (xy 191.988746 115.23817) + (xy 191.936905 115.178006) + (xy 191.933918 115.174539) + (xy 191.913741 115.161461) + (xy 191.910155 115.158559) + (xy 191.910081 115.158661) + (xy 191.906106 115.155773) + (xy 191.902625 115.152292) + (xy 191.891343 115.146543) + (xy 191.885735 115.143308) + (xy 191.869505 115.132788) + (xy 191.842377 115.093389) + (xy 191.841 115.080342) + (xy 191.841 114.664999) + (xy 191.859306 114.620805) + (xy 191.9035 114.602499) + (xy 191.93341 114.602499) + (xy 192.002695 114.592301) + (xy 192.009193 114.589111) + (xy 192.044108 114.571968) + (xy 192.108036 114.540581) + (xy 192.120164 114.528432) + (xy 192.187296 114.461183) + (xy 192.187298 114.461181) + (xy 192.190944 114.457528) + (xy 192.237774 114.361726) + (xy 192.240349 114.356458) + (xy 192.240349 114.356457) + (xy 192.24248 114.352098) + (xy 192.244293 114.339674) + (xy 192.251987 114.286928) + (xy 192.2525 114.283411) + (xy 192.252499 113.76659) + (xy 192.242301 113.697305) + (xy 192.190581 113.591964) + (xy 192.186928 113.588317) + (xy 192.111183 113.512704) + (xy 192.111181 113.512702) + (xy 192.107528 113.509056) + (xy 192.034238 113.473231) + (xy 192.006458 113.459651) + (xy 192.006457 113.459651) + (xy 192.002098 113.45752) + (xy 191.997295 113.456819) + (xy 191.997294 113.456819) + (xy 191.975341 113.453617) + (xy 191.933411 113.4475) + (xy 191.650749 113.4475) + (xy 191.36659 113.447501) + (xy 191.297305 113.457699) + (xy 191.191964 113.509419) + (xy 191.188318 113.513071) + (xy 191.188317 113.513072) + (xy 191.112704 113.588817) + (xy 191.112702 113.588819) + (xy 191.109056 113.592472) + (xy 191.082408 113.646988) + (xy 191.059943 113.692946) + (xy 191.05752 113.697902) + (xy 191.0475 113.766589) + (xy 191.047501 114.28341) + (xy 191.057699 114.352695) + (xy 191.109419 114.458036) + (xy 191.113071 114.461682) + (xy 191.113072 114.461683) + (xy 191.188817 114.537296) + (xy 191.188819 114.537298) + (xy 191.192472 114.540944) + (xy 191.260238 114.574069) + (xy 191.293158 114.590161) + (xy 191.297902 114.59248) + (xy 191.302705 114.593181) + (xy 191.302706 114.593181) + (xy 191.310693 114.594346) + (xy 191.366589 114.6025) + (xy 191.3965 114.6025) + (xy 191.440694 114.620806) + (xy 191.459 114.665) + (xy 191.459 115.056) + (xy 191.440694 115.100194) + (xy 191.3965 115.1185) + (xy 189.694 115.1185) + (xy 189.649806 115.100194) + (xy 189.6315 115.056) + (xy 189.6315 112.731083) + (xy 191.048001 112.731083) + (xy 191.048333 112.735614) + (xy 191.057478 112.797743) + (xy 191.060326 112.80691) + (xy 191.107556 112.903105) + (xy 191.113484 112.911387) + (xy 191.189111 112.986883) + (xy 191.197406 112.992799) + (xy 191.293687 113.039863) + (xy 191.302851 113.042695) + (xy 191.364391 113.051672) + (xy 191.368904 113.052) + (xy 191.574069 113.052) + (xy 191.582859 113.048359) + (xy 191.5865 113.039569) + (xy 191.5865 113.039568) + (xy 191.7135 113.039568) + (xy 191.717141 113.048358) + (xy 191.725931 113.051999) + (xy 191.931083 113.051999) + (xy 191.935614 113.051667) + (xy 191.997743 113.042522) + (xy 192.00691 113.039674) + (xy 192.103105 112.992444) + (xy 192.111387 112.986516) + (xy 192.186883 112.910889) + (xy 192.192799 112.902594) + (xy 192.239863 112.806313) + (xy 192.242695 112.797149) + (xy 192.251672 112.735609) + (xy 192.252 112.731096) + (xy 192.252 112.550931) + (xy 192.248359 112.542141) + (xy 192.239569 112.5385) + (xy 191.725931 112.5385) + (xy 191.717141 112.542141) + (xy 191.7135 112.550931) + (xy 191.7135 113.039568) + (xy 191.5865 113.039568) + (xy 191.5865 112.550931) + (xy 191.582859 112.542141) + (xy 191.574069 112.5385) + (xy 191.060432 112.5385) + (xy 191.051642 112.542141) + (xy 191.048001 112.550931) + (xy 191.048001 112.731083) + (xy 189.6315 112.731083) + (xy 189.6315 112.399069) + (xy 191.048 112.399069) + (xy 191.051641 112.407859) + (xy 191.060431 112.4115) + (xy 191.574069 112.4115) + (xy 191.582859 112.407859) + (xy 191.5865 112.399069) + (xy 191.7135 112.399069) + (xy 191.717141 112.407859) + (xy 191.725931 112.4115) + (xy 192.239568 112.4115) + (xy 192.248358 112.407859) + (xy 192.251999 112.399069) + (xy 192.251999 112.218917) + (xy 192.251667 112.214386) + (xy 192.242522 112.152257) + (xy 192.239674 112.14309) + (xy 192.192444 112.046895) + (xy 192.186516 112.038613) + (xy 192.110889 111.963117) + (xy 192.102594 111.957201) + (xy 192.006313 111.910137) + (xy 191.997149 111.907305) + (xy 191.935609 111.898328) + (xy 191.931096 111.898) + (xy 191.725931 111.898) + (xy 191.717141 111.901641) + (xy 191.7135 111.910431) + (xy 191.7135 112.399069) + (xy 191.5865 112.399069) + (xy 191.5865 111.910432) + (xy 191.582859 111.901642) + (xy 191.574069 111.898001) + (xy 191.368917 111.898001) + (xy 191.364386 111.898333) + (xy 191.302257 111.907478) + (xy 191.29309 111.910326) + (xy 191.196895 111.957556) + (xy 191.188613 111.963484) + (xy 191.113117 112.039111) + (xy 191.107201 112.047406) + (xy 191.060137 112.143687) + (xy 191.057305 112.152851) + (xy 191.048328 112.214391) + (xy 191.048 112.218904) + (xy 191.048 112.399069) + (xy 189.6315 112.399069) + (xy 189.6315 104.444) + (xy 189.649806 104.399806) + (xy 189.694 104.3815) + (xy 191.730501 104.3815) + (xy 191.735894 104.381733) + (xy 191.775203 104.385138) + (xy 191.775206 104.385138) + (xy 191.780346 104.385583) + (xy 191.785353 104.384339) + (xy 191.785356 104.384339) + (xy 191.789364 104.383343) + (xy 191.804431 104.3815) + (xy 193.833939 104.3815) + (xy 193.860635 104.38754) + (xy 193.864064 104.389823) + (xy 193.868316 104.391151) + (xy 193.868317 104.391152) + (xy 193.900296 104.401143) + (xy 193.910027 104.405109) + (xy 193.912799 104.406521) + (xy 193.912803 104.406522) + (xy 193.917187 104.408756) + (xy 193.927852 104.410445) + (xy 193.936713 104.41252) + (xy 193.976696 104.425012) + (xy 193.976699 104.425012) + (xy 193.980948 104.42634) + (xy 194.030069 104.427241) + (xy 194.038683 104.427999) + (xy 194.04514 104.429022) + (xy 194.045144 104.429022) + (xy 194.05 104.429791) + (xy 194.056947 104.42869) + (xy 194.067853 104.427933) + (xy 194.103383 104.428584) + (xy 194.163532 104.412185) + (xy 194.17019 104.410755) + (xy 194.172147 104.410445) + (xy 194.182813 104.408756) + (xy 194.187196 104.406522) + (xy 194.191875 104.405002) + (xy 194.191878 104.40501) + (xy 194.196727 104.403136) + (xy 194.221527 104.396375) + (xy 194.226521 104.393309) + (xy 194.230706 104.390739) + (xy 194.263409 104.3815) + (xy 195.433939 104.3815) + (xy 195.460635 104.38754) + (xy 195.464064 104.389823) + (xy 195.468316 104.391151) + (xy 195.468317 104.391152) + (xy 195.500296 104.401143) + (xy 195.510027 104.405109) + (xy 195.512799 104.406521) + (xy 195.512803 104.406522) + (xy 195.517187 104.408756) + (xy 195.527852 104.410445) + (xy 195.536713 104.41252) + (xy 195.576696 104.425012) + (xy 195.576699 104.425012) + (xy 195.580948 104.42634) + (xy 195.630069 104.427241) + (xy 195.638683 104.427999) + (xy 195.64514 104.429022) + (xy 195.645144 104.429022) + (xy 195.65 104.429791) + (xy 195.656947 104.42869) + (xy 195.667853 104.427933) + (xy 195.703383 104.428584) + (xy 195.763532 104.412185) + (xy 195.77019 104.410755) + (xy 195.772147 104.410445) + (xy 195.782813 104.408756) + (xy 195.787196 104.406522) + (xy 195.791875 104.405002) + (xy 195.791878 104.40501) + (xy 195.796727 104.403136) + (xy 195.821527 104.396375) + (xy 195.826521 104.393309) + (xy 195.889709 104.354511) + (xy 195.894037 104.352085) + (xy 195.89824 104.349944) + (xy 195.898248 104.349938) + (xy 195.902625 104.347708) + (xy 195.905427 104.344906) + (xy 195.906264 104.344346) + (xy 195.907681 104.343476) + (xy 195.925881 104.332301) + (xy 196.008058 104.241513) + (xy 196.061451 104.131311) + (xy 196.063406 104.119695) + (xy 196.077551 104.035614) + (xy 196.081767 104.010552) + (xy 196.081896 104) + (xy 196.064536 103.878781) + (xy 196.013852 103.767307) + (xy 195.999199 103.750302) + (xy 195.997708 103.747375) + (xy 195.9919 103.741567) + (xy 195.988746 103.73817) + (xy 195.936826 103.677914) + (xy 195.933918 103.674539) + (xy 195.913741 103.661461) + (xy 195.910155 103.658559) + (xy 195.910081 103.658661) + (xy 195.906106 103.655773) + (xy 195.902625 103.652292) + (xy 195.891343 103.646543) + (xy 195.885735 103.643308) + (xy 195.869505 103.632788) + (xy 195.842377 103.593389) + (xy 195.841 103.580342) + (xy 195.841 103.164999) + (xy 195.859306 103.120805) + (xy 195.9035 103.102499) + (xy 195.93341 103.102499) + (xy 196.002695 103.092301) + (xy 196.108036 103.040581) + (xy 196.111683 103.036928) + (xy 196.187296 102.961183) + (xy 196.187298 102.961181) + (xy 196.190944 102.957528) + (xy 196.239937 102.857301) + (xy 196.240349 102.856458) + (xy 196.240349 102.856457) + (xy 196.24248 102.852098) + (xy 196.243408 102.84574) + (xy 196.248007 102.814208) + (xy 196.2525 102.783411) + (xy 196.252499 102.26659) + (xy 196.242301 102.197305) + (xy 196.190581 102.091964) + (xy 196.119851 102.021357) + (xy 196.111183 102.012704) + (xy 196.111181 102.012702) + (xy 196.107528 102.009056) + (xy 196.034238 101.973231) + (xy 196.006458 101.959651) + (xy 196.006457 101.959651) + (xy 196.002098 101.95752) + (xy 195.997295 101.956819) + (xy 195.997294 101.956819) + (xy 195.975341 101.953617) + (xy 195.933411 101.9475) + (xy 195.650749 101.9475) + (xy 195.36659 101.947501) + (xy 195.297305 101.957699) + (xy 195.191964 102.009419) + (xy 195.188318 102.013071) + (xy 195.188317 102.013072) + (xy 195.112704 102.088817) + (xy 195.112702 102.088819) + (xy 195.109056 102.092472) + (xy 195.073231 102.165762) + (xy 195.059943 102.192946) + (xy 195.05752 102.197902) + (xy 195.0475 102.266589) + (xy 195.047501 102.78341) + (xy 195.057699 102.852695) + (xy 195.109419 102.958036) + (xy 195.113071 102.961682) + (xy 195.113072 102.961683) + (xy 195.188817 103.037296) + (xy 195.188819 103.037298) + (xy 195.192472 103.040944) + (xy 195.265762 103.076769) + (xy 195.293158 103.090161) + (xy 195.297902 103.09248) + (xy 195.302705 103.093181) + (xy 195.302706 103.093181) + (xy 195.324659 103.096383) + (xy 195.366589 103.1025) + (xy 195.3965 103.1025) + (xy 195.440694 103.120806) + (xy 195.459 103.165) + (xy 195.459 103.556) + (xy 195.440694 103.600194) + (xy 195.3965 103.6185) + (xy 194.3035 103.6185) + (xy 194.259306 103.600194) + (xy 194.241 103.556) + (xy 194.241 103.164999) + (xy 194.259306 103.120805) + (xy 194.3035 103.102499) + (xy 194.33341 103.102499) + (xy 194.402695 103.092301) + (xy 194.508036 103.040581) + (xy 194.511683 103.036928) + (xy 194.587296 102.961183) + (xy 194.587298 102.961181) + (xy 194.590944 102.957528) + (xy 194.639937 102.857301) + (xy 194.640349 102.856458) + (xy 194.640349 102.856457) + (xy 194.64248 102.852098) + (xy 194.643408 102.84574) + (xy 194.648007 102.814208) + (xy 194.6525 102.783411) + (xy 194.652499 102.26659) + (xy 194.642301 102.197305) + (xy 194.590581 102.091964) + (xy 194.519851 102.021357) + (xy 194.511183 102.012704) + (xy 194.511181 102.012702) + (xy 194.507528 102.009056) + (xy 194.434238 101.973231) + (xy 194.406458 101.959651) + (xy 194.406457 101.959651) + (xy 194.402098 101.95752) + (xy 194.397295 101.956819) + (xy 194.397294 101.956819) + (xy 194.375341 101.953617) + (xy 194.333411 101.9475) + (xy 194.050749 101.9475) + (xy 193.76659 101.947501) + (xy 193.697305 101.957699) + (xy 193.591964 102.009419) + (xy 193.588318 102.013071) + (xy 193.588317 102.013072) + (xy 193.512704 102.088817) + (xy 193.512702 102.088819) + (xy 193.509056 102.092472) + (xy 193.473231 102.165762) + (xy 193.459943 102.192946) + (xy 193.45752 102.197902) + (xy 193.4475 102.266589) + (xy 193.447501 102.78341) + (xy 193.457699 102.852695) + (xy 193.509419 102.958036) + (xy 193.513071 102.961682) + (xy 193.513072 102.961683) + (xy 193.588817 103.037296) + (xy 193.588819 103.037298) + (xy 193.592472 103.040944) + (xy 193.665762 103.076769) + (xy 193.693158 103.090161) + (xy 193.697902 103.09248) + (xy 193.702705 103.093181) + (xy 193.702706 103.093181) + (xy 193.724659 103.096383) + (xy 193.766589 103.1025) + (xy 193.7965 103.1025) + (xy 193.840694 103.120806) + (xy 193.859 103.165) + (xy 193.859 103.556) + (xy 193.840694 103.600194) + (xy 193.7965 103.6185) + (xy 192.194 103.6185) + (xy 192.149806 103.600194) + (xy 192.1315 103.556) + (xy 192.1315 101.231083) + (xy 193.448001 101.231083) + (xy 193.448333 101.235614) + (xy 193.457478 101.297743) + (xy 193.460326 101.30691) + (xy 193.507556 101.403105) + (xy 193.513484 101.411387) + (xy 193.589111 101.486883) + (xy 193.597406 101.492799) + (xy 193.693687 101.539863) + (xy 193.702851 101.542695) + (xy 193.764391 101.551672) + (xy 193.768904 101.552) + (xy 193.974069 101.552) + (xy 193.982859 101.548359) + (xy 193.9865 101.539569) + (xy 193.9865 101.539568) + (xy 194.1135 101.539568) + (xy 194.117141 101.548358) + (xy 194.125931 101.551999) + (xy 194.331083 101.551999) + (xy 194.335614 101.551667) + (xy 194.397743 101.542522) + (xy 194.40691 101.539674) + (xy 194.503105 101.492444) + (xy 194.511387 101.486516) + (xy 194.586883 101.410889) + (xy 194.592799 101.402594) + (xy 194.639863 101.306313) + (xy 194.642695 101.297149) + (xy 194.651672 101.235609) + (xy 194.652 101.231096) + (xy 194.652 101.231083) + (xy 195.048001 101.231083) + (xy 195.048333 101.235614) + (xy 195.057478 101.297743) + (xy 195.060326 101.30691) + (xy 195.107556 101.403105) + (xy 195.113484 101.411387) + (xy 195.189111 101.486883) + (xy 195.197406 101.492799) + (xy 195.293687 101.539863) + (xy 195.302851 101.542695) + (xy 195.364391 101.551672) + (xy 195.368904 101.552) + (xy 195.574069 101.552) + (xy 195.582859 101.548359) + (xy 195.5865 101.539569) + (xy 195.5865 101.539568) + (xy 195.7135 101.539568) + (xy 195.717141 101.548358) + (xy 195.725931 101.551999) + (xy 195.931083 101.551999) + (xy 195.935614 101.551667) + (xy 195.997743 101.542522) + (xy 196.00691 101.539674) + (xy 196.103105 101.492444) + (xy 196.111387 101.486516) + (xy 196.186883 101.410889) + (xy 196.192799 101.402594) + (xy 196.239863 101.306313) + (xy 196.242695 101.297149) + (xy 196.251672 101.235609) + (xy 196.252 101.231096) + (xy 196.252 101.050931) + (xy 196.248359 101.042141) + (xy 196.239569 101.0385) + (xy 195.725931 101.0385) + (xy 195.717141 101.042141) + (xy 195.7135 101.050931) + (xy 195.7135 101.539568) + (xy 195.5865 101.539568) + (xy 195.5865 101.050931) + (xy 195.582859 101.042141) + (xy 195.574069 101.0385) + (xy 195.060432 101.0385) + (xy 195.051642 101.042141) + (xy 195.048001 101.050931) + (xy 195.048001 101.231083) + (xy 194.652 101.231083) + (xy 194.652 101.050931) + (xy 194.648359 101.042141) + (xy 194.639569 101.0385) + (xy 194.125931 101.0385) + (xy 194.117141 101.042141) + (xy 194.1135 101.050931) + (xy 194.1135 101.539568) + (xy 193.9865 101.539568) + (xy 193.9865 101.050931) + (xy 193.982859 101.042141) + (xy 193.974069 101.0385) + (xy 193.460432 101.0385) + (xy 193.451642 101.042141) + (xy 193.448001 101.050931) + (xy 193.448001 101.231083) + (xy 192.1315 101.231083) + (xy 192.1315 100.899069) + (xy 193.448 100.899069) + (xy 193.451641 100.907859) + (xy 193.460431 100.9115) + (xy 193.974069 100.9115) + (xy 193.982859 100.907859) + (xy 193.9865 100.899069) + (xy 194.1135 100.899069) + (xy 194.117141 100.907859) + (xy 194.125931 100.9115) + (xy 194.639568 100.9115) + (xy 194.648358 100.907859) + (xy 194.651999 100.899069) + (xy 195.048 100.899069) + (xy 195.051641 100.907859) + (xy 195.060431 100.9115) + (xy 195.574069 100.9115) + (xy 195.582859 100.907859) + (xy 195.5865 100.899069) + (xy 195.7135 100.899069) + (xy 195.717141 100.907859) + (xy 195.725931 100.9115) + (xy 196.239568 100.9115) + (xy 196.248358 100.907859) + (xy 196.251999 100.899069) + (xy 196.251999 100.718917) + (xy 196.251667 100.714386) + (xy 196.242522 100.652257) + (xy 196.239674 100.64309) + (xy 196.192444 100.546895) + (xy 196.186516 100.538613) + (xy 196.110889 100.463117) + (xy 196.102594 100.457201) + (xy 196.006313 100.410137) + (xy 195.997149 100.407305) + (xy 195.935609 100.398328) + (xy 195.931096 100.398) + (xy 195.725931 100.398) + (xy 195.717141 100.401641) + (xy 195.7135 100.410431) + (xy 195.7135 100.899069) + (xy 195.5865 100.899069) + (xy 195.5865 100.410432) + (xy 195.582859 100.401642) + (xy 195.574069 100.398001) + (xy 195.368917 100.398001) + (xy 195.364386 100.398333) + (xy 195.302257 100.407478) + (xy 195.29309 100.410326) + (xy 195.196895 100.457556) + (xy 195.188613 100.463484) + (xy 195.113117 100.539111) + (xy 195.107201 100.547406) + (xy 195.060137 100.643687) + (xy 195.057305 100.652851) + (xy 195.048328 100.714391) + (xy 195.048 100.718904) + (xy 195.048 100.899069) + (xy 194.651999 100.899069) + (xy 194.651999 100.718917) + (xy 194.651667 100.714386) + (xy 194.642522 100.652257) + (xy 194.639674 100.64309) + (xy 194.592444 100.546895) + (xy 194.586516 100.538613) + (xy 194.510889 100.463117) + (xy 194.502594 100.457201) + (xy 194.406313 100.410137) + (xy 194.397149 100.407305) + (xy 194.335609 100.398328) + (xy 194.331096 100.398) + (xy 194.125931 100.398) + (xy 194.117141 100.401641) + (xy 194.1135 100.410431) + (xy 194.1135 100.899069) + (xy 193.9865 100.899069) + (xy 193.9865 100.410432) + (xy 193.982859 100.401642) + (xy 193.974069 100.398001) + (xy 193.768917 100.398001) + (xy 193.764386 100.398333) + (xy 193.702257 100.407478) + (xy 193.69309 100.410326) + (xy 193.596895 100.457556) + (xy 193.588613 100.463484) + (xy 193.513117 100.539111) + (xy 193.507201 100.547406) + (xy 193.460137 100.643687) + (xy 193.457305 100.652851) + (xy 193.448328 100.714391) + (xy 193.448 100.718904) + (xy 193.448 100.899069) + (xy 192.1315 100.899069) + (xy 192.1315 100.43391) + (xy 192.149806 100.389716) + (xy 194.236257 98.303265) + (xy 194.246549 98.294953) + (xy 194.255961 98.288876) + (xy 194.255964 98.288873) + (xy 194.260304 98.286071) + (xy 194.28355 98.256584) + (xy 194.288438 98.251084) + (xy 194.292171 98.247351) + (xy 194.304349 98.23031) + (xy 194.306072 98.228016) + (xy 194.338934 98.18633) + (xy 194.340647 98.181452) + (xy 194.342574 98.177947) + (xy 194.344331 98.174361) + (xy 194.347335 98.170157) + (xy 194.362532 98.119339) + (xy 194.363443 98.116538) + (xy 194.36852 98.102081) + (xy 194.381016 98.066498) + (xy 194.3815 98.060909) + (xy 194.3815 98.060641) + (xy 194.381839 98.056644) + (xy 194.382246 98.05342) + (xy 194.383725 98.048475) + (xy 194.381548 97.993063) + (xy 194.3815 97.99061) + (xy 194.3815 95.712353) + (xy 194.392174 95.679058) + (xy 194.392058 95.679001) + (xy 194.392446 95.678209) + (xy 194.393149 95.676016) + (xy 194.394329 95.674364) + (xy 194.39433 95.674362) + (xy 194.397335 95.670157) + (xy 194.398815 95.665206) + (xy 194.398817 95.665203) + (xy 194.399457 95.663063) + (xy 194.405791 95.648734) + (xy 194.406949 95.64681) + (xy 194.406949 95.646809) + (xy 194.409615 95.642382) + (xy 194.415428 95.615378) + (xy 194.442624 95.576026) + (xy 194.448983 95.572428) + (xy 194.518155 95.538466) + (xy 194.518156 95.538465) + (xy 194.52279 95.53619) + (xy 194.526848 95.532125) + (xy 194.60793 95.450902) + (xy 194.607932 95.4509) + (xy 194.611578 95.447247) + (xy 194.628923 95.411764) + (xy 194.664638 95.338699) + (xy 194.664638 95.338698) + (xy 194.666769 95.334339) + (xy 194.6775 95.260781) + (xy 194.6775 95.258453) + (xy 195.323001 95.258453) + (xy 195.323333 95.262985) + (xy 195.333201 95.330028) + (xy 195.336048 95.339192) + (xy 195.386947 95.442861) + (xy 195.392875 95.451142) + (xy 195.474393 95.532518) + (xy 195.482688 95.538434) + (xy 195.586446 95.589152) + (xy 195.59561 95.591984) + (xy 195.662021 95.601672) + (xy 195.666534 95.602) + (xy 195.874069 95.602) + (xy 195.882859 95.598359) + (xy 195.8865 95.589569) + (xy 195.8865 95.589568) + (xy 196.0135 95.589568) + (xy 196.017141 95.598358) + (xy 196.025931 95.601999) + (xy 196.233453 95.601999) + (xy 196.237985 95.601667) + (xy 196.305028 95.591799) + (xy 196.314192 95.588952) + (xy 196.417861 95.538053) + (xy 196.426142 95.532125) + (xy 196.507518 95.450607) + (xy 196.513434 95.442312) + (xy 196.564152 95.338554) + (xy 196.566984 95.32939) + (xy 196.576672 95.262979) + (xy 196.577 95.258466) + (xy 196.577 94.825931) + (xy 196.573359 94.817141) + (xy 196.564569 94.8135) + (xy 196.025931 94.8135) + (xy 196.017141 94.817141) + (xy 196.0135 94.825931) + (xy 196.0135 95.589568) + (xy 195.8865 95.589568) + (xy 195.8865 94.825931) + (xy 195.882859 94.817141) + (xy 195.874069 94.8135) + (xy 195.335432 94.8135) + (xy 195.326642 94.817141) + (xy 195.323001 94.825931) + (xy 195.323001 95.258453) + (xy 194.6775 95.258453) + (xy 194.677499 94.674069) + (xy 195.323 94.674069) + (xy 195.326641 94.682859) + (xy 195.335431 94.6865) + (xy 195.874069 94.6865) + (xy 195.882859 94.682859) + (xy 195.8865 94.674069) + (xy 196.0135 94.674069) + (xy 196.017141 94.682859) + (xy 196.025931 94.6865) + (xy 196.564568 94.6865) + (xy 196.573358 94.682859) + (xy 196.576999 94.674069) + (xy 196.576999 94.241547) + (xy 196.576667 94.237015) + (xy 196.566799 94.169972) + (xy 196.563952 94.160808) + (xy 196.513053 94.057139) + (xy 196.507125 94.048858) + (xy 196.425607 93.967482) + (xy 196.417312 93.961566) + (xy 196.313554 93.910848) + (xy 196.30439 93.908016) + (xy 196.237979 93.898328) + (xy 196.233466 93.898) + (xy 196.025931 93.898) + (xy 196.017141 93.901641) + (xy 196.0135 93.910431) + (xy 196.0135 94.674069) + (xy 195.8865 94.674069) + (xy 195.8865 93.910432) + (xy 195.882859 93.901642) + (xy 195.874069 93.898001) + (xy 195.666547 93.898001) + (xy 195.662015 93.898333) + (xy 195.594972 93.908201) + (xy 195.585808 93.911048) + (xy 195.482139 93.961947) + (xy 195.473858 93.967875) + (xy 195.392482 94.049393) + (xy 195.386566 94.057688) + (xy 195.335848 94.161446) + (xy 195.333016 94.17061) + (xy 195.323328 94.237021) + (xy 195.323 94.241534) + (xy 195.323 94.674069) + (xy 194.677499 94.674069) + (xy 194.677499 94.23922) + (xy 194.666578 94.165021) + (xy 194.639703 94.110283) + (xy 194.613466 94.056845) + (xy 194.613465 94.056844) + (xy 194.61119 94.05221) + (xy 194.601833 94.042869) + (xy 194.525902 93.96707) + (xy 194.5259 93.967068) + (xy 194.522247 93.963422) + (xy 194.409339 93.908231) + (xy 194.404541 93.907531) + (xy 194.400545 93.906296) + (xy 194.363727 93.875757) + (xy 194.3565 93.846583) + (xy 194.3565 93.104825) + (xy 194.374806 93.060631) + (xy 194.391455 93.048722) + (xy 194.403401 93.042857) + (xy 194.403402 93.042856) + (xy 194.408036 93.040581) + (xy 194.412094 93.036516) + (xy 194.487296 92.961183) + (xy 194.487298 92.961181) + (xy 194.490944 92.957528) + (xy 194.526769 92.884238) + (xy 194.540349 92.856458) + (xy 194.540349 92.856457) + (xy 194.54248 92.852098) + (xy 194.543777 92.843211) + (xy 194.551226 92.792141) + (xy 194.5525 92.783411) + (xy 194.5525 92.781083) + (xy 194.948001 92.781083) + (xy 194.948333 92.785614) + (xy 194.957478 92.847743) + (xy 194.960326 92.85691) + (xy 195.007556 92.953105) + (xy 195.013484 92.961387) + (xy 195.089111 93.036883) + (xy 195.097406 93.042799) + (xy 195.193687 93.089863) + (xy 195.202851 93.092695) + (xy 195.264391 93.101672) + (xy 195.268904 93.102) + (xy 195.449069 93.102) + (xy 195.457859 93.098359) + (xy 195.4615 93.089569) + (xy 195.4615 93.089568) + (xy 195.5885 93.089568) + (xy 195.592141 93.098358) + (xy 195.600931 93.101999) + (xy 195.781083 93.101999) + (xy 195.785614 93.101667) + (xy 195.847743 93.092522) + (xy 195.85691 93.089674) + (xy 195.953105 93.042444) + (xy 195.961387 93.036516) + (xy 196.036883 92.960889) + (xy 196.042799 92.952594) + (xy 196.089863 92.856313) + (xy 196.092695 92.847149) + (xy 196.101672 92.785609) + (xy 196.102 92.781096) + (xy 196.102 92.575931) + (xy 196.098359 92.567141) + (xy 196.089569 92.5635) + (xy 195.600931 92.5635) + (xy 195.592141 92.567141) + (xy 195.5885 92.575931) + (xy 195.5885 93.089568) + (xy 195.4615 93.089568) + (xy 195.4615 92.575931) + (xy 195.457859 92.567141) + (xy 195.449069 92.5635) + (xy 194.960432 92.5635) + (xy 194.951642 92.567141) + (xy 194.948001 92.575931) + (xy 194.948001 92.781083) + (xy 194.5525 92.781083) + (xy 194.552499 92.424069) + (xy 194.948 92.424069) + (xy 194.951641 92.432859) + (xy 194.960431 92.4365) + (xy 195.449069 92.4365) + (xy 195.457859 92.432859) + (xy 195.4615 92.424069) + (xy 195.5885 92.424069) + (xy 195.592141 92.432859) + (xy 195.600931 92.4365) + (xy 196.089568 92.4365) + (xy 196.098358 92.432859) + (xy 196.101999 92.424069) + (xy 196.101999 92.218917) + (xy 196.101667 92.214386) + (xy 196.092522 92.152257) + (xy 196.089674 92.14309) + (xy 196.042444 92.046895) + (xy 196.036516 92.038613) + (xy 195.960889 91.963117) + (xy 195.952594 91.957201) + (xy 195.856313 91.910137) + (xy 195.847149 91.907305) + (xy 195.785609 91.898328) + (xy 195.781096 91.898) + (xy 195.600931 91.898) + (xy 195.592141 91.901641) + (xy 195.5885 91.910431) + (xy 195.5885 92.424069) + (xy 195.4615 92.424069) + (xy 195.4615 91.910432) + (xy 195.457859 91.901642) + (xy 195.449069 91.898001) + (xy 195.268917 91.898001) + (xy 195.264386 91.898333) + (xy 195.202257 91.907478) + (xy 195.19309 91.910326) + (xy 195.096895 91.957556) + (xy 195.088613 91.963484) + (xy 195.013117 92.039111) + (xy 195.007201 92.047406) + (xy 194.960137 92.143687) + (xy 194.957305 92.152851) + (xy 194.948328 92.214391) + (xy 194.948 92.218904) + (xy 194.948 92.424069) + (xy 194.552499 92.424069) + (xy 194.552499 92.21659) + (xy 194.542301 92.147305) + (xy 194.537788 92.138112) + (xy 194.527002 92.116145) + (xy 194.490581 92.041964) + (xy 194.462961 92.014392) + (xy 194.411183 91.962704) + (xy 194.411181 91.962702) + (xy 194.407528 91.959056) + (xy 194.402887 91.956787) + (xy 194.402885 91.956786) + (xy 194.391552 91.951246) + (xy 194.359887 91.915392) + (xy 194.3565 91.895096) + (xy 194.3565 91.45891) + (xy 194.374806 91.414716) + (xy 195.34051 90.449013) + (xy 195.384704 90.430707) + (xy 195.428898 90.449013) + (xy 195.834371 90.854485) + (xy 195.838678 90.86056) + (xy 195.83925 90.860105) + (xy 195.843631 90.865613) + (xy 195.846678 90.871958) + (xy 195.852175 90.876354) + (xy 195.869766 90.890422) + (xy 195.874925 90.895039) + (xy 195.880169 90.900283) + (xy 195.883132 90.902145) + (xy 195.883139 90.902151) + (xy 195.886174 90.904058) + (xy 195.89195 90.908163) + (xy 195.914733 90.926383) + (xy 195.921591 90.92796) + (xy 195.925356 90.92978) + (xy 195.929292 90.931158) + (xy 195.935248 90.934902) + (xy 195.942239 90.935692) + (xy 195.942244 90.935694) + (xy 195.964237 90.93818) + (xy 195.971223 90.939373) + (xy 195.978297 90.941) + (xy 195.985677 90.941) + (xy 195.992698 90.941396) + (xy 196.021838 90.94469) + (xy 196.028484 90.942369) + (xy 196.035478 90.941585) + (xy 196.035554 90.942264) + (xy 196.043004 90.941) + (xy 198.956768 90.941) + (xy 198.964107 90.94225) + (xy 198.96419 90.941523) + (xy 198.971181 90.94232) + (xy 198.977822 90.944652) + (xy 198.984815 90.943874) + (xy 198.984816 90.943874) + (xy 199.005939 90.941523) + (xy 199.007198 90.941383) + (xy 199.01411 90.941) + (xy 199.021533 90.941) + (xy 199.028465 90.939419) + (xy 199.035418 90.938243) + (xy 199.064429 90.935014) + (xy 199.070393 90.931281) + (xy 199.074341 90.929906) + (xy 199.0781 90.928097) + (xy 199.084959 90.926532) + (xy 199.107774 90.908351) + (xy 199.113559 90.904256) + (xy 199.116715 90.90228) + (xy 199.116717 90.902279) + (xy 199.119711 90.900404) + (xy 199.124924 90.895191) + (xy 199.130168 90.890506) + (xy 199.147604 90.876612) + (xy 199.147604 90.876611) + (xy 199.153109 90.872225) + (xy 199.156167 90.865886) + (xy 199.160558 90.860385) + (xy 199.161092 90.860811) + (xy 199.165468 90.854647) + (xy 199.679487 90.340628) + (xy 199.685561 90.336323) + (xy 199.685105 90.33575) + (xy 199.690613 90.331369) + (xy 199.696958 90.328322) + (xy 199.71241 90.309) + (xy 199.715418 90.305239) + (xy 199.720035 90.30008) + (xy 199.725284 90.294831) + (xy 199.729057 90.288828) + (xy 199.733165 90.283047) + (xy 199.736387 90.279019) + (xy 199.751383 90.260267) + (xy 199.75296 90.253407) + (xy 199.754778 90.249647) + (xy 199.756156 90.245711) + (xy 199.759902 90.239752) + (xy 199.76082 90.231637) + (xy 199.763179 90.210764) + (xy 199.764371 90.203787) + (xy 199.766 90.196703) + (xy 199.766 90.189329) + (xy 199.766396 90.182307) + (xy 199.769355 90.156136) + (xy 199.79251 90.114278) + (xy 199.823303 90.101192) + (xy 199.845504 90.09827) + (xy 199.856331 90.096845) + (xy 199.856332 90.096845) + (xy 199.861071 90.096221) + (xy 199.877241 90.088681) + (xy 199.960787 90.049723) + (xy 199.960789 90.049721) + (xy 199.965744 90.047411) + (xy 200.047411 89.965744) + (xy 200.049721 89.960789) + (xy 200.049723 89.960787) + (xy 200.07804 89.90006) + (xy 200.096221 89.861071) + (xy 200.099835 89.833618) + (xy 200.102233 89.815407) + (xy 200.102233 89.815399) + (xy 200.1025 89.813375) + (xy 200.102499 89.186626) + (xy 200.102232 89.184593) + (xy 200.096845 89.143669) + (xy 200.096845 89.143668) + (xy 200.096221 89.138929) + (xy 200.084676 89.11417) + (xy 200.049723 89.039213) + (xy 200.049721 89.039211) + (xy 200.047411 89.034256) + (xy 199.965744 88.952589) + (xy 199.960789 88.950279) + (xy 199.960787 88.950277) + (xy 199.900461 88.922147) + (xy 199.861071 88.903779) + (xy 199.841048 88.901143) + (xy 199.815407 88.897767) + (xy 199.815399 88.897767) + (xy 199.813375 88.8975) + (xy 199.811328 88.8975) + (xy 199.574358 88.897501) + (xy 199.336626 88.897501) + (xy 199.334615 88.897766) + (xy 199.33461 88.897766) + (xy 199.293669 88.903155) + (xy 199.293668 88.903155) + (xy 199.288929 88.903779) + (xy 199.284595 88.9058) + (xy 199.189213 88.950277) + (xy 199.189211 88.950279) + (xy 199.184256 88.952589) + (xy 199.102589 89.034256) + (xy 199.100279 89.039211) + (xy 199.100277 89.039213) + (xy 199.083854 89.074433) + (xy 199.053779 89.138929) + (xy 199.052799 89.146375) + (xy 199.047767 89.184593) + (xy 199.047767 89.184601) + (xy 199.0475 89.186625) + (xy 199.047501 89.813374) + (xy 199.047766 89.815385) + (xy 199.047766 89.81539) + (xy 199.053079 89.855751) + (xy 199.053779 89.861071) + (xy 199.0558 89.865404) + (xy 199.0558 89.865405) + (xy 199.100277 89.960787) + (xy 199.100279 89.960789) + (xy 199.102589 89.965744) + (xy 199.184256 90.047411) + (xy 199.189211 90.049721) + (xy 199.189213 90.049723) + (xy 199.272641 90.088626) + (xy 199.304958 90.123894) + (xy 199.302871 90.171684) + (xy 199.290421 90.189464) + (xy 198.939191 90.540694) + (xy 198.894997 90.559) + (xy 196.105003 90.559) + (xy 196.060809 90.540694) + (xy 195.682793 90.162678) + (xy 195.664487 90.118484) + (xy 195.665353 90.108115) + (xy 195.681767 90.010552) + (xy 195.681896 90) + (xy 195.664536 89.878781) + (xy 195.613852 89.767307) + (xy 195.599199 89.750302) + (xy 195.597708 89.747375) + (xy 195.5919 89.741567) + (xy 195.588746 89.73817) + (xy 195.536826 89.677914) + (xy 195.533918 89.674539) + (xy 195.513741 89.661461) + (xy 195.510155 89.658559) + (xy 195.510081 89.658661) + (xy 195.506106 89.655773) + (xy 195.502625 89.652292) + (xy 195.491343 89.646543) + (xy 195.485736 89.643309) + (xy 195.485735 89.643308) + (xy 195.457055 89.624719) + (xy 195.434893 89.610354) + (xy 195.43489 89.610353) + (xy 195.43116 89.607935) + (xy 195.426901 89.606661) + (xy 195.426898 89.60666) + (xy 195.408345 89.601112) + (xy 195.398839 89.598269) + (xy 195.388377 89.594079) + (xy 195.382813 89.591244) + (xy 195.371067 89.589384) + (xy 195.362951 89.587536) + (xy 195.334793 89.579115) + (xy 195.313838 89.572848) + (xy 195.269496 89.572577) + (xy 195.260103 89.571809) + (xy 195.254857 89.570978) + (xy 195.254856 89.570978) + (xy 195.25 89.570209) + (xy 195.241373 89.571576) + (xy 195.23122 89.572344) + (xy 195.212836 89.572231) + (xy 195.195838 89.572127) + (xy 195.195836 89.572127) + (xy 195.191385 89.5721) + (xy 195.137011 89.587641) + (xy 195.129623 89.589275) + (xy 195.117187 89.591244) + (xy 195.112804 89.593477) + (xy 195.11187 89.593781) + (xy 195.102155 89.597603) + (xy 195.077929 89.604526) + (xy 195.077927 89.604527) + (xy 195.073644 89.605751) + (xy 195.069875 89.608129) + (xy 195.056812 89.616371) + (xy 195.014119 89.643309) + (xy 195.012819 89.644129) + (xy 195.007842 89.646959) + (xy 195.001757 89.650059) + (xy 195.001756 89.65006) + (xy 194.997375 89.652292) + (xy 194.993897 89.65577) + (xy 194.992445 89.656825) + (xy 194.989058 89.65912) + (xy 194.97008 89.671095) + (xy 194.967137 89.674427) + (xy 194.967135 89.674429) + (xy 194.907156 89.742342) + (xy 194.906032 89.743538) + (xy 194.905775 89.743892) + (xy 194.905554 89.744113) + (xy 194.905405 89.744204) + (xy 194.904504 89.745163) + (xy 194.902292 89.747375) + (xy 194.901509 89.746592) + (xy 194.900362 89.747296) + (xy 194.901721 89.748496) + (xy 194.889018 89.762879) + (xy 194.860993 89.822571) + (xy 194.841821 89.863405) + (xy 194.82944 89.881037) + (xy 193.738741 90.971737) + (xy 193.728448 90.98005) + (xy 193.719035 90.986127) + (xy 193.719033 90.986129) + (xy 193.714696 90.988929) + (xy 193.699551 91.00814) + (xy 193.691454 91.018411) + (xy 193.686566 91.023911) + (xy 193.682829 91.027648) + (xy 193.681328 91.029748) + (xy 193.681325 91.029752) + (xy 193.670661 91.044674) + (xy 193.668893 91.047029) + (xy 193.636066 91.08867) + (xy 193.634355 91.093543) + (xy 193.632441 91.097023) + (xy 193.630668 91.100641) + (xy 193.627666 91.104843) + (xy 193.626187 91.109787) + (xy 193.626187 91.109788) + (xy 193.619448 91.132321) + (xy 193.612889 91.154256) + (xy 193.612469 91.155659) + (xy 193.611559 91.158456) + (xy 193.593984 91.208502) + (xy 193.5935 91.214091) + (xy 193.5935 91.21435) + (xy 193.593161 91.218354) + (xy 193.592754 91.221579) + (xy 193.591275 91.226524) + (xy 193.592607 91.260414) + (xy 193.593452 91.281924) + (xy 193.5935 91.284378) + (xy 193.5935 91.895175) + (xy 193.575194 91.939369) + (xy 193.558545 91.951278) + (xy 193.546599 91.957143) + (xy 193.546598 91.957144) + (xy 193.541964 91.959419) + (xy 193.538318 91.963071) + (xy 193.538317 91.963072) + (xy 193.462704 92.038817) + (xy 193.462702 92.038819) + (xy 193.459056 92.042472) + (xy 193.428423 92.105139) + (xy 193.409943 92.142946) + (xy 193.40752 92.147902) + (xy 193.406819 92.152705) + (xy 193.406819 92.152706) + (xy 193.404937 92.165611) + (xy 193.3975 92.216589) + (xy 193.397501 92.78341) + (xy 193.407699 92.852695) + (xy 193.459419 92.958036) + (xy 193.463071 92.961682) + (xy 193.463072 92.961683) + (xy 193.538817 93.037296) + (xy 193.538819 93.037298) + (xy 193.542472 93.040944) + (xy 193.547113 93.043213) + (xy 193.547115 93.043214) + (xy 193.558448 93.048754) + (xy 193.590113 93.084608) + (xy 193.5935 93.104904) + (xy 193.5935 93.921634) + (xy 193.575233 93.96579) + (xy 193.49207 94.049098) + (xy 193.492068 94.0491) + (xy 193.488422 94.052753) + (xy 193.486154 94.057393) + (xy 193.435675 94.160662) + (xy 193.433231 94.165661) + (xy 193.43253 94.170464) + (xy 193.43253 94.170465) + (xy 193.430707 94.182964) + (xy 193.4225 94.239219) + (xy 193.422501 95.26078) + (xy 193.433422 95.334979) + (xy 193.48881 95.44779) + (xy 193.492462 95.451436) + (xy 193.492463 95.451437) + (xy 193.574098 95.53293) + (xy 193.5741 95.532932) + (xy 193.577753 95.536578) + (xy 193.583446 95.539361) + (xy 193.584009 95.539999) + (xy 193.586599 95.541846) + (xy 193.586171 95.542446) + (xy 193.615112 95.575213) + (xy 193.6185 95.595512) + (xy 193.6185 97.816089) + (xy 193.600194 97.860283) + (xy 191.51374 99.946737) + (xy 191.503448 99.955049) + (xy 191.494039 99.961124) + (xy 191.494036 99.961127) + (xy 191.489696 99.963929) + (xy 191.476725 99.980382) + (xy 191.466454 99.993411) + (xy 191.461566 99.998911) + (xy 191.457829 100.002648) + (xy 191.456328 100.004748) + (xy 191.456325 100.004752) + (xy 191.445661 100.019674) + (xy 191.443893 100.022029) + (xy 191.411066 100.06367) + (xy 191.409355 100.068543) + (xy 191.407441 100.072023) + (xy 191.405668 100.075641) + (xy 191.402666 100.079843) + (xy 191.388227 100.128126) + (xy 191.387469 100.130659) + (xy 191.386559 100.133456) + (xy 191.368984 100.183502) + (xy 191.3685 100.189091) + (xy 191.3685 100.18935) + (xy 191.368161 100.193354) + (xy 191.367754 100.196579) + (xy 191.366275 100.201524) + (xy 191.367066 100.221645) + (xy 191.368452 100.256924) + (xy 191.3685 100.259378) + (xy 191.3685 103.556) + (xy 191.350194 103.600194) + (xy 191.306 103.6185) + (xy 189.5035 103.6185) + (xy 189.459306 103.600194) + (xy 189.441 103.556) + (xy 189.441 103.164999) + (xy 189.459306 103.120805) + (xy 189.5035 103.102499) + (xy 189.53341 103.102499) + (xy 189.602695 103.092301) + (xy 189.708036 103.040581) + (xy 189.711683 103.036928) + (xy 189.787296 102.961183) + (xy 189.787298 102.961181) + (xy 189.790944 102.957528) + (xy 189.839937 102.857301) + (xy 189.840349 102.856458) + (xy 189.840349 102.856457) + (xy 189.84248 102.852098) + (xy 189.843408 102.84574) + (xy 189.848007 102.814208) + (xy 189.8525 102.783411) + (xy 189.852499 102.26659) + (xy 189.842301 102.197305) + (xy 189.790581 102.091964) + (xy 189.719851 102.021357) + (xy 189.711183 102.012704) + (xy 189.711181 102.012702) + (xy 189.707528 102.009056) + (xy 189.634238 101.973231) + (xy 189.606458 101.959651) + (xy 189.606457 101.959651) + (xy 189.602098 101.95752) + (xy 189.597295 101.956819) + (xy 189.597294 101.956819) + (xy 189.575341 101.953617) + (xy 189.533411 101.9475) + (xy 189.250749 101.9475) + (xy 188.96659 101.947501) + (xy 188.897305 101.957699) + (xy 188.791964 102.009419) + (xy 188.788318 102.013071) + (xy 188.788317 102.013072) + (xy 188.712704 102.088817) + (xy 188.712702 102.088819) + (xy 188.709056 102.092472) + (xy 188.673231 102.165762) + (xy 188.659943 102.192946) + (xy 188.65752 102.197902) + (xy 188.6475 102.266589) + (xy 188.647501 102.78341) + (xy 188.657699 102.852695) + (xy 188.709419 102.958036) + (xy 188.713071 102.961682) + (xy 188.713072 102.961683) + (xy 188.788817 103.037296) + (xy 188.788819 103.037298) + (xy 188.792472 103.040944) + (xy 188.865762 103.076769) + (xy 188.893158 103.090161) + (xy 188.897902 103.09248) + (xy 188.902705 103.093181) + (xy 188.902706 103.093181) + (xy 188.924659 103.096383) + (xy 188.966589 103.1025) + (xy 188.9965 103.1025) + (xy 189.040694 103.120806) + (xy 189.059 103.165) + (xy 189.059 103.556) + (xy 189.040694 103.600194) + (xy 188.9965 103.6185) + (xy 185.5035 103.6185) + (xy 185.459306 103.600194) + (xy 185.441 103.556) + (xy 185.441 103.164999) + (xy 185.459306 103.120805) + (xy 185.5035 103.102499) + (xy 185.53341 103.102499) + (xy 185.602695 103.092301) + (xy 185.708036 103.040581) + (xy 185.711683 103.036928) + (xy 185.787296 102.961183) + (xy 185.787298 102.961181) + (xy 185.790944 102.957528) + (xy 185.839937 102.857301) + (xy 185.840349 102.856458) + (xy 185.840349 102.856457) + (xy 185.84248 102.852098) + (xy 185.843408 102.84574) + (xy 185.848007 102.814208) + (xy 185.8525 102.783411) + (xy 185.852499 102.26659) + (xy 185.842301 102.197305) + (xy 185.790581 102.091964) + (xy 185.719851 102.021357) + (xy 185.711183 102.012704) + (xy 185.711181 102.012702) + (xy 185.707528 102.009056) + (xy 185.634238 101.973231) + (xy 185.606458 101.959651) + (xy 185.606457 101.959651) + (xy 185.602098 101.95752) + (xy 185.597295 101.956819) + (xy 185.597294 101.956819) + (xy 185.575341 101.953617) + (xy 185.533411 101.9475) + (xy 185.250749 101.9475) + (xy 184.96659 101.947501) + (xy 184.897305 101.957699) + (xy 184.791964 102.009419) + (xy 184.788318 102.013071) + (xy 184.788317 102.013072) + (xy 184.712704 102.088817) + (xy 184.712702 102.088819) + (xy 184.709056 102.092472) + (xy 184.673231 102.165762) + (xy 184.659943 102.192946) + (xy 184.65752 102.197902) + (xy 184.6475 102.266589) + (xy 184.647501 102.78341) + (xy 184.657699 102.852695) + (xy 184.709419 102.958036) + (xy 184.713071 102.961682) + (xy 184.713072 102.961683) + (xy 184.788817 103.037296) + (xy 184.788819 103.037298) + (xy 184.792472 103.040944) + (xy 184.865762 103.076769) + (xy 184.893158 103.090161) + (xy 184.897902 103.09248) + (xy 184.902705 103.093181) + (xy 184.902706 103.093181) + (xy 184.924659 103.096383) + (xy 184.966589 103.1025) + (xy 184.9965 103.1025) + (xy 185.040694 103.120806) + (xy 185.059 103.165) + (xy 185.059 103.556) + (xy 185.040694 103.600194) + (xy 184.9965 103.6185) + (xy 175.1035 103.6185) + (xy 175.059306 103.600194) + (xy 175.041 103.556) + (xy 175.041 103.164999) + (xy 175.059306 103.120805) + (xy 175.1035 103.102499) + (xy 175.13341 103.102499) + (xy 175.202695 103.092301) + (xy 175.308036 103.040581) + (xy 175.311683 103.036928) + (xy 175.387296 102.961183) + (xy 175.387298 102.961181) + (xy 175.390944 102.957528) + (xy 175.439937 102.857301) + (xy 175.440349 102.856458) + (xy 175.440349 102.856457) + (xy 175.44248 102.852098) + (xy 175.443408 102.84574) + (xy 175.448007 102.814208) + (xy 175.4525 102.783411) + (xy 175.452499 102.26659) + (xy 175.442301 102.197305) + (xy 175.390581 102.091964) + (xy 175.319851 102.021357) + (xy 175.311183 102.012704) + (xy 175.311181 102.012702) + (xy 175.307528 102.009056) + (xy 175.234238 101.973231) + (xy 175.206458 101.959651) + (xy 175.206457 101.959651) + (xy 175.202098 101.95752) + (xy 175.197295 101.956819) + (xy 175.197294 101.956819) + (xy 175.175341 101.953617) + (xy 175.133411 101.9475) + (xy 174.850749 101.9475) + (xy 174.56659 101.947501) + (xy 174.497305 101.957699) + (xy 174.391964 102.009419) + (xy 174.388318 102.013071) + (xy 174.388317 102.013072) + (xy 174.312704 102.088817) + (xy 174.312702 102.088819) + (xy 174.309056 102.092472) + (xy 174.273231 102.165762) + (xy 174.259943 102.192946) + (xy 174.25752 102.197902) + (xy 174.2475 102.266589) + (xy 174.247501 102.78341) + (xy 174.257699 102.852695) + (xy 174.309419 102.958036) + (xy 174.313071 102.961682) + (xy 174.313072 102.961683) + (xy 174.388817 103.037296) + (xy 174.388819 103.037298) + (xy 174.392472 103.040944) + (xy 174.465762 103.076769) + (xy 174.493158 103.090161) + (xy 174.497902 103.09248) + (xy 174.502705 103.093181) + (xy 174.502706 103.093181) + (xy 174.524659 103.096383) + (xy 174.566589 103.1025) + (xy 174.5965 103.1025) + (xy 174.640694 103.120806) + (xy 174.659 103.165) + (xy 174.659 103.556) + (xy 174.640694 103.600194) + (xy 174.5965 103.6185) + (xy 167.444 103.6185) + (xy 167.399806 103.600194) + (xy 167.3815 103.556) + (xy 167.3815 101.231083) + (xy 174.248001 101.231083) + (xy 174.248333 101.235614) + (xy 174.257478 101.297743) + (xy 174.260326 101.30691) + (xy 174.307556 101.403105) + (xy 174.313484 101.411387) + (xy 174.389111 101.486883) + (xy 174.397406 101.492799) + (xy 174.493687 101.539863) + (xy 174.502851 101.542695) + (xy 174.564391 101.551672) + (xy 174.568904 101.552) + (xy 174.774069 101.552) + (xy 174.782859 101.548359) + (xy 174.7865 101.539569) + (xy 174.7865 101.539568) + (xy 174.9135 101.539568) + (xy 174.917141 101.548358) + (xy 174.925931 101.551999) + (xy 175.131083 101.551999) + (xy 175.135614 101.551667) + (xy 175.197743 101.542522) + (xy 175.20691 101.539674) + (xy 175.303105 101.492444) + (xy 175.311387 101.486516) + (xy 175.386883 101.410889) + (xy 175.392799 101.402594) + (xy 175.439863 101.306313) + (xy 175.442695 101.297149) + (xy 175.451672 101.235609) + (xy 175.452 101.231096) + (xy 175.452 101.231083) + (xy 184.648001 101.231083) + (xy 184.648333 101.235614) + (xy 184.657478 101.297743) + (xy 184.660326 101.30691) + (xy 184.707556 101.403105) + (xy 184.713484 101.411387) + (xy 184.789111 101.486883) + (xy 184.797406 101.492799) + (xy 184.893687 101.539863) + (xy 184.902851 101.542695) + (xy 184.964391 101.551672) + (xy 184.968904 101.552) + (xy 185.174069 101.552) + (xy 185.182859 101.548359) + (xy 185.1865 101.539569) + (xy 185.1865 101.539568) + (xy 185.3135 101.539568) + (xy 185.317141 101.548358) + (xy 185.325931 101.551999) + (xy 185.531083 101.551999) + (xy 185.535614 101.551667) + (xy 185.597743 101.542522) + (xy 185.60691 101.539674) + (xy 185.703105 101.492444) + (xy 185.711387 101.486516) + (xy 185.786883 101.410889) + (xy 185.792799 101.402594) + (xy 185.839863 101.306313) + (xy 185.842695 101.297149) + (xy 185.851672 101.235609) + (xy 185.852 101.231096) + (xy 185.852 101.231083) + (xy 188.648001 101.231083) + (xy 188.648333 101.235614) + (xy 188.657478 101.297743) + (xy 188.660326 101.30691) + (xy 188.707556 101.403105) + (xy 188.713484 101.411387) + (xy 188.789111 101.486883) + (xy 188.797406 101.492799) + (xy 188.893687 101.539863) + (xy 188.902851 101.542695) + (xy 188.964391 101.551672) + (xy 188.968904 101.552) + (xy 189.174069 101.552) + (xy 189.182859 101.548359) + (xy 189.1865 101.539569) + (xy 189.1865 101.539568) + (xy 189.3135 101.539568) + (xy 189.317141 101.548358) + (xy 189.325931 101.551999) + (xy 189.531083 101.551999) + (xy 189.535614 101.551667) + (xy 189.597743 101.542522) + (xy 189.60691 101.539674) + (xy 189.703105 101.492444) + (xy 189.711387 101.486516) + (xy 189.786883 101.410889) + (xy 189.792799 101.402594) + (xy 189.839863 101.306313) + (xy 189.842695 101.297149) + (xy 189.851672 101.235609) + (xy 189.852 101.231096) + (xy 189.852 101.050931) + (xy 189.848359 101.042141) + (xy 189.839569 101.0385) + (xy 189.325931 101.0385) + (xy 189.317141 101.042141) + (xy 189.3135 101.050931) + (xy 189.3135 101.539568) + (xy 189.1865 101.539568) + (xy 189.1865 101.050931) + (xy 189.182859 101.042141) + (xy 189.174069 101.0385) + (xy 188.660432 101.0385) + (xy 188.651642 101.042141) + (xy 188.648001 101.050931) + (xy 188.648001 101.231083) + (xy 185.852 101.231083) + (xy 185.852 101.050931) + (xy 185.848359 101.042141) + (xy 185.839569 101.0385) + (xy 185.325931 101.0385) + (xy 185.317141 101.042141) + (xy 185.3135 101.050931) + (xy 185.3135 101.539568) + (xy 185.1865 101.539568) + (xy 185.1865 101.050931) + (xy 185.182859 101.042141) + (xy 185.174069 101.0385) + (xy 184.660432 101.0385) + (xy 184.651642 101.042141) + (xy 184.648001 101.050931) + (xy 184.648001 101.231083) + (xy 175.452 101.231083) + (xy 175.452 101.050931) + (xy 175.448359 101.042141) + (xy 175.439569 101.0385) + (xy 174.925931 101.0385) + (xy 174.917141 101.042141) + (xy 174.9135 101.050931) + (xy 174.9135 101.539568) + (xy 174.7865 101.539568) + (xy 174.7865 101.050931) + (xy 174.782859 101.042141) + (xy 174.774069 101.0385) + (xy 174.260432 101.0385) + (xy 174.251642 101.042141) + (xy 174.248001 101.050931) + (xy 174.248001 101.231083) + (xy 167.3815 101.231083) + (xy 167.3815 100.899069) + (xy 174.248 100.899069) + (xy 174.251641 100.907859) + (xy 174.260431 100.9115) + (xy 174.774069 100.9115) + (xy 174.782859 100.907859) + (xy 174.7865 100.899069) + (xy 174.9135 100.899069) + (xy 174.917141 100.907859) + (xy 174.925931 100.9115) + (xy 175.439568 100.9115) + (xy 175.448358 100.907859) + (xy 175.451999 100.899069) + (xy 184.648 100.899069) + (xy 184.651641 100.907859) + (xy 184.660431 100.9115) + (xy 185.174069 100.9115) + (xy 185.182859 100.907859) + (xy 185.1865 100.899069) + (xy 185.3135 100.899069) + (xy 185.317141 100.907859) + (xy 185.325931 100.9115) + (xy 185.839568 100.9115) + (xy 185.848358 100.907859) + (xy 185.851999 100.899069) + (xy 188.648 100.899069) + (xy 188.651641 100.907859) + (xy 188.660431 100.9115) + (xy 189.174069 100.9115) + (xy 189.182859 100.907859) + (xy 189.1865 100.899069) + (xy 189.3135 100.899069) + (xy 189.317141 100.907859) + (xy 189.325931 100.9115) + (xy 189.839568 100.9115) + (xy 189.848358 100.907859) + (xy 189.851999 100.899069) + (xy 189.851999 100.718917) + (xy 189.851667 100.714386) + (xy 189.842522 100.652257) + (xy 189.839674 100.64309) + (xy 189.792444 100.546895) + (xy 189.786516 100.538613) + (xy 189.710889 100.463117) + (xy 189.702594 100.457201) + (xy 189.606313 100.410137) + (xy 189.597149 100.407305) + (xy 189.535609 100.398328) + (xy 189.531096 100.398) + (xy 189.325931 100.398) + (xy 189.317141 100.401641) + (xy 189.3135 100.410431) + (xy 189.3135 100.899069) + (xy 189.1865 100.899069) + (xy 189.1865 100.410432) + (xy 189.182859 100.401642) + (xy 189.174069 100.398001) + (xy 188.968917 100.398001) + (xy 188.964386 100.398333) + (xy 188.902257 100.407478) + (xy 188.89309 100.410326) + (xy 188.796895 100.457556) + (xy 188.788613 100.463484) + (xy 188.713117 100.539111) + (xy 188.707201 100.547406) + (xy 188.660137 100.643687) + (xy 188.657305 100.652851) + (xy 188.648328 100.714391) + (xy 188.648 100.718904) + (xy 188.648 100.899069) + (xy 185.851999 100.899069) + (xy 185.851999 100.718917) + (xy 185.851667 100.714386) + (xy 185.842522 100.652257) + (xy 185.839674 100.64309) + (xy 185.792444 100.546895) + (xy 185.786516 100.538613) + (xy 185.710889 100.463117) + (xy 185.702594 100.457201) + (xy 185.606313 100.410137) + (xy 185.597149 100.407305) + (xy 185.535609 100.398328) + (xy 185.531096 100.398) + (xy 185.325931 100.398) + (xy 185.317141 100.401641) + (xy 185.3135 100.410431) + (xy 185.3135 100.899069) + (xy 185.1865 100.899069) + (xy 185.1865 100.410432) + (xy 185.182859 100.401642) + (xy 185.174069 100.398001) + (xy 184.968917 100.398001) + (xy 184.964386 100.398333) + (xy 184.902257 100.407478) + (xy 184.89309 100.410326) + (xy 184.796895 100.457556) + (xy 184.788613 100.463484) + (xy 184.713117 100.539111) + (xy 184.707201 100.547406) + (xy 184.660137 100.643687) + (xy 184.657305 100.652851) + (xy 184.648328 100.714391) + (xy 184.648 100.718904) + (xy 184.648 100.899069) + (xy 175.451999 100.899069) + (xy 175.451999 100.718917) + (xy 175.451667 100.714386) + (xy 175.442522 100.652257) + (xy 175.439674 100.64309) + (xy 175.392444 100.546895) + (xy 175.386516 100.538613) + (xy 175.310889 100.463117) + (xy 175.302594 100.457201) + (xy 175.206313 100.410137) + (xy 175.197149 100.407305) + (xy 175.135609 100.398328) + (xy 175.131096 100.398) + (xy 174.925931 100.398) + (xy 174.917141 100.401641) + (xy 174.9135 100.410431) + (xy 174.9135 100.899069) + (xy 174.7865 100.899069) + (xy 174.7865 100.410432) + (xy 174.782859 100.401642) + (xy 174.774069 100.398001) + (xy 174.568917 100.398001) + (xy 174.564386 100.398333) + (xy 174.502257 100.407478) + (xy 174.49309 100.410326) + (xy 174.396895 100.457556) + (xy 174.388613 100.463484) + (xy 174.313117 100.539111) + (xy 174.307201 100.547406) + (xy 174.260137 100.643687) + (xy 174.257305 100.652851) + (xy 174.248328 100.714391) + (xy 174.248 100.718904) + (xy 174.248 100.899069) + (xy 167.3815 100.899069) + (xy 167.3815 99.5035) + (xy 167.399806 99.459306) + (xy 167.444 99.441) + (xy 168.335001 99.441) + (xy 168.379195 99.459306) + (xy 168.397501 99.5035) + (xy 168.397501 99.53341) + (xy 168.407699 99.602695) + (xy 168.409839 99.607053) + (xy 168.409839 99.607054) + (xy 168.411684 99.610811) + (xy 168.459419 99.708036) + (xy 168.463071 99.711682) + (xy 168.463072 99.711683) + (xy 168.538817 99.787296) + (xy 168.538819 99.787298) + (xy 168.542472 99.790944) + (xy 168.61035 99.824124) + (xy 168.643158 99.840161) + (xy 168.647902 99.84248) + (xy 168.652705 99.843181) + (xy 168.652706 99.843181) + (xy 168.660693 99.844346) + (xy 168.716589 99.8525) + (xy 168.974317 99.8525) + (xy 169.23341 99.852499) + (xy 169.302695 99.842301) + (xy 169.30826 99.839569) + (xy 169.339717 99.824124) + (xy 169.408036 99.790581) + (xy 169.412094 99.786516) + (xy 169.487296 99.711183) + (xy 169.487298 99.711181) + (xy 169.490944 99.707528) + (xy 169.538429 99.610385) + (xy 169.540349 99.606458) + (xy 169.540349 99.606457) + (xy 169.54248 99.602098) + (xy 169.5525 99.533411) + (xy 169.5525 99.531083) + (xy 169.948001 99.531083) + (xy 169.948333 99.535614) + (xy 169.957478 99.597743) + (xy 169.960326 99.60691) + (xy 170.007556 99.703105) + (xy 170.013484 99.711387) + (xy 170.089111 99.786883) + (xy 170.097406 99.792799) + (xy 170.193687 99.839863) + (xy 170.202851 99.842695) + (xy 170.264391 99.851672) + (xy 170.268904 99.852) + (xy 170.449069 99.852) + (xy 170.457859 99.848359) + (xy 170.4615 99.839569) + (xy 170.4615 99.839568) + (xy 170.5885 99.839568) + (xy 170.592141 99.848358) + (xy 170.600931 99.851999) + (xy 170.781083 99.851999) + (xy 170.785614 99.851667) + (xy 170.847743 99.842522) + (xy 170.85691 99.839674) + (xy 170.953105 99.792444) + (xy 170.961387 99.786516) + (xy 171.036883 99.710889) + (xy 171.042799 99.702594) + (xy 171.089863 99.606313) + (xy 171.092695 99.597149) + (xy 171.101672 99.535609) + (xy 171.102 99.531096) + (xy 171.102 99.325931) + (xy 171.098359 99.317141) + (xy 171.089569 99.3135) + (xy 170.600931 99.3135) + (xy 170.592141 99.317141) + (xy 170.5885 99.325931) + (xy 170.5885 99.839568) + (xy 170.4615 99.839568) + (xy 170.4615 99.325931) + (xy 170.457859 99.317141) + (xy 170.449069 99.3135) + (xy 169.960432 99.3135) + (xy 169.951642 99.317141) + (xy 169.948001 99.325931) + (xy 169.948001 99.531083) + (xy 169.5525 99.531083) + (xy 169.552499 99.174069) + (xy 169.948 99.174069) + (xy 169.951641 99.182859) + (xy 169.960431 99.1865) + (xy 170.449069 99.1865) + (xy 170.457859 99.182859) + (xy 170.4615 99.174069) + (xy 170.5885 99.174069) + (xy 170.592141 99.182859) + (xy 170.600931 99.1865) + (xy 171.089568 99.1865) + (xy 171.098358 99.182859) + (xy 171.101999 99.174069) + (xy 171.101999 98.968917) + (xy 171.101667 98.964386) + (xy 171.092522 98.902257) + (xy 171.089674 98.89309) + (xy 171.042444 98.796895) + (xy 171.036516 98.788613) + (xy 170.960889 98.713117) + (xy 170.952594 98.707201) + (xy 170.856313 98.660137) + (xy 170.847149 98.657305) + (xy 170.785609 98.648328) + (xy 170.781096 98.648) + (xy 170.600931 98.648) + (xy 170.592141 98.651641) + (xy 170.5885 98.660431) + (xy 170.5885 99.174069) + (xy 170.4615 99.174069) + (xy 170.4615 98.660432) + (xy 170.457859 98.651642) + (xy 170.449069 98.648001) + (xy 170.268917 98.648001) + (xy 170.264386 98.648333) + (xy 170.202257 98.657478) + (xy 170.19309 98.660326) + (xy 170.096895 98.707556) + (xy 170.088613 98.713484) + (xy 170.013117 98.789111) + (xy 170.007201 98.797406) + (xy 169.960137 98.893687) + (xy 169.957305 98.902851) + (xy 169.948328 98.964391) + (xy 169.948 98.968904) + (xy 169.948 99.174069) + (xy 169.552499 99.174069) + (xy 169.552499 98.96659) + (xy 169.542301 98.897305) + (xy 169.490581 98.791964) + (xy 169.486928 98.788317) + (xy 169.411183 98.712704) + (xy 169.411181 98.712702) + (xy 169.407528 98.709056) + (xy 169.320168 98.666353) + (xy 169.306458 98.659651) + (xy 169.306457 98.659651) + (xy 169.302098 98.65752) + (xy 169.297295 98.656819) + (xy 169.297294 98.656819) + (xy 169.261804 98.651642) + (xy 169.233411 98.6475) + (xy 168.975683 98.6475) + (xy 168.71659 98.647501) + (xy 168.647305 98.657699) + (xy 168.642947 98.659839) + (xy 168.642946 98.659839) + (xy 168.629679 98.666353) + (xy 168.541964 98.709419) + (xy 168.538318 98.713071) + (xy 168.538317 98.713072) + (xy 168.462704 98.788817) + (xy 168.462702 98.788819) + (xy 168.459056 98.792472) + (xy 168.432073 98.847673) + (xy 168.409943 98.892946) + (xy 168.40752 98.897902) + (xy 168.406819 98.902705) + (xy 168.406819 98.902706) + (xy 168.405692 98.910431) + (xy 168.3975 98.966589) + (xy 168.3975 98.9965) + (xy 168.379194 99.040694) + (xy 168.335 99.059) + (xy 167.444 99.059) + (xy 167.399806 99.040694) + (xy 167.3815 98.9965) + (xy 167.3815 96.5035) + (xy 167.399806 96.459306) + (xy 167.444 96.441) + (xy 168.335001 96.441) + (xy 168.379195 96.459306) + (xy 168.397501 96.5035) + (xy 168.397501 96.53341) + (xy 168.407699 96.602695) + (xy 168.459419 96.708036) + (xy 168.463071 96.711682) + (xy 168.463072 96.711683) + (xy 168.538817 96.787296) + (xy 168.538819 96.787298) + (xy 168.542472 96.790944) + (xy 168.615762 96.826769) + (xy 168.643158 96.840161) + (xy 168.647902 96.84248) + (xy 168.652705 96.843181) + (xy 168.652706 96.843181) + (xy 168.674659 96.846383) + (xy 168.716589 96.8525) + (xy 168.974317 96.8525) + (xy 169.23341 96.852499) + (xy 169.302695 96.842301) + (xy 169.30826 96.839569) + (xy 169.326223 96.830749) + (xy 169.408036 96.790581) + (xy 169.438013 96.760552) + (xy 169.487296 96.711183) + (xy 169.487298 96.711181) + (xy 169.490944 96.707528) + (xy 169.540008 96.607155) + (xy 169.540349 96.606458) + (xy 169.540349 96.606457) + (xy 169.54248 96.602098) + (xy 169.543218 96.597043) + (xy 169.549309 96.555287) + (xy 169.5525 96.533411) + (xy 169.5525 96.531083) + (xy 169.948001 96.531083) + (xy 169.948333 96.535614) + (xy 169.957478 96.597743) + (xy 169.960326 96.60691) + (xy 170.007556 96.703105) + (xy 170.013484 96.711387) + (xy 170.089111 96.786883) + (xy 170.097406 96.792799) + (xy 170.193687 96.839863) + (xy 170.202851 96.842695) + (xy 170.264391 96.851672) + (xy 170.268904 96.852) + (xy 170.449069 96.852) + (xy 170.457859 96.848359) + (xy 170.4615 96.839569) + (xy 170.4615 96.839568) + (xy 170.5885 96.839568) + (xy 170.592141 96.848358) + (xy 170.600931 96.851999) + (xy 170.781083 96.851999) + (xy 170.785614 96.851667) + (xy 170.847743 96.842522) + (xy 170.85691 96.839674) + (xy 170.953105 96.792444) + (xy 170.961387 96.786516) + (xy 171.036883 96.710889) + (xy 171.042799 96.702594) + (xy 171.089863 96.606313) + (xy 171.092695 96.597149) + (xy 171.101672 96.535609) + (xy 171.102 96.531096) + (xy 171.102 96.325931) + (xy 171.098359 96.317141) + (xy 171.089569 96.3135) + (xy 170.600931 96.3135) + (xy 170.592141 96.317141) + (xy 170.5885 96.325931) + (xy 170.5885 96.839568) + (xy 170.4615 96.839568) + (xy 170.4615 96.325931) + (xy 170.457859 96.317141) + (xy 170.449069 96.3135) + (xy 169.960432 96.3135) + (xy 169.951642 96.317141) + (xy 169.948001 96.325931) + (xy 169.948001 96.531083) + (xy 169.5525 96.531083) + (xy 169.552499 96.174069) + (xy 169.948 96.174069) + (xy 169.951641 96.182859) + (xy 169.960431 96.1865) + (xy 170.449069 96.1865) + (xy 170.457859 96.182859) + (xy 170.4615 96.174069) + (xy 170.5885 96.174069) + (xy 170.592141 96.182859) + (xy 170.600931 96.1865) + (xy 171.089568 96.1865) + (xy 171.098358 96.182859) + (xy 171.101999 96.174069) + (xy 171.101999 95.968917) + (xy 171.101667 95.964386) + (xy 171.092522 95.902257) + (xy 171.089674 95.89309) + (xy 171.042444 95.796895) + (xy 171.036516 95.788613) + (xy 170.960889 95.713117) + (xy 170.952594 95.707201) + (xy 170.856313 95.660137) + (xy 170.847149 95.657305) + (xy 170.785609 95.648328) + (xy 170.781096 95.648) + (xy 170.600931 95.648) + (xy 170.592141 95.651641) + (xy 170.5885 95.660431) + (xy 170.5885 96.174069) + (xy 170.4615 96.174069) + (xy 170.4615 95.660432) + (xy 170.457859 95.651642) + (xy 170.449069 95.648001) + (xy 170.268917 95.648001) + (xy 170.264386 95.648333) + (xy 170.202257 95.657478) + (xy 170.19309 95.660326) + (xy 170.096895 95.707556) + (xy 170.088613 95.713484) + (xy 170.013117 95.789111) + (xy 170.007201 95.797406) + (xy 169.960137 95.893687) + (xy 169.957305 95.902851) + (xy 169.948328 95.964391) + (xy 169.948 95.968904) + (xy 169.948 96.174069) + (xy 169.552499 96.174069) + (xy 169.552499 95.96659) + (xy 169.542301 95.897305) + (xy 169.535174 95.882788) + (xy 169.530749 95.873777) + (xy 169.490581 95.791964) + (xy 169.478026 95.779431) + (xy 169.411183 95.712704) + (xy 169.411181 95.712702) + (xy 169.407528 95.709056) + (xy 169.317816 95.665203) + (xy 169.306458 95.659651) + (xy 169.306457 95.659651) + (xy 169.302098 95.65752) + (xy 169.297295 95.656819) + (xy 169.297294 95.656819) + (xy 169.261804 95.651642) + (xy 169.233411 95.6475) + (xy 168.975683 95.6475) + (xy 168.71659 95.647501) + (xy 168.647305 95.657699) + (xy 168.642947 95.659839) + (xy 168.642946 95.659839) + (xy 168.640712 95.660936) + (xy 168.541964 95.709419) + (xy 168.538318 95.713071) + (xy 168.538317 95.713072) + (xy 168.462704 95.788817) + (xy 168.462702 95.788819) + (xy 168.459056 95.792472) + (xy 168.432574 95.846648) + (xy 168.409766 95.893308) + (xy 168.40752 95.897902) + (xy 168.406819 95.902705) + (xy 168.406819 95.902706) + (xy 168.405604 95.911035) + (xy 168.3975 95.966589) + (xy 168.3975 95.9965) + (xy 168.379194 96.040694) + (xy 168.335 96.059) + (xy 167.423049 96.059) + (xy 167.378855 96.040694) + (xy 167.366156 96.022373) + (xy 167.365695 96.02136) + (xy 167.365693 96.021357) + (xy 167.363852 96.017307) + (xy 167.349199 96.000302) + (xy 167.347708 95.997375) + (xy 167.3419 95.991567) + (xy 167.338746 95.98817) + (xy 167.286826 95.927914) + (xy 167.283918 95.924539) + (xy 167.263741 95.911461) + (xy 167.260155 95.908559) + (xy 167.260081 95.908661) + (xy 167.256106 95.905773) + (xy 167.252625 95.902292) + (xy 167.241343 95.896543) + (xy 167.235736 95.893309) + (xy 167.235735 95.893308) + (xy 167.207055 95.874719) + (xy 167.184893 95.860354) + (xy 167.18489 95.860353) + (xy 167.18116 95.857935) + (xy 167.176901 95.856661) + (xy 167.176898 95.85666) + (xy 167.149811 95.84856) + (xy 167.148839 95.848269) + (xy 167.138377 95.844079) + (xy 167.132813 95.841244) + (xy 167.121067 95.839384) + (xy 167.112951 95.837536) + (xy 167.088896 95.830342) + (xy 167.063838 95.822848) + (xy 167.019496 95.822577) + (xy 167.010103 95.821809) + (xy 167.004857 95.820978) + (xy 167.004856 95.820978) + (xy 167 95.820209) + (xy 166.991373 95.821576) + (xy 166.98122 95.822344) + (xy 166.962836 95.822231) + (xy 166.945838 95.822127) + (xy 166.945836 95.822127) + (xy 166.941385 95.8221) + (xy 166.887011 95.837641) + (xy 166.879623 95.839275) + (xy 166.867187 95.841244) + (xy 166.862804 95.843477) + (xy 166.86187 95.843781) + (xy 166.852155 95.847603) + (xy 166.827929 95.854526) + (xy 166.827927 95.854527) + (xy 166.823644 95.855751) + (xy 166.819875 95.858129) + (xy 166.803622 95.868384) + (xy 166.76352 95.893687) + (xy 166.762819 95.894129) + (xy 166.757842 95.896959) + (xy 166.751757 95.900059) + (xy 166.751756 95.90006) + (xy 166.747375 95.902292) + (xy 166.743897 95.90577) + (xy 166.742445 95.906825) + (xy 166.739058 95.90912) + (xy 166.72008 95.921095) + (xy 166.717137 95.924427) + (xy 166.717135 95.924429) + (xy 166.657156 95.992342) + (xy 166.656032 95.993538) + (xy 166.655775 95.993892) + (xy 166.655554 95.994113) + (xy 166.655405 95.994204) + (xy 166.654504 95.995163) + (xy 166.652292 95.997375) + (xy 166.651509 95.996592) + (xy 166.650362 95.997296) + (xy 166.651721 95.998496) + (xy 166.639018 96.012879) + (xy 166.628114 96.036104) + (xy 166.588868 96.119695) + (xy 166.588867 96.119698) + (xy 166.586976 96.123726) + (xy 166.568136 96.244724) + (xy 166.568713 96.249137) + (xy 166.568713 96.249138) + (xy 166.582655 96.355751) + (xy 166.584014 96.366145) + (xy 166.591185 96.382443) + (xy 166.591244 96.382813) + (xy 166.592007 96.384311) + (xy 166.594031 96.38891) + (xy 166.594031 96.388911) + (xy 166.613207 96.432491) + (xy 166.6185 96.457663) + (xy 166.6185 99.042639) + (xy 166.612575 99.0692) + (xy 166.588869 99.119692) + (xy 166.588867 99.119698) + (xy 166.586976 99.123726) + (xy 166.568136 99.244724) + (xy 166.568713 99.249137) + (xy 166.568713 99.249138) + (xy 166.574147 99.290694) + (xy 166.584014 99.366145) + (xy 166.585809 99.370224) + (xy 166.589286 99.378126) + (xy 166.591185 99.382443) + (xy 166.591244 99.382813) + (xy 166.592007 99.384311) + (xy 166.594031 99.38891) + (xy 166.594031 99.388911) + (xy 166.613207 99.432491) + (xy 166.6185 99.457663) + (xy 166.6185 103.980501) + (xy 166.618267 103.985894) + (xy 166.615108 104.022373) + (xy 166.614417 104.030346) + (xy 166.615661 104.035353) + (xy 166.615661 104.035356) + (xy 166.616657 104.039364) + (xy 166.6185 104.054431) + (xy 166.6185 106.042639) + (xy 166.612575 106.0692) + (xy 166.588869 106.119692) + (xy 166.588867 106.119698) + (xy 166.586976 106.123726) + (xy 166.568136 106.244724) + (xy 166.568713 106.249137) + (xy 166.568713 106.249138) + (xy 166.582655 106.355751) + (xy 166.584014 106.366145) + (xy 166.585809 106.370224) + (xy 166.589286 106.378126) + (xy 166.591185 106.382443) + (xy 166.591244 106.382813) + (xy 166.592007 106.384311) + (xy 166.594031 106.38891) + (xy 166.594031 106.388911) + (xy 166.613207 106.432491) + (xy 166.6185 106.457663) + (xy 166.6185 110.542639) + (xy 166.612575 110.5692) + (xy 166.588869 110.619692) + (xy 166.588867 110.619698) + (xy 166.586976 110.623726) + (xy 166.568136 110.744724) + (xy 166.568713 110.749137) + (xy 166.568713 110.749138) + (xy 166.582655 110.855751) + (xy 166.584014 110.866145) + (xy 166.591185 110.882443) + (xy 166.591244 110.882813) + (xy 166.592007 110.884311) + (xy 166.594031 110.88891) + (xy 166.594031 110.888911) + (xy 166.613207 110.932491) + (xy 166.6185 110.957663) + (xy 166.6185 113.542639) + (xy 166.612575 113.5692) + (xy 166.588869 113.619692) + (xy 166.588867 113.619698) + (xy 166.586976 113.623726) + (xy 166.568136 113.744724) + (xy 166.568713 113.749137) + (xy 166.568713 113.749138) + (xy 166.582655 113.855751) + (xy 166.584014 113.866145) + (xy 166.591185 113.882443) + (xy 166.591244 113.882813) + (xy 166.592007 113.884311) + (xy 166.594031 113.88891) + (xy 166.594031 113.888911) + (xy 166.630402 113.971568) + (xy 166.633333 113.97823) + (xy 166.647272 113.994813) + (xy 166.648029 113.995713) + (xy 166.650157 113.998434) + (xy 166.652292 114.002625) + (xy 166.660081 114.010414) + (xy 166.66373 114.014392) + (xy 166.695342 114.051999) + (xy 166.712127 114.071968) + (xy 166.735399 114.087459) + (xy 166.741836 114.092733) + (xy 166.743899 114.094232) + (xy 166.747375 114.097708) + (xy 166.751753 114.099939) + (xy 166.751756 114.099941) + (xy 166.75873 114.103494) + (xy 166.764983 114.107152) + (xy 166.77846 114.116123) + (xy 166.781134 114.117903) + (xy 166.807778 114.157631) + (xy 166.809 114.169929) + (xy 166.809 115.085001) + (xy 166.790694 115.129195) + (xy 166.7465 115.147501) + (xy 166.71659 115.147501) + (xy 166.647305 115.157699) + (xy 166.642947 115.159839) + (xy 166.642946 115.159839) + (xy 166.627176 115.167582) + (xy 166.541964 115.209419) + (xy 166.538318 115.213071) + (xy 166.538317 115.213072) + (xy 166.462704 115.288817) + (xy 166.462702 115.288819) + (xy 166.459056 115.292472) + (xy 166.427779 115.356458) + (xy 166.409943 115.392946) + (xy 166.40752 115.397902) + (xy 166.406819 115.402705) + (xy 166.406819 115.402706) + (xy 166.405542 115.411461) + (xy 166.3975 115.466589) + (xy 164.852499 115.466589) + (xy 164.842301 115.397305) + (xy 164.790581 115.291964) + (xy 164.779681 115.281083) + (xy 164.711183 115.212704) + (xy 164.711181 115.212702) + (xy 164.707528 115.209056) + (xy 164.627701 115.170035) + (xy 164.606458 115.159651) + (xy 164.606457 115.159651) + (xy 164.602098 115.15752) + (xy 164.597295 115.156819) + (xy 164.597294 115.156819) + (xy 164.56626 115.152292) + (xy 164.533411 115.1475) + (xy 164.521426 115.1475) + (xy 164.2535 115.147501) + (xy 164.209306 115.129195) + (xy 164.191 115.085001) + (xy 164.191 114.169384) + (xy 164.209306 114.12519) + (xy 164.220797 114.116123) + (xy 164.239712 114.104509) + (xy 164.244034 114.102085) + (xy 164.252625 114.097708) + (xy 164.255427 114.094906) + (xy 164.256264 114.094346) + (xy 164.258891 114.092733) + (xy 164.275881 114.082301) + (xy 164.358058 113.991513) + (xy 164.411451 113.881311) + (xy 164.413317 113.870224) + (xy 164.431367 113.762928) + (xy 164.431767 113.760552) + (xy 164.431896 113.75) + (xy 164.414536 113.628781) + (xy 164.363852 113.517307) + (xy 164.349199 113.500302) + (xy 164.347708 113.497375) + (xy 164.3419 113.491567) + (xy 164.338746 113.48817) + (xy 164.286826 113.427914) + (xy 164.283918 113.424539) + (xy 164.263741 113.411461) + (xy 164.260155 113.408559) + (xy 164.260081 113.408661) + (xy 164.256106 113.405773) + (xy 164.252625 113.402292) + (xy 164.241343 113.396543) + (xy 164.235736 113.393309) + (xy 164.235399 113.39309) + (xy 164.218916 113.382407) + (xy 164.184893 113.360354) + (xy 164.18489 113.360353) + (xy 164.18116 113.357935) + (xy 164.176901 113.356661) + (xy 164.176898 113.35666) + (xy 164.158345 113.351112) + (xy 164.148839 113.348269) + (xy 164.138377 113.344079) + (xy 164.132813 113.341244) + (xy 164.121067 113.339384) + (xy 164.112951 113.337536) + (xy 164.102736 113.334481) + (xy 164.063838 113.322848) + (xy 164.019496 113.322577) + (xy 164.010103 113.321809) + (xy 164.004857 113.320978) + (xy 164.004856 113.320978) + (xy 164 113.320209) + (xy 163.991373 113.321576) + (xy 163.98122 113.322344) + (xy 163.962836 113.322231) + (xy 163.945838 113.322127) + (xy 163.945836 113.322127) + (xy 163.941385 113.3221) + (xy 163.887011 113.337641) + (xy 163.879623 113.339275) + (xy 163.867187 113.341244) + (xy 163.862804 113.343477) + (xy 163.86187 113.343781) + (xy 163.852155 113.347603) + (xy 163.827929 113.354526) + (xy 163.827927 113.354527) + (xy 163.823644 113.355751) + (xy 163.76352 113.393687) + (xy 163.762819 113.394129) + (xy 163.757842 113.396959) + (xy 163.751757 113.400059) + (xy 163.751756 113.40006) + (xy 163.747375 113.402292) + (xy 163.743897 113.40577) + (xy 163.742445 113.406825) + (xy 163.739058 113.40912) + (xy 163.72008 113.421095) + (xy 163.717137 113.424427) + (xy 163.717135 113.424429) + (xy 163.657156 113.492342) + (xy 163.656032 113.493538) + (xy 163.655775 113.493892) + (xy 163.655554 113.494113) + (xy 163.655405 113.494204) + (xy 163.654504 113.495163) + (xy 163.652292 113.497375) + (xy 163.651509 113.496592) + (xy 163.650362 113.497296) + (xy 163.651721 113.498496) + (xy 163.644398 113.506788) + (xy 163.639018 113.512879) + (xy 163.637127 113.516907) + (xy 163.588868 113.619695) + (xy 163.588867 113.619698) + (xy 163.586976 113.623726) + (xy 163.568136 113.744724) + (xy 156.93114 113.744724) + (xy 156.914536 113.628781) + (xy 156.863852 113.517307) + (xy 156.849199 113.500302) + (xy 156.847708 113.497375) + (xy 156.8419 113.491567) + (xy 156.838746 113.48817) + (xy 156.786826 113.427914) + (xy 156.783918 113.424539) + (xy 156.763741 113.411461) + (xy 156.760155 113.408559) + (xy 156.760081 113.408661) + (xy 156.756106 113.405773) + (xy 156.752625 113.402292) + (xy 156.741343 113.396543) + (xy 156.735736 113.393309) + (xy 156.735399 113.39309) + (xy 156.718916 113.382407) + (xy 156.684893 113.360354) + (xy 156.68489 113.360353) + (xy 156.68116 113.357935) + (xy 156.676901 113.356661) + (xy 156.676898 113.35666) + (xy 156.658345 113.351112) + (xy 156.648839 113.348269) + (xy 156.638377 113.344079) + (xy 156.632813 113.341244) + (xy 156.621067 113.339384) + (xy 156.612951 113.337536) + (xy 156.602736 113.334481) + (xy 156.563838 113.322848) + (xy 156.519496 113.322577) + (xy 156.510103 113.321809) + (xy 156.504857 113.320978) + (xy 156.504856 113.320978) + (xy 156.5 113.320209) + (xy 156.491373 113.321576) + (xy 156.48122 113.322344) + (xy 156.462836 113.322231) + (xy 156.445838 113.322127) + (xy 156.445836 113.322127) + (xy 156.441385 113.3221) + (xy 156.387011 113.337641) + (xy 156.379623 113.339275) + (xy 156.367187 113.341244) + (xy 156.362804 113.343477) + (xy 156.36187 113.343781) + (xy 156.352155 113.347603) + (xy 156.327929 113.354526) + (xy 156.327927 113.354527) + (xy 156.323644 113.355751) + (xy 156.26352 113.393687) + (xy 156.262819 113.394129) + (xy 156.257842 113.396959) + (xy 156.251757 113.400059) + (xy 156.251756 113.40006) + (xy 156.247375 113.402292) + (xy 156.243897 113.40577) + (xy 156.242445 113.406825) + (xy 156.239058 113.40912) + (xy 156.22008 113.421095) + (xy 156.217137 113.424427) + (xy 156.217135 113.424429) + (xy 156.157156 113.492342) + (xy 156.156032 113.493538) + (xy 156.155775 113.493892) + (xy 156.155554 113.494113) + (xy 156.155405 113.494204) + (xy 156.154504 113.495163) + (xy 156.152292 113.497375) + (xy 156.151509 113.496592) + (xy 156.150362 113.497296) + (xy 156.151721 113.498496) + (xy 156.144398 113.506788) + (xy 156.139018 113.512879) + (xy 156.137127 113.516907) + (xy 156.088868 113.619695) + (xy 156.088867 113.619698) + (xy 156.086976 113.623726) + (xy 156.068136 113.744724) + (xy 156.068713 113.749137) + (xy 156.068713 113.749138) + (xy 156.082655 113.855751) + (xy 156.084014 113.866145) + (xy 156.091185 113.882443) + (xy 156.091244 113.882813) + (xy 156.092007 113.884311) + (xy 156.094031 113.88891) + (xy 156.094031 113.888911) + (xy 156.130402 113.971568) + (xy 156.133333 113.97823) + (xy 156.147272 113.994813) + (xy 156.148029 113.995713) + (xy 156.150157 113.998434) + (xy 156.152292 114.002625) + (xy 156.160081 114.010414) + (xy 156.16373 114.014392) + (xy 156.195342 114.051999) + (xy 156.212127 114.071968) + (xy 156.235399 114.087459) + (xy 156.241836 114.092733) + (xy 156.243899 114.094232) + (xy 156.247375 114.097708) + (xy 156.251753 114.099939) + (xy 156.251756 114.099941) + (xy 156.25873 114.103494) + (xy 156.264983 114.107152) + (xy 156.27846 114.116123) + (xy 156.281134 114.117903) + (xy 156.307778 114.157631) + (xy 156.309 114.169929) + (xy 156.309 115.085) + (xy 156.290694 115.129194) + (xy 156.2465 115.1475) + (xy 155.978636 115.147501) + (xy 155.96659 115.147501) + (xy 155.897305 115.157699) + (xy 155.892947 115.159839) + (xy 155.892946 115.159839) + (xy 155.877176 115.167582) + (xy 155.791964 115.209419) + (xy 155.788318 115.213071) + (xy 155.788317 115.213072) + (xy 155.712704 115.288817) + (xy 155.712702 115.288819) + (xy 155.709056 115.292472) + (xy 155.677779 115.356458) + (xy 155.659943 115.392946) + (xy 155.65752 115.397902) + (xy 155.656819 115.402705) + (xy 155.656819 115.402706) + (xy 155.655542 115.411461) + (xy 155.6475 115.466589) + (xy 150.102499 115.466589) + (xy 150.092301 115.397305) + (xy 150.040581 115.291964) + (xy 150.029681 115.281083) + (xy 149.961183 115.212704) + (xy 149.961181 115.212702) + (xy 149.957528 115.209056) + (xy 149.877701 115.170035) + (xy 149.856458 115.159651) + (xy 149.856457 115.159651) + (xy 149.852098 115.15752) + (xy 149.847295 115.156819) + (xy 149.847294 115.156819) + (xy 149.81626 115.152292) + (xy 149.783411 115.1475) + (xy 149.7535 115.1475) + (xy 149.709306 115.129194) + (xy 149.691 115.085) + (xy 149.691 114.169384) + (xy 149.709306 114.12519) + (xy 149.720797 114.116123) + (xy 149.739712 114.104509) + (xy 149.744034 114.102085) + (xy 149.752625 114.097708) + (xy 149.755427 114.094906) + (xy 149.756264 114.094346) + (xy 149.758891 114.092733) + (xy 149.775881 114.082301) + (xy 149.858058 113.991513) + (xy 149.911451 113.881311) + (xy 149.913317 113.870224) + (xy 149.931367 113.762928) + (xy 149.931767 113.760552) + (xy 149.931896 113.75) + (xy 149.914536 113.628781) + (xy 149.887105 113.568449) + (xy 149.8815 113.542581) + (xy 149.8815 112.269499) + (xy 149.881733 112.264106) + (xy 149.885138 112.224797) + (xy 149.885138 112.224794) + (xy 149.885583 112.219654) + (xy 149.884275 112.214386) + (xy 149.883343 112.210636) + (xy 149.8815 112.195569) + (xy 149.8815 110.957472) + (xy 149.887754 110.930221) + (xy 149.89056 110.924429) + (xy 149.911451 110.881311) + (xy 149.913317 110.870224) + (xy 149.924094 110.806159) + (xy 149.931767 110.760552) + (xy 149.931896 110.75) + (xy 149.914536 110.628781) + (xy 149.887105 110.568449) + (xy 149.8815 110.542581) + (xy 149.8815 103.957472) + (xy 149.887754 103.930221) + (xy 149.89168 103.922117) + (xy 149.911451 103.881311) + (xy 149.915599 103.85666) + (xy 149.929949 103.771357) + (xy 149.931767 103.760552) + (xy 149.931896 103.75) + (xy 149.914536 103.628781) + (xy 149.887105 103.568449) + (xy 149.8815 103.542581) + (xy 149.8815 99.457472) + (xy 149.887754 99.430221) + (xy 149.902847 99.399069) + (xy 149.911451 99.381311) + (xy 149.913317 99.370224) + (xy 149.929778 99.272373) + (xy 149.931767 99.260552) + (xy 149.931896 99.25) + (xy 149.914536 99.128781) + (xy 149.887105 99.068449) + (xy 149.8815 99.042581) + (xy 149.8815 96.457472) + (xy 149.887754 96.430221) + (xy 149.89168 96.422117) + (xy 149.911451 96.381311) + (xy 149.913317 96.370224) + (xy 149.931367 96.262928) + (xy 149.931767 96.260552) + (xy 149.931896 96.25) + (xy 149.914536 96.128781) + (xy 149.863852 96.017307) + (xy 149.849199 96.000302) + (xy 149.847708 95.997375) + (xy 149.8419 95.991567) + (xy 149.838746 95.98817) + (xy 149.786826 95.927914) + (xy 149.783918 95.924539) + (xy 149.763741 95.911461) + (xy 149.760155 95.908559) + (xy 149.760081 95.908661) + (xy 149.756106 95.905773) + (xy 149.752625 95.902292) + (xy 149.741343 95.896543) + (xy 149.735735 95.893308) + (xy 149.719505 95.882788) + (xy 149.692377 95.843389) + (xy 149.691 95.830342) + (xy 149.691 94.914999) + (xy 149.709306 94.870805) + (xy 149.7535 94.852499) + (xy 149.78341 94.852499) + (xy 149.852695 94.842301) + (xy 149.958036 94.790581) + (xy 149.961683 94.786928) + (xy 150.037296 94.711183) + (xy 150.037298 94.711181) + (xy 150.040944 94.707528) + (xy 150.083658 94.620146) + (xy 150.090349 94.606458) + (xy 150.090349 94.606457) + (xy 150.09248 94.602098) + (xy 150.1025 94.533411) + (xy 150.102499 94.01659) + (xy 150.102499 94.016589) + (xy 152.6475 94.016589) + (xy 152.647501 94.53341) + (xy 152.657699 94.602695) + (xy 152.709419 94.708036) + (xy 152.713071 94.711682) + (xy 152.713072 94.711683) + (xy 152.788817 94.787296) + (xy 152.788819 94.787298) + (xy 152.792472 94.790944) + (xy 152.845169 94.816703) + (xy 152.893158 94.840161) + (xy 152.897902 94.84248) + (xy 152.902705 94.843181) + (xy 152.902706 94.843181) + (xy 152.924659 94.846383) + (xy 152.966589 94.8525) + (xy 152.968856 94.8525) + (xy 153.274001 94.852499) + (xy 153.531497 94.852499) + (xy 153.575691 94.870805) + (xy 153.790694 95.085808) + (xy 153.809 95.130002) + (xy 153.809 95.830525) + (xy 153.790694 95.874719) + (xy 153.779855 95.88338) + (xy 153.76281 95.894135) + (xy 153.757842 95.896959) + (xy 153.751757 95.900059) + (xy 153.751756 95.90006) + (xy 153.747375 95.902292) + (xy 153.743897 95.90577) + (xy 153.742445 95.906825) + (xy 153.739058 95.90912) + (xy 153.72008 95.921095) + (xy 153.717137 95.924427) + (xy 153.717135 95.924429) + (xy 153.657156 95.992342) + (xy 153.656032 95.993538) + (xy 153.655775 95.993892) + (xy 153.655554 95.994113) + (xy 153.655405 95.994204) + (xy 153.654504 95.995163) + (xy 153.652292 95.997375) + (xy 153.651509 95.996592) + (xy 153.650362 95.997296) + (xy 153.651721 95.998496) + (xy 153.639018 96.012879) + (xy 153.628114 96.036104) + (xy 153.588868 96.119695) + (xy 153.588867 96.119698) + (xy 153.586976 96.123726) + (xy 153.568136 96.244724) + (xy 153.568713 96.249137) + (xy 153.568713 96.249138) + (xy 153.582655 96.355751) + (xy 153.584014 96.366145) + (xy 153.591185 96.382443) + (xy 153.591244 96.382813) + (xy 153.592007 96.384311) + (xy 153.594031 96.38891) + (xy 153.594031 96.388911) + (xy 153.60966 96.424429) + (xy 153.633333 96.47823) + (xy 153.647272 96.494813) + (xy 153.648029 96.495713) + (xy 153.650157 96.498434) + (xy 153.652292 96.502625) + (xy 153.660081 96.510414) + (xy 153.663729 96.514391) + (xy 153.712127 96.571968) + (xy 153.735399 96.587459) + (xy 153.741836 96.592733) + (xy 153.743899 96.594232) + (xy 153.747375 96.597708) + (xy 153.751753 96.599939) + (xy 153.751756 96.599941) + (xy 153.75873 96.603494) + (xy 153.764977 96.607148) + (xy 153.814064 96.639823) + (xy 153.818313 96.641151) + (xy 153.818314 96.641151) + (xy 153.850296 96.651143) + (xy 153.860027 96.655109) + (xy 153.862799 96.656521) + (xy 153.862803 96.656522) + (xy 153.867187 96.658756) + (xy 153.877852 96.660445) + (xy 153.886713 96.66252) + (xy 153.926696 96.675012) + (xy 153.926699 96.675012) + (xy 153.930948 96.67634) + (xy 153.980069 96.677241) + (xy 153.988683 96.677999) + (xy 153.99514 96.679022) + (xy 153.995144 96.679022) + (xy 154 96.679791) + (xy 154.006947 96.67869) + (xy 154.017853 96.677933) + (xy 154.053383 96.678584) + (xy 154.113532 96.662185) + (xy 154.12019 96.660755) + (xy 154.122147 96.660445) + (xy 154.132813 96.658756) + (xy 154.137196 96.656522) + (xy 154.141875 96.655002) + (xy 154.141878 96.65501) + (xy 154.146727 96.653136) + (xy 154.171527 96.646375) + (xy 154.200182 96.628781) + (xy 154.239709 96.604511) + (xy 154.244037 96.602085) + (xy 154.24824 96.599944) + (xy 154.248248 96.599938) + (xy 154.252625 96.597708) + (xy 154.255427 96.594906) + (xy 154.256264 96.594346) + (xy 154.258891 96.592733) + (xy 154.275881 96.582301) + (xy 154.358058 96.491513) + (xy 154.411451 96.381311) + (xy 154.413317 96.370224) + (xy 154.431367 96.262928) + (xy 154.431767 96.260552) + (xy 154.431896 96.25) + (xy 154.414536 96.128781) + (xy 154.363852 96.017307) + (xy 154.349199 96.000302) + (xy 154.347708 95.997375) + (xy 154.3419 95.991567) + (xy 154.338746 95.98817) + (xy 154.286826 95.927914) + (xy 154.283918 95.924539) + (xy 154.263741 95.911461) + (xy 154.260155 95.908559) + (xy 154.260081 95.908661) + (xy 154.256106 95.905773) + (xy 154.252625 95.902292) + (xy 154.241343 95.896543) + (xy 154.235735 95.893308) + (xy 154.219505 95.882788) + (xy 154.192377 95.843389) + (xy 154.191 95.830342) + (xy 154.191 95.068232) + (xy 154.19225 95.060893) + (xy 154.191523 95.06081) + (xy 154.19232 95.053819) + (xy 154.194652 95.047178) + (xy 154.193507 95.036883) + (xy 154.191383 95.017803) + (xy 154.191 95.01089) + (xy 154.191 95.003467) + (xy 154.189419 94.996535) + (xy 154.188243 94.989582) + (xy 154.185014 94.960571) + (xy 154.181281 94.954607) + (xy 154.179906 94.950659) + (xy 154.178097 94.9469) + (xy 154.176532 94.940041) + (xy 154.158351 94.917226) + (xy 154.154256 94.911441) + (xy 154.15228 94.908285) + (xy 154.152279 94.908283) + (xy 154.150404 94.905289) + (xy 154.145191 94.900076) + (xy 154.140506 94.894832) + (xy 154.126612 94.877396) + (xy 154.126611 94.877396) + (xy 154.122225 94.871891) + (xy 154.115886 94.868833) + (xy 154.110385 94.864442) + (xy 154.110811 94.863908) + (xy 154.104647 94.859532) + (xy 153.865261 94.620146) + (xy 153.846955 94.575952) + (xy 153.847609 94.566937) + (xy 153.8525 94.533411) + (xy 153.852499 94.01659) + (xy 153.842301 93.947305) + (xy 153.790581 93.841964) + (xy 153.776583 93.82799) + (xy 153.711183 93.762704) + (xy 153.711181 93.762702) + (xy 153.707528 93.759056) + (xy 153.622357 93.717423) + (xy 153.606458 93.709651) + (xy 153.606457 93.709651) + (xy 153.602098 93.70752) + (xy 153.597295 93.706819) + (xy 153.597294 93.706819) + (xy 153.575341 93.703617) + (xy 153.533411 93.6975) + (xy 153.250749 93.6975) + (xy 152.96659 93.697501) + (xy 152.897305 93.707699) + (xy 152.791964 93.759419) + (xy 152.788318 93.763071) + (xy 152.788317 93.763072) + (xy 152.712704 93.838817) + (xy 152.712702 93.838819) + (xy 152.709056 93.842472) + (xy 152.675836 93.910432) + (xy 152.659943 93.942946) + (xy 152.65752 93.947902) + (xy 152.656819 93.952705) + (xy 152.656819 93.952706) + (xy 152.654724 93.96707) + (xy 152.6475 94.016589) + (xy 150.102499 94.016589) + (xy 150.092301 93.947305) + (xy 150.040581 93.841964) + (xy 150.026583 93.82799) + (xy 149.961183 93.762704) + (xy 149.961181 93.762702) + (xy 149.957528 93.759056) + (xy 149.872357 93.717423) + (xy 149.856458 93.709651) + (xy 149.856457 93.709651) + (xy 149.852098 93.70752) + (xy 149.847295 93.706819) + (xy 149.847294 93.706819) + (xy 149.825341 93.703617) + (xy 149.783411 93.6975) + (xy 149.500749 93.6975) + (xy 149.21659 93.697501) + (xy 149.147305 93.707699) + (xy 149.041964 93.759419) + (xy 149.038318 93.763071) + (xy 149.038317 93.763072) + (xy 148.962704 93.838817) + (xy 148.962702 93.838819) + (xy 148.959056 93.842472) + (xy 148.925836 93.910432) + (xy 148.909943 93.942946) + (xy 148.90752 93.947902) + (xy 148.906819 93.952705) + (xy 148.906819 93.952706) + (xy 148.901372 93.990046) + (xy 148.876879 94.031135) + (xy 148.830505 94.042869) + (xy 148.795333 94.025218) + (xy 148.459306 93.689191) + (xy 148.441 93.644997) + (xy 148.441 92.981083) + (xy 148.898001 92.981083) + (xy 148.898333 92.985614) + (xy 148.907478 93.047743) + (xy 148.910326 93.05691) + (xy 148.957556 93.153105) + (xy 148.963484 93.161387) + (xy 149.039111 93.236883) + (xy 149.047406 93.242799) + (xy 149.143687 93.289863) + (xy 149.152851 93.292695) + (xy 149.214391 93.301672) + (xy 149.218904 93.302) + (xy 149.424069 93.302) + (xy 149.432859 93.298359) + (xy 149.4365 93.289569) + (xy 149.4365 93.289568) + (xy 149.5635 93.289568) + (xy 149.567141 93.298358) + (xy 149.575931 93.301999) + (xy 149.781083 93.301999) + (xy 149.785614 93.301667) + (xy 149.847743 93.292522) + (xy 149.85691 93.289674) + (xy 149.953105 93.242444) + (xy 149.961387 93.236516) + (xy 150.036883 93.160889) + (xy 150.042799 93.152594) + (xy 150.089863 93.056313) + (xy 150.092695 93.047149) + (xy 150.101672 92.985609) + (xy 150.102 92.981096) + (xy 150.102 92.981083) + (xy 152.648001 92.981083) + (xy 152.648333 92.985614) + (xy 152.657478 93.047743) + (xy 152.660326 93.05691) + (xy 152.707556 93.153105) + (xy 152.713484 93.161387) + (xy 152.789111 93.236883) + (xy 152.797406 93.242799) + (xy 152.893687 93.289863) + (xy 152.902851 93.292695) + (xy 152.964391 93.301672) + (xy 152.968904 93.302) + (xy 153.174069 93.302) + (xy 153.182859 93.298359) + (xy 153.1865 93.289569) + (xy 153.1865 93.289568) + (xy 153.3135 93.289568) + (xy 153.317141 93.298358) + (xy 153.325931 93.301999) + (xy 153.531083 93.301999) + (xy 153.535614 93.301667) + (xy 153.597743 93.292522) + (xy 153.60691 93.289674) + (xy 153.703105 93.242444) + (xy 153.711387 93.236516) + (xy 153.786883 93.160889) + (xy 153.792799 93.152594) + (xy 153.839863 93.056313) + (xy 153.842695 93.047149) + (xy 153.851672 92.985609) + (xy 153.852 92.981096) + (xy 153.852 92.800931) + (xy 153.848359 92.792141) + (xy 153.839569 92.7885) + (xy 153.325931 92.7885) + (xy 153.317141 92.792141) + (xy 153.3135 92.800931) + (xy 153.3135 93.289568) + (xy 153.1865 93.289568) + (xy 153.1865 92.800931) + (xy 153.182859 92.792141) + (xy 153.174069 92.7885) + (xy 152.660432 92.7885) + (xy 152.651642 92.792141) + (xy 152.648001 92.800931) + (xy 152.648001 92.981083) + (xy 150.102 92.981083) + (xy 150.102 92.800931) + (xy 150.098359 92.792141) + (xy 150.089569 92.7885) + (xy 149.575931 92.7885) + (xy 149.567141 92.792141) + (xy 149.5635 92.800931) + (xy 149.5635 93.289568) + (xy 149.4365 93.289568) + (xy 149.4365 92.800931) + (xy 149.432859 92.792141) + (xy 149.424069 92.7885) + (xy 148.910432 92.7885) + (xy 148.901642 92.792141) + (xy 148.898001 92.800931) + (xy 148.898001 92.981083) + (xy 148.441 92.981083) + (xy 148.441 92.649069) + (xy 148.898 92.649069) + (xy 148.901641 92.657859) + (xy 148.910431 92.6615) + (xy 149.424069 92.6615) + (xy 149.432859 92.657859) + (xy 149.4365 92.649069) + (xy 149.5635 92.649069) + (xy 149.567141 92.657859) + (xy 149.575931 92.6615) + (xy 150.089568 92.6615) + (xy 150.098358 92.657859) + (xy 150.101999 92.649069) + (xy 152.648 92.649069) + (xy 152.651641 92.657859) + (xy 152.660431 92.6615) + (xy 153.174069 92.6615) + (xy 153.182859 92.657859) + (xy 153.1865 92.649069) + (xy 153.3135 92.649069) + (xy 153.317141 92.657859) + (xy 153.325931 92.6615) + (xy 153.839568 92.6615) + (xy 153.848358 92.657859) + (xy 153.851999 92.649069) + (xy 153.851999 92.468917) + (xy 153.851667 92.464386) + (xy 153.842522 92.402257) + (xy 153.839674 92.39309) + (xy 153.792444 92.296895) + (xy 153.786516 92.288613) + (xy 153.710889 92.213117) + (xy 153.702594 92.207201) + (xy 153.606313 92.160137) + (xy 153.597149 92.157305) + (xy 153.535609 92.148328) + (xy 153.531096 92.148) + (xy 153.325931 92.148) + (xy 153.317141 92.151641) + (xy 153.3135 92.160431) + (xy 153.3135 92.649069) + (xy 153.1865 92.649069) + (xy 153.1865 92.160432) + (xy 153.182859 92.151642) + (xy 153.174069 92.148001) + (xy 152.968917 92.148001) + (xy 152.964386 92.148333) + (xy 152.902257 92.157478) + (xy 152.89309 92.160326) + (xy 152.796895 92.207556) + (xy 152.788613 92.213484) + (xy 152.713117 92.289111) + (xy 152.707201 92.297406) + (xy 152.660137 92.393687) + (xy 152.657305 92.402851) + (xy 152.648328 92.464391) + (xy 152.648 92.468904) + (xy 152.648 92.649069) + (xy 150.101999 92.649069) + (xy 150.101999 92.468917) + (xy 150.101667 92.464386) + (xy 150.092522 92.402257) + (xy 150.089674 92.39309) + (xy 150.042444 92.296895) + (xy 150.036516 92.288613) + (xy 149.960889 92.213117) + (xy 149.952594 92.207201) + (xy 149.856313 92.160137) + (xy 149.847149 92.157305) + (xy 149.785609 92.148328) + (xy 149.781096 92.148) + (xy 149.575931 92.148) + (xy 149.567141 92.151641) + (xy 149.5635 92.160431) + (xy 149.5635 92.649069) + (xy 149.4365 92.649069) + (xy 149.4365 92.160432) + (xy 149.432859 92.151642) + (xy 149.424069 92.148001) + (xy 149.218917 92.148001) + (xy 149.214386 92.148333) + (xy 149.152257 92.157478) + (xy 149.14309 92.160326) + (xy 149.046895 92.207556) + (xy 149.038613 92.213484) + (xy 148.963117 92.289111) + (xy 148.957201 92.297406) + (xy 148.910137 92.393687) + (xy 148.907305 92.402851) + (xy 148.898328 92.464391) + (xy 148.898 92.468904) + (xy 148.898 92.649069) + (xy 148.441 92.649069) + (xy 148.441 90.419384) + (xy 148.459306 90.37519) + (xy 148.470797 90.366123) + (xy 148.489712 90.354509) + (xy 148.494034 90.352085) + (xy 148.502625 90.347708) + (xy 148.505427 90.344906) + (xy 148.506264 90.344346) + (xy 148.508891 90.342733) + (xy 148.525881 90.332301) + (xy 148.608058 90.241513) + (xy 148.661451 90.131311) + (xy 148.662799 90.123302) + (xy 148.674373 90.0545) + (xy 148.681767 90.010552) + (xy 148.681896 90) + (xy 148.664536 89.878781) + (xy 148.613852 89.767307) + (xy 148.599199 89.750302) + (xy 148.597708 89.747375) + (xy 148.5919 89.741567) + (xy 148.588746 89.73817) + (xy 148.536826 89.677914) + (xy 148.533918 89.674539) + (xy 148.513741 89.661461) + (xy 148.510155 89.658559) + (xy 148.510081 89.658661) + (xy 148.506106 89.655773) + (xy 148.502625 89.652292) + (xy 148.491343 89.646543) + (xy 148.485735 89.643308) + (xy 148.469505 89.632788) + (xy 148.442377 89.593389) + (xy 148.441 89.580342) + (xy 148.441 89.165) + (xy 148.459306 89.120806) + (xy 148.5035 89.1025) + (xy 148.771364 89.102499) + (xy 148.78341 89.102499) + (xy 148.852695 89.092301) + (xy 148.870898 89.083364) + (xy 148.883462 89.077195) + (xy 148.958036 89.040581) + (xy 148.96435 89.034256) + (xy 149.037296 88.961183) + (xy 149.037298 88.961181) + (xy 149.040944 88.957528) + (xy 149.088429 88.860385) + (xy 149.090349 88.856458) + (xy 149.090349 88.856457) + (xy 149.09248 88.852098) + (xy 149.093218 88.847043) + (xy 149.098427 88.811328) + (xy 149.1025 88.783411) + (xy 149.1025 88.7785) + (xy 149.120806 88.734306) + (xy 149.165 88.716) + (xy 149.585001 88.716) + (xy 149.629195 88.734306) + (xy 149.647501 88.7785) + (xy 149.647501 88.813374) + (xy 149.647766 88.815385) + (xy 149.647766 88.81539) + (xy 149.652933 88.854647) + (xy 149.653779 88.861071) + (xy 149.6558 88.865404) + (xy 149.6558 88.865405) + (xy 149.700277 88.960787) + (xy 149.700279 88.960789) + (xy 149.702589 88.965744) + (xy 149.784256 89.047411) + (xy 149.789211 89.049721) + (xy 149.789213 89.049723) + (xy 149.829612 89.068561) + (xy 149.888929 89.096221) + (xy 149.902295 89.097981) + (xy 149.934593 89.102233) + (xy 149.934601 89.102233) + (xy 149.936625 89.1025) + (xy 149.938672 89.1025) + (xy 150.250844 89.102499) + (xy 150.563374 89.102499) + (xy 150.565385 89.102234) + (xy 150.56539 89.102234) + (xy 150.606331 89.096845) + (xy 150.606332 89.096845) + (xy 150.611071 89.096221) + (xy 150.638643 89.083364) + (xy 150.710787 89.049723) + (xy 150.710789 89.049721) + (xy 150.715744 89.047411) + (xy 150.797411 88.965744) + (xy 150.799721 88.960789) + (xy 150.799723 88.960787) + (xy 150.825732 88.90501) + (xy 150.846221 88.861071) + (xy 150.849702 88.834631) + (xy 150.852233 88.815407) + (xy 150.852233 88.815399) + (xy 150.8525 88.813375) + (xy 150.852499 88.336626) + (xy 150.852232 88.334593) + (xy 150.846845 88.293669) + (xy 150.846845 88.293668) + (xy 150.846221 88.288929) + (xy 150.838501 88.272373) + (xy 150.799723 88.189213) + (xy 150.799721 88.189211) + (xy 150.797411 88.184256) + (xy 150.715744 88.102589) + (xy 150.710789 88.100279) + (xy 150.710787 88.100277) + (xy 150.651964 88.072848) + (xy 150.611071 88.053779) + (xy 150.597705 88.052019) + (xy 150.565407 88.047767) + (xy 150.565399 88.047767) + (xy 150.563375 88.0475) + (xy 150.561328 88.0475) + (xy 150.249156 88.047501) + (xy 149.936626 88.047501) + (xy 149.934615 88.047766) + (xy 149.93461 88.047766) + (xy 149.893669 88.053155) + (xy 149.893668 88.053155) + (xy 149.888929 88.053779) + (xy 149.884595 88.0558) + (xy 149.789213 88.100277) + (xy 149.789211 88.100279) + (xy 149.784256 88.102589) + (xy 149.702589 88.184256) + (xy 149.700279 88.189211) + (xy 149.700277 88.189213) + (xy 149.6558 88.284594) + (xy 149.655799 88.284597) + (xy 149.653779 88.288929) + (xy 149.653624 88.290105) + (xy 149.624577 88.326296) + (xy 149.594516 88.334) + (xy 149.164999 88.334) + (xy 149.120805 88.315694) + (xy 149.102499 88.2715) + (xy 149.102499 88.26659) + (xy 149.092301 88.197305) + (xy 149.089206 88.191) + (xy 149.074356 88.160755) + (xy 149.040581 88.091964) + (xy 149.036928 88.088317) + (xy 148.961183 88.012704) + (xy 148.961181 88.012702) + (xy 148.957528 88.009056) + (xy 148.859334 87.961057) + (xy 148.856458 87.959651) + (xy 148.856457 87.959651) + (xy 148.852098 87.95752) + (xy 148.847295 87.956819) + (xy 148.847294 87.956819) + (xy 148.825341 87.953617) + (xy 148.783411 87.9475) + (xy 148.500749 87.9475) + (xy 148.21659 87.947501) + (xy 148.147305 87.957699) + (xy 148.142947 87.959839) + (xy 148.142946 87.959839) + (xy 148.123777 87.969251) + (xy 148.041964 88.009419) + (xy 148.038318 88.013071) + (xy 148.038317 88.013072) + (xy 147.962704 88.088817) + (xy 147.962702 88.088819) + (xy 147.959056 88.092472) + (xy 147.930906 88.15006) + (xy 147.909943 88.192946) + (xy 147.90752 88.197902) + (xy 147.906819 88.202705) + (xy 147.906819 88.202706) + (xy 147.904387 88.21938) + (xy 147.8975 88.266589) + (xy 147.897501 88.78341) + (xy 147.907699 88.852695) + (xy 147.909839 88.857053) + (xy 147.909839 88.857054) + (xy 147.911684 88.860811) + (xy 147.959419 88.958036) + (xy 147.963074 88.961685) + (xy 147.963075 88.961686) + (xy 148.040655 89.039131) + (xy 148.059 89.083364) + (xy 148.059 89.580525) + (xy 148.040694 89.624719) + (xy 148.029855 89.63338) + (xy 148.01281 89.644135) + (xy 148.007842 89.646959) + (xy 148.001757 89.650059) + (xy 148.001756 89.65006) + (xy 147.997375 89.652292) + (xy 147.993897 89.65577) + (xy 147.992445 89.656825) + (xy 147.989058 89.65912) + (xy 147.97008 89.671095) + (xy 147.967137 89.674427) + (xy 147.967135 89.674429) + (xy 147.907156 89.742342) + (xy 147.906032 89.743538) + (xy 147.905775 89.743892) + (xy 147.905554 89.744113) + (xy 147.905405 89.744204) + (xy 147.904504 89.745163) + (xy 147.902292 89.747375) + (xy 147.901509 89.746592) + (xy 147.900362 89.747296) + (xy 147.901721 89.748496) + (xy 147.889018 89.762879) + (xy 147.886939 89.767307) + (xy 147.838868 89.869695) + (xy 147.838867 89.869698) + (xy 147.836976 89.873726) + (xy 147.818136 89.994724) + (xy 147.818713 89.999137) + (xy 147.818713 89.999138) + (xy 147.832655 90.105751) + (xy 147.834014 90.116145) + (xy 147.835809 90.120224) + (xy 147.839286 90.128126) + (xy 147.841185 90.132443) + (xy 147.841244 90.132813) + (xy 147.842007 90.134311) + (xy 147.844031 90.13891) + (xy 147.844031 90.138911) + (xy 147.87992 90.220473) + (xy 147.883333 90.22823) + (xy 147.897198 90.244724) + (xy 147.898029 90.245713) + (xy 147.900157 90.248434) + (xy 147.902292 90.252625) + (xy 147.910081 90.260414) + (xy 147.91373 90.264392) + (xy 147.948811 90.306126) + (xy 147.962127 90.321968) + (xy 147.985399 90.337459) + (xy 147.991836 90.342733) + (xy 147.993899 90.344232) + (xy 147.997375 90.347708) + (xy 148.001753 90.349939) + (xy 148.001756 90.349941) + (xy 148.00873 90.353494) + (xy 148.014983 90.357152) + (xy 148.02846 90.366123) + (xy 148.031134 90.367903) + (xy 148.057778 90.407631) + (xy 148.059 90.419929) + (xy 148.059 93.706768) + (xy 148.05775 93.714107) + (xy 148.058477 93.71419) + (xy 148.05768 93.721181) + (xy 148.055348 93.727822) + (xy 148.056126 93.734815) + (xy 148.056126 93.734816) + (xy 148.058617 93.757197) + (xy 148.059 93.76411) + (xy 148.059 93.771533) + (xy 148.060581 93.778465) + (xy 148.061757 93.785418) + (xy 148.064986 93.814429) + (xy 148.068719 93.820393) + (xy 148.070094 93.824341) + (xy 148.071903 93.8281) + (xy 148.073468 93.834959) + (xy 148.077853 93.840461) + (xy 148.077853 93.840462) + (xy 148.091648 93.857773) + (xy 148.095744 93.863559) + (xy 148.097034 93.865619) + (xy 148.099596 93.869711) + (xy 148.104808 93.874923) + (xy 148.109493 93.880167) + (xy 148.127775 93.903109) + (xy 148.134114 93.906167) + (xy 148.139615 93.910558) + (xy 148.139189 93.911092) + (xy 148.145353 93.915468) + (xy 148.609371 94.379485) + (xy 148.613678 94.38556) + (xy 148.61425 94.385105) + (xy 148.618631 94.390613) + (xy 148.621678 94.396958) + (xy 148.635328 94.407874) + (xy 148.644766 94.415422) + (xy 148.649925 94.420039) + (xy 148.655169 94.425283) + (xy 148.658132 94.427145) + (xy 148.658139 94.427151) + (xy 148.661174 94.429058) + (xy 148.66695 94.433163) + (xy 148.689733 94.451383) + (xy 148.696591 94.45296) + (xy 148.700356 94.45478) + (xy 148.704292 94.456158) + (xy 148.710248 94.459902) + (xy 148.717239 94.460692) + (xy 148.717244 94.460694) + (xy 148.739237 94.46318) + (xy 148.746223 94.464373) + (xy 148.753297 94.466) + (xy 148.760677 94.466) + (xy 148.767698 94.466396) + (xy 148.796838 94.46969) + (xy 148.803484 94.467369) + (xy 148.810478 94.466585) + (xy 148.810554 94.467264) + (xy 148.818004 94.466) + (xy 148.835001 94.466) + (xy 148.879195 94.484306) + (xy 148.897501 94.5285) + (xy 148.897501 94.53341) + (xy 148.907699 94.602695) + (xy 148.959419 94.708036) + (xy 148.963071 94.711682) + (xy 148.963072 94.711683) + (xy 149.038817 94.787296) + (xy 149.038819 94.787298) + (xy 149.042472 94.790944) + (xy 149.095169 94.816703) + (xy 149.143158 94.840161) + (xy 149.147902 94.84248) + (xy 149.152705 94.843181) + (xy 149.152706 94.843181) + (xy 149.174659 94.846383) + (xy 149.216589 94.8525) + (xy 149.2465 94.8525) + (xy 149.290694 94.870806) + (xy 149.309 94.915) + (xy 149.309 95.637997) + (xy 149.290694 95.682191) + (xy 149.2465 95.700497) + (xy 149.202306 95.682191) + (xy 145.415628 91.895513) + (xy 145.411323 91.889439) + (xy 145.41075 91.889895) + (xy 145.406369 91.884387) + (xy 145.403322 91.878042) + (xy 145.380239 91.859582) + (xy 145.37508 91.854965) + (xy 145.369831 91.849716) + (xy 145.363828 91.845943) + (xy 145.358052 91.841838) + (xy 145.335267 91.823617) + (xy 145.328407 91.82204) + (xy 145.324647 91.820222) + (xy 145.320711 91.818844) + (xy 145.314752 91.815098) + (xy 145.307758 91.814307) + (xy 145.307757 91.814307) + (xy 145.285764 91.811821) + (xy 145.278787 91.810629) + (xy 145.271703 91.809) + (xy 145.264329 91.809) + (xy 145.257308 91.808604) + (xy 145.255627 91.808414) + (xy 145.228162 91.805309) + (xy 145.221517 91.80763) + (xy 145.214523 91.808414) + (xy 145.214447 91.807736) + (xy 145.206995 91.809) + (xy 138.755899 91.809) + (xy 138.706844 91.808957) + (xy 138.706596 91.808957) + (xy 138.70652 91.808993) + (xy 138.706461 91.809) + (xy 136.673049 91.809) + (xy 136.628855 91.790694) + (xy 136.616156 91.772373) + (xy 136.615695 91.77136) + (xy 136.615694 91.771359) + (xy 136.613852 91.767307) + (xy 136.599199 91.750302) + (xy 136.597708 91.747375) + (xy 136.5919 91.741567) + (xy 136.588746 91.73817) + (xy 136.536826 91.677914) + (xy 136.533918 91.674539) + (xy 136.513741 91.661461) + (xy 136.510155 91.658559) + (xy 136.510081 91.658661) + (xy 136.506106 91.655773) + (xy 136.502625 91.652292) + (xy 136.491343 91.646543) + (xy 136.485736 91.643309) + (xy 136.484635 91.642595) + (xy 136.463322 91.628781) + (xy 136.434893 91.610354) + (xy 136.43489 91.610353) + (xy 136.43116 91.607935) + (xy 136.426901 91.606661) + (xy 136.426898 91.60666) + (xy 136.408345 91.601112) + (xy 136.398839 91.598269) + (xy 136.388377 91.594079) + (xy 136.382813 91.591244) + (xy 136.371067 91.589384) + (xy 136.362951 91.587536) + (xy 136.313838 91.572848) + (xy 136.269496 91.572577) + (xy 136.260103 91.571809) + (xy 136.254857 91.570978) + (xy 136.254856 91.570978) + (xy 136.25 91.570209) + (xy 136.241373 91.571576) + (xy 136.23122 91.572344) + (xy 136.212836 91.572231) + (xy 136.195838 91.572127) + (xy 136.195836 91.572127) + (xy 136.191385 91.5721) + (xy 136.137011 91.587641) + (xy 136.129623 91.589275) + (xy 136.117187 91.591244) + (xy 136.112804 91.593477) + (xy 136.11187 91.593781) + (xy 136.102155 91.597603) + (xy 136.077929 91.604526) + (xy 136.077927 91.604527) + (xy 136.073644 91.605751) + (xy 136.014119 91.643309) + (xy 136.012819 91.644129) + (xy 136.007842 91.646959) + (xy 136.001757 91.650059) + (xy 136.001756 91.65006) + (xy 135.997375 91.652292) + (xy 135.993897 91.65577) + (xy 135.992445 91.656825) + (xy 135.989058 91.65912) + (xy 135.97008 91.671095) + (xy 135.967137 91.674427) + (xy 135.967135 91.674429) + (xy 135.907156 91.742342) + (xy 135.906032 91.743538) + (xy 135.905775 91.743892) + (xy 135.905554 91.744113) + (xy 135.905405 91.744204) + (xy 135.904504 91.745163) + (xy 135.902292 91.747375) + (xy 135.901509 91.746592) + (xy 135.900362 91.747296) + (xy 135.901721 91.748496) + (xy 135.889018 91.762879) + (xy 135.887127 91.766907) + (xy 135.838868 91.869695) + (xy 135.838867 91.869698) + (xy 135.836976 91.873726) + (xy 135.818136 91.994724) + (xy 135.818713 91.999137) + (xy 135.818713 91.999138) + (xy 135.828237 92.071968) + (xy 135.834014 92.116145) + (xy 135.841185 92.132443) + (xy 135.841244 92.132813) + (xy 135.842007 92.134311) + (xy 135.844029 92.138907) + (xy 135.84403 92.138909) + (xy 135.878211 92.216589) + (xy 135.883333 92.22823) + (xy 135.897273 92.244814) + (xy 135.898029 92.245713) + (xy 135.900157 92.248434) + (xy 135.902292 92.252625) + (xy 135.910081 92.260414) + (xy 135.913729 92.264391) + (xy 135.962127 92.321968) + (xy 135.985399 92.337459) + (xy 135.991836 92.342733) + (xy 135.993899 92.344232) + (xy 135.997375 92.347708) + (xy 136.001753 92.349939) + (xy 136.001756 92.349941) + (xy 136.00873 92.353494) + (xy 136.014977 92.357148) + (xy 136.064064 92.389823) + (xy 136.068313 92.391151) + (xy 136.068314 92.391151) + (xy 136.100296 92.401143) + (xy 136.110027 92.405109) + (xy 136.112799 92.406521) + (xy 136.112803 92.406522) + (xy 136.117187 92.408756) + (xy 136.127852 92.410445) + (xy 136.136713 92.41252) + (xy 136.176696 92.425012) + (xy 136.176699 92.425012) + (xy 136.180948 92.42634) + (xy 136.230069 92.427241) + (xy 136.238683 92.427999) + (xy 136.24514 92.429022) + (xy 136.245144 92.429022) + (xy 136.25 92.429791) + (xy 136.256947 92.42869) + (xy 136.267853 92.427933) + (xy 136.303383 92.428584) + (xy 136.363532 92.412185) + (xy 136.37019 92.410755) + (xy 136.372147 92.410445) + (xy 136.382813 92.408756) + (xy 136.387196 92.406522) + (xy 136.391875 92.405002) + (xy 136.391878 92.40501) + (xy 136.396727 92.403136) + (xy 136.421527 92.396375) + (xy 136.489709 92.354511) + (xy 136.494037 92.352085) + (xy 136.49824 92.349944) + (xy 136.498248 92.349938) + (xy 136.502625 92.347708) + (xy 136.505427 92.344906) + (xy 136.506264 92.344346) + (xy 136.508891 92.342733) + (xy 136.525881 92.332301) + (xy 136.608058 92.241513) + (xy 136.615454 92.226248) + (xy 136.651198 92.194458) + (xy 136.6717 92.191) + (xy 138.4965 92.191) + (xy 138.540694 92.209306) + (xy 138.559 92.2535) + (xy 138.559 92.335001) + (xy 138.540694 92.379195) + (xy 138.4965 92.397501) + (xy 138.46659 92.397501) + (xy 138.397305 92.407699) + (xy 138.392947 92.409839) + (xy 138.392946 92.409839) + (xy 138.39026 92.411158) + (xy 138.291964 92.459419) + (xy 138.288318 92.463071) + (xy 138.288317 92.463072) + (xy 138.212704 92.538817) + (xy 138.212702 92.538819) + (xy 138.209056 92.542472) + (xy 138.192701 92.575931) + (xy 138.159943 92.642946) + (xy 138.15752 92.647902) + (xy 138.1475 92.716589) + (xy 138.147501 93.23341) + (xy 138.157699 93.302695) + (xy 138.209419 93.408036) + (xy 138.213071 93.411682) + (xy 138.213072 93.411683) + (xy 138.288817 93.487296) + (xy 138.288819 93.487298) + (xy 138.292472 93.490944) + (xy 138.340441 93.514392) + (xy 138.393158 93.540161) + (xy 138.397902 93.54248) + (xy 138.402705 93.543181) + (xy 138.402706 93.543181) + (xy 138.424659 93.546383) + (xy 138.466589 93.5525) + (xy 138.749251 93.5525) + (xy 139.03341 93.552499) + (xy 139.102695 93.542301) + (xy 139.208036 93.490581) + (xy 139.211683 93.486928) + (xy 139.287296 93.411183) + (xy 139.287298 93.411181) + (xy 139.290944 93.407528) + (xy 139.334133 93.319174) + (xy 139.340349 93.306458) + (xy 139.340349 93.306457) + (xy 139.34248 93.302098) + (xy 139.344293 93.289674) + (xy 139.348541 93.260552) + (xy 139.3525 93.233411) + (xy 139.352499 92.71659) + (xy 139.342301 92.647305) + (xy 139.290581 92.541964) + (xy 139.286928 92.538317) + (xy 139.211183 92.462704) + (xy 139.211181 92.462702) + (xy 139.207528 92.459056) + (xy 139.111646 92.412187) + (xy 139.106458 92.409651) + (xy 139.106457 92.409651) + (xy 139.102098 92.40752) + (xy 139.097295 92.406819) + (xy 139.097294 92.406819) + (xy 139.06602 92.402257) + (xy 139.033411 92.3975) + (xy 139.0035 92.3975) + (xy 138.959306 92.379194) + (xy 138.941 92.335) + (xy 138.941 92.2535) + (xy 138.959306 92.209306) + (xy 139.0035 92.191) + (xy 145.144997 92.191) + (xy 145.189191 92.209306) + (xy 149.066772 96.086887) + (xy 149.085078 96.131081) + (xy 149.084334 96.140691) + (xy 149.068136 96.244724) + (xy 149.068713 96.249137) + (xy 149.068713 96.249138) + (xy 149.082655 96.355751) + (xy 149.084014 96.366145) + (xy 149.091185 96.382443) + (xy 149.091244 96.382813) + (xy 149.092007 96.384311) + (xy 149.094031 96.38891) + (xy 149.094031 96.388911) + (xy 149.113207 96.432491) + (xy 149.1185 96.457663) + (xy 149.1185 98.9965) + (xy 149.100194 99.040694) + (xy 149.056 99.059) + (xy 148.164999 99.059) + (xy 148.120805 99.040694) + (xy 148.102499 98.9965) + (xy 148.102499 98.96659) + (xy 148.092301 98.897305) + (xy 148.040581 98.791964) + (xy 148.036928 98.788317) + (xy 147.961183 98.712704) + (xy 147.961181 98.712702) + (xy 147.957528 98.709056) + (xy 147.870168 98.666353) + (xy 147.856458 98.659651) + (xy 147.856457 98.659651) + (xy 147.852098 98.65752) + (xy 147.847295 98.656819) + (xy 147.847294 98.656819) + (xy 147.811804 98.651642) + (xy 147.783411 98.6475) + (xy 147.525683 98.6475) + (xy 147.26659 98.647501) + (xy 147.197305 98.657699) + (xy 147.192947 98.659839) + (xy 147.192946 98.659839) + (xy 147.179679 98.666353) + (xy 147.091964 98.709419) + (xy 147.088318 98.713071) + (xy 147.088317 98.713072) + (xy 147.012704 98.788817) + (xy 147.012702 98.788819) + (xy 147.009056 98.792472) + (xy 146.982073 98.847673) + (xy 146.959943 98.892946) + (xy 146.95752 98.897902) + (xy 146.956819 98.902705) + (xy 146.956819 98.902706) + (xy 146.955692 98.910431) + (xy 146.9475 98.966589) + (xy 146.947501 99.53341) + (xy 146.957699 99.602695) + (xy 146.959839 99.607053) + (xy 146.959839 99.607054) + (xy 146.961684 99.610811) + (xy 147.009419 99.708036) + (xy 147.013071 99.711682) + (xy 147.013072 99.711683) + (xy 147.088817 99.787296) + (xy 147.088819 99.787298) + (xy 147.092472 99.790944) + (xy 147.16035 99.824124) + (xy 147.193158 99.840161) + (xy 147.197902 99.84248) + (xy 147.202705 99.843181) + (xy 147.202706 99.843181) + (xy 147.210693 99.844346) + (xy 147.266589 99.8525) + (xy 147.524317 99.8525) + (xy 147.78341 99.852499) + (xy 147.852695 99.842301) + (xy 147.85826 99.839569) + (xy 147.889717 99.824124) + (xy 147.958036 99.790581) + (xy 147.962094 99.786516) + (xy 148.037296 99.711183) + (xy 148.037298 99.711181) + (xy 148.040944 99.707528) + (xy 148.088429 99.610385) + (xy 148.090349 99.606458) + (xy 148.090349 99.606457) + (xy 148.09248 99.602098) + (xy 148.1025 99.533411) + (xy 148.1025 99.5035) + (xy 148.120806 99.459306) + (xy 148.165 99.441) + (xy 149.056 99.441) + (xy 149.100194 99.459306) + (xy 149.1185 99.5035) + (xy 149.1185 103.4965) + (xy 149.100194 103.540694) + (xy 149.056 103.559) + (xy 148.164999 103.559) + (xy 148.120805 103.540694) + (xy 148.102499 103.4965) + (xy 148.102499 103.46659) + (xy 148.092301 103.397305) + (xy 148.040581 103.291964) + (xy 148.036928 103.288317) + (xy 147.961183 103.212704) + (xy 147.961181 103.212702) + (xy 147.957528 103.209056) + (xy 147.870843 103.166683) + (xy 147.856458 103.159651) + (xy 147.856457 103.159651) + (xy 147.852098 103.15752) + (xy 147.847295 103.156819) + (xy 147.847294 103.156819) + (xy 147.825341 103.153617) + (xy 147.783411 103.1475) + (xy 147.525683 103.1475) + (xy 147.26659 103.147501) + (xy 147.197305 103.157699) + (xy 147.192947 103.159839) + (xy 147.192946 103.159839) + (xy 147.179007 103.166683) + (xy 147.091964 103.209419) + (xy 147.088318 103.213071) + (xy 147.088317 103.213072) + (xy 147.012704 103.288817) + (xy 147.012702 103.288819) + (xy 147.009056 103.292472) + (xy 146.97873 103.354511) + (xy 146.959943 103.392946) + (xy 146.95752 103.397902) + (xy 146.956819 103.402705) + (xy 146.956819 103.402706) + (xy 146.955065 103.414733) + (xy 146.9475 103.466589) + (xy 146.947501 104.03341) + (xy 146.957699 104.102695) + (xy 147.009419 104.208036) + (xy 147.013071 104.211682) + (xy 147.013072 104.211683) + (xy 147.088817 104.287296) + (xy 147.088819 104.287298) + (xy 147.092472 104.290944) + (xy 147.16035 104.324124) + (xy 147.193158 104.340161) + (xy 147.197902 104.34248) + (xy 147.202705 104.343181) + (xy 147.202706 104.343181) + (xy 147.210693 104.344346) + (xy 147.266589 104.3525) + (xy 147.524317 104.3525) + (xy 147.78341 104.352499) + (xy 147.852695 104.342301) + (xy 147.85826 104.339569) + (xy 147.889717 104.324124) + (xy 147.958036 104.290581) + (xy 147.999407 104.249138) + (xy 148.037296 104.211183) + (xy 148.037298 104.211181) + (xy 148.040944 104.207528) + (xy 148.081908 104.123726) + (xy 148.090349 104.106458) + (xy 148.090349 104.106457) + (xy 148.09248 104.102098) + (xy 148.095994 104.078013) + (xy 148.09713 104.070222) + (xy 148.1025 104.033411) + (xy 148.1025 104.0035) + (xy 148.120806 103.959306) + (xy 148.165 103.941) + (xy 149.056 103.941) + (xy 149.100194 103.959306) + (xy 149.1185 104.0035) + (xy 149.1185 110.4965) + (xy 149.100194 110.540694) + (xy 149.056 110.559) + (xy 148.164999 110.559) + (xy 148.120805 110.540694) + (xy 148.102499 110.4965) + (xy 148.102499 110.46659) + (xy 148.092301 110.397305) + (xy 148.040581 110.291964) + (xy 148.020317 110.271735) + (xy 147.961183 110.212704) + (xy 147.961181 110.212702) + (xy 147.957528 110.209056) + (xy 147.884238 110.173231) + (xy 147.856458 110.159651) + (xy 147.856457 110.159651) + (xy 147.852098 110.15752) + (xy 147.847295 110.156819) + (xy 147.847294 110.156819) + (xy 147.811804 110.151642) + (xy 147.783411 110.1475) + (xy 147.525683 110.1475) + (xy 147.26659 110.147501) + (xy 147.197305 110.157699) + (xy 147.192947 110.159839) + (xy 147.192946 110.159839) + (xy 147.184787 110.163845) + (xy 147.091964 110.209419) + (xy 147.088318 110.213071) + (xy 147.088317 110.213072) + (xy 147.012704 110.288817) + (xy 147.012702 110.288819) + (xy 147.009056 110.292472) + (xy 146.980443 110.351007) + (xy 146.959943 110.392946) + (xy 146.95752 110.397902) + (xy 146.9475 110.466589) + (xy 146.947501 111.03341) + (xy 146.957699 111.102695) + (xy 146.959839 111.107053) + (xy 146.959839 111.107054) + (xy 146.964303 111.116145) + (xy 147.009419 111.208036) + (xy 147.013071 111.211682) + (xy 147.013072 111.211683) + (xy 147.088817 111.287296) + (xy 147.088819 111.287298) + (xy 147.092472 111.290944) + (xy 147.160982 111.324433) + (xy 147.193158 111.340161) + (xy 147.197902 111.34248) + (xy 147.202705 111.343181) + (xy 147.202706 111.343181) + (xy 147.224659 111.346383) + (xy 147.266589 111.3525) + (xy 147.524317 111.3525) + (xy 147.78341 111.352499) + (xy 147.852695 111.342301) + (xy 147.85826 111.339569) + (xy 147.894108 111.321968) + (xy 147.958036 111.290581) + (xy 147.962094 111.286516) + (xy 148.037296 111.211183) + (xy 148.037298 111.211181) + (xy 148.040944 111.207528) + (xy 148.087774 111.111726) + (xy 148.090349 111.106458) + (xy 148.090349 111.106457) + (xy 148.09248 111.102098) + (xy 148.1025 111.033411) + (xy 148.1025 111.0035) + (xy 148.120806 110.959306) + (xy 148.165 110.941) + (xy 149.056 110.941) + (xy 149.100194 110.959306) + (xy 149.1185 111.0035) + (xy 149.1185 111.806) + (xy 149.100194 111.850194) + (xy 149.056 111.8685) + (xy 135.465944 111.8685) + (xy 135.439634 111.86072) + (xy 135.438939 111.862224) + (xy 135.434892 111.860354) + (xy 135.43116 111.857935) + (xy 135.426901 111.856661) + (xy 135.426898 111.85666) + (xy 135.405275 111.850194) + (xy 135.398839 111.848269) + (xy 135.388377 111.844079) + (xy 135.382813 111.841244) + (xy 135.371067 111.839384) + (xy 135.362951 111.837536) + (xy 135.339508 111.830525) + (xy 135.313838 111.822848) + (xy 135.269496 111.822577) + (xy 135.260103 111.821809) + (xy 135.254857 111.820978) + (xy 135.254856 111.820978) + (xy 135.25 111.820209) + (xy 135.241373 111.821576) + (xy 135.23122 111.822344) + (xy 135.212836 111.822231) + (xy 135.195838 111.822127) + (xy 135.195836 111.822127) + (xy 135.191385 111.8221) + (xy 135.137011 111.837641) + (xy 135.129623 111.839275) + (xy 135.117187 111.841244) + (xy 135.112804 111.843477) + (xy 135.11187 111.843781) + (xy 135.102155 111.847603) + (xy 135.077929 111.854526) + (xy 135.077927 111.854527) + (xy 135.073644 111.855751) + (xy 135.069874 111.85813) + (xy 135.068721 111.858857) + (xy 135.067382 111.859244) + (xy 135.065813 111.859946) + (xy 135.065715 111.859726) + (xy 135.035369 111.8685) + (xy 123.5035 111.8685) + (xy 123.459306 111.850194) + (xy 123.441 111.806) + (xy 123.441 111.281083) + (xy 128.898001 111.281083) + (xy 128.898333 111.285614) + (xy 128.907478 111.347743) + (xy 128.910326 111.35691) + (xy 128.957556 111.453105) + (xy 128.963484 111.461387) + (xy 129.039111 111.536883) + (xy 129.047406 111.542799) + (xy 129.143687 111.589863) + (xy 129.152851 111.592695) + (xy 129.214391 111.601672) + (xy 129.218904 111.602) + (xy 129.424069 111.602) + (xy 129.432859 111.598359) + (xy 129.4365 111.589569) + (xy 129.4365 111.589568) + (xy 129.5635 111.589568) + (xy 129.567141 111.598358) + (xy 129.575931 111.601999) + (xy 129.781083 111.601999) + (xy 129.785614 111.601667) + (xy 129.847743 111.592522) + (xy 129.85691 111.589674) + (xy 129.953105 111.542444) + (xy 129.961387 111.536516) + (xy 130.036883 111.460889) + (xy 130.042799 111.452594) + (xy 130.089863 111.356313) + (xy 130.092695 111.347149) + (xy 130.101672 111.285609) + (xy 130.102 111.281096) + (xy 130.102 111.100931) + (xy 130.098359 111.092141) + (xy 130.089569 111.0885) + (xy 129.575931 111.0885) + (xy 129.567141 111.092141) + (xy 129.5635 111.100931) + (xy 129.5635 111.589568) + (xy 129.4365 111.589568) + (xy 129.4365 111.100931) + (xy 129.432859 111.092141) + (xy 129.424069 111.0885) + (xy 128.910432 111.0885) + (xy 128.901642 111.092141) + (xy 128.898001 111.100931) + (xy 128.898001 111.281083) + (xy 123.441 111.281083) + (xy 123.441 111.031083) + (xy 145.398001 111.031083) + (xy 145.398333 111.035614) + (xy 145.407478 111.097743) + (xy 145.410326 111.10691) + (xy 145.457556 111.203105) + (xy 145.463484 111.211387) + (xy 145.539111 111.286883) + (xy 145.547406 111.292799) + (xy 145.643687 111.339863) + (xy 145.652851 111.342695) + (xy 145.714391 111.351672) + (xy 145.718904 111.352) + (xy 145.899069 111.352) + (xy 145.907859 111.348359) + (xy 145.9115 111.339569) + (xy 145.9115 111.339568) + (xy 146.0385 111.339568) + (xy 146.042141 111.348358) + (xy 146.050931 111.351999) + (xy 146.231083 111.351999) + (xy 146.235614 111.351667) + (xy 146.297743 111.342522) + (xy 146.30691 111.339674) + (xy 146.403105 111.292444) + (xy 146.411387 111.286516) + (xy 146.486883 111.210889) + (xy 146.492799 111.202594) + (xy 146.539863 111.106313) + (xy 146.542695 111.097149) + (xy 146.551672 111.035609) + (xy 146.552 111.031096) + (xy 146.552 110.825931) + (xy 146.548359 110.817141) + (xy 146.539569 110.8135) + (xy 146.050931 110.8135) + (xy 146.042141 110.817141) + (xy 146.0385 110.825931) + (xy 146.0385 111.339568) + (xy 145.9115 111.339568) + (xy 145.9115 110.825931) + (xy 145.907859 110.817141) + (xy 145.899069 110.8135) + (xy 145.410432 110.8135) + (xy 145.401642 110.817141) + (xy 145.398001 110.825931) + (xy 145.398001 111.031083) + (xy 123.441 111.031083) + (xy 123.441 110.949069) + (xy 128.898 110.949069) + (xy 128.901641 110.957859) + (xy 128.910431 110.9615) + (xy 129.424069 110.9615) + (xy 129.432859 110.957859) + (xy 129.4365 110.949069) + (xy 129.5635 110.949069) + (xy 129.567141 110.957859) + (xy 129.575931 110.9615) + (xy 130.089568 110.9615) + (xy 130.098358 110.957859) + (xy 130.101999 110.949069) + (xy 130.101999 110.768917) + (xy 130.101667 110.764386) + (xy 130.092522 110.702257) + (xy 130.089674 110.69309) + (xy 130.080335 110.674069) + (xy 145.398 110.674069) + (xy 145.401641 110.682859) + (xy 145.410431 110.6865) + (xy 145.899069 110.6865) + (xy 145.907859 110.682859) + (xy 145.9115 110.674069) + (xy 146.0385 110.674069) + (xy 146.042141 110.682859) + (xy 146.050931 110.6865) + (xy 146.539568 110.6865) + (xy 146.548358 110.682859) + (xy 146.551999 110.674069) + (xy 146.551999 110.468917) + (xy 146.551667 110.464386) + (xy 146.542522 110.402257) + (xy 146.539674 110.39309) + (xy 146.492444 110.296895) + (xy 146.486516 110.288613) + (xy 146.410889 110.213117) + (xy 146.402594 110.207201) + (xy 146.306313 110.160137) + (xy 146.297149 110.157305) + (xy 146.235609 110.148328) + (xy 146.231096 110.148) + (xy 146.050931 110.148) + (xy 146.042141 110.151641) + (xy 146.0385 110.160431) + (xy 146.0385 110.674069) + (xy 145.9115 110.674069) + (xy 145.9115 110.160432) + (xy 145.907859 110.151642) + (xy 145.899069 110.148001) + (xy 145.718917 110.148001) + (xy 145.714386 110.148333) + (xy 145.652257 110.157478) + (xy 145.64309 110.160326) + (xy 145.546895 110.207556) + (xy 145.538613 110.213484) + (xy 145.463117 110.289111) + (xy 145.457201 110.297406) + (xy 145.410137 110.393687) + (xy 145.407305 110.402851) + (xy 145.398328 110.464391) + (xy 145.398 110.468904) + (xy 145.398 110.674069) + (xy 130.080335 110.674069) + (xy 130.042444 110.596895) + (xy 130.036516 110.588613) + (xy 129.960889 110.513117) + (xy 129.952594 110.507201) + (xy 129.856313 110.460137) + (xy 129.847149 110.457305) + (xy 129.785609 110.448328) + (xy 129.781096 110.448) + (xy 129.575931 110.448) + (xy 129.567141 110.451641) + (xy 129.5635 110.460431) + (xy 129.5635 110.949069) + (xy 129.4365 110.949069) + (xy 129.4365 110.460432) + (xy 129.432859 110.451642) + (xy 129.424069 110.448001) + (xy 129.218917 110.448001) + (xy 129.214386 110.448333) + (xy 129.152257 110.457478) + (xy 129.14309 110.460326) + (xy 129.046895 110.507556) + (xy 129.038613 110.513484) + (xy 128.963117 110.589111) + (xy 128.957201 110.597406) + (xy 128.910137 110.693687) + (xy 128.907305 110.702851) + (xy 128.898328 110.764391) + (xy 128.898 110.768904) + (xy 128.898 110.949069) + (xy 123.441 110.949069) + (xy 123.441 109.216589) + (xy 128.8975 109.216589) + (xy 128.897501 109.73341) + (xy 128.907699 109.802695) + (xy 128.959419 109.908036) + (xy 128.963071 109.911682) + (xy 128.963072 109.911683) + (xy 129.038817 109.987296) + (xy 129.038819 109.987298) + (xy 129.042472 109.990944) + (xy 129.115762 110.026769) + (xy 129.143158 110.040161) + (xy 129.147902 110.04248) + (xy 129.152705 110.043181) + (xy 129.152706 110.043181) + (xy 129.174659 110.046383) + (xy 129.216589 110.0525) + (xy 129.499251 110.0525) + (xy 129.78341 110.052499) + (xy 129.852695 110.042301) + (xy 129.958036 109.990581) + (xy 129.961683 109.986928) + (xy 130.037296 109.911183) + (xy 130.037298 109.911181) + (xy 130.040944 109.907528) + (xy 130.076769 109.834238) + (xy 130.090349 109.806458) + (xy 130.090349 109.806457) + (xy 130.09248 109.802098) + (xy 130.1025 109.733411) + (xy 130.102499 109.21659) + (xy 130.092301 109.147305) + (xy 130.040581 109.041964) + (xy 130.036928 109.038317) + (xy 129.961183 108.962704) + (xy 129.961181 108.962702) + (xy 129.957528 108.959056) + (xy 129.884238 108.923231) + (xy 129.856458 108.909651) + (xy 129.856457 108.909651) + (xy 129.852098 108.90752) + (xy 129.847295 108.906819) + (xy 129.847294 108.906819) + (xy 129.825341 108.903617) + (xy 129.783411 108.8975) + (xy 129.7535 108.8975) + (xy 129.709306 108.879194) + (xy 129.691 108.835) + (xy 129.691 107.105003) + (xy 129.709306 107.060809) + (xy 130.087788 106.682327) + (xy 130.131982 106.664021) + (xy 130.15062 106.666865) + (xy 130.176696 106.675012) + (xy 130.176699 106.675012) + (xy 130.180948 106.67634) + (xy 130.230069 106.677241) + (xy 130.238683 106.677999) + (xy 130.24514 106.679022) + (xy 130.245144 106.679022) + (xy 130.25 106.679791) + (xy 130.256947 106.67869) + (xy 130.267853 106.677933) + (xy 130.303383 106.678584) + (xy 130.363532 106.662185) + (xy 130.37019 106.660755) + (xy 130.3717 106.660516) + (xy 130.382813 106.658756) + (xy 130.387196 106.656522) + (xy 130.391875 106.655002) + (xy 130.391878 106.65501) + (xy 130.396727 106.653136) + (xy 130.421527 106.646375) + (xy 130.432824 106.639439) + (xy 130.489709 106.604511) + (xy 130.494037 106.602085) + (xy 130.49824 106.599944) + (xy 130.498248 106.599938) + (xy 130.502625 106.597708) + (xy 130.505427 106.594906) + (xy 130.506264 106.594346) + (xy 130.506741 106.594053) + (xy 130.525881 106.582301) + (xy 130.608058 106.491513) + (xy 130.661451 106.381311) + (xy 130.662983 106.372209) + (xy 130.67758 106.28544) + (xy 130.681767 106.260552) + (xy 130.681896 106.25) + (xy 130.664536 106.128781) + (xy 130.613852 106.017307) + (xy 130.599199 106.000302) + (xy 130.597708 105.997375) + (xy 130.5919 105.991567) + (xy 130.588746 105.98817) + (xy 130.536826 105.927914) + (xy 130.533918 105.924539) + (xy 130.513741 105.911461) + (xy 130.510155 105.908559) + (xy 130.510081 105.908661) + (xy 130.506106 105.905773) + (xy 130.502625 105.902292) + (xy 130.491343 105.896543) + (xy 130.485736 105.893309) + (xy 130.485399 105.89309) + (xy 130.467225 105.881311) + (xy 130.434893 105.860354) + (xy 130.43489 105.860353) + (xy 130.43116 105.857935) + (xy 130.426901 105.856661) + (xy 130.426898 105.85666) + (xy 130.399139 105.848359) + (xy 130.398839 105.848269) + (xy 130.388377 105.844079) + (xy 130.382813 105.841244) + (xy 130.371067 105.839384) + (xy 130.362951 105.837536) + (xy 130.320863 105.824949) + (xy 130.313838 105.822848) + (xy 130.269496 105.822577) + (xy 130.260103 105.821809) + (xy 130.254857 105.820978) + (xy 130.254856 105.820978) + (xy 130.25 105.820209) + (xy 130.241373 105.821576) + (xy 130.23122 105.822344) + (xy 130.212836 105.822231) + (xy 130.195838 105.822127) + (xy 130.195836 105.822127) + (xy 130.191385 105.8221) + (xy 130.137011 105.837641) + (xy 130.129623 105.839275) + (xy 130.117187 105.841244) + (xy 130.112804 105.843477) + (xy 130.11187 105.843781) + (xy 130.102155 105.847603) + (xy 130.077929 105.854526) + (xy 130.077927 105.854527) + (xy 130.073644 105.855751) + (xy 130.069875 105.858129) + (xy 130.054887 105.867586) + (xy 130.007743 105.875687) + (xy 129.988718 105.862242) + (xy 129.990671 105.892862) + (xy 129.972507 105.919564) + (xy 129.97008 105.921095) + (xy 129.967131 105.924434) + (xy 129.96713 105.924435) + (xy 129.907156 105.992342) + (xy 129.906032 105.993538) + (xy 129.905775 105.993892) + (xy 129.905554 105.994113) + (xy 129.905405 105.994204) + (xy 129.904504 105.995163) + (xy 129.902292 105.997375) + (xy 129.901509 105.996592) + (xy 129.900362 105.997296) + (xy 129.901721 105.998496) + (xy 129.895002 106.006104) + (xy 129.889018 106.012879) + (xy 129.887127 106.016907) + (xy 129.838868 106.119695) + (xy 129.838867 106.119698) + (xy 129.836976 106.123726) + (xy 129.818136 106.244724) + (xy 129.818713 106.249137) + (xy 129.818713 106.249138) + (xy 129.821619 106.271357) + (xy 129.833437 106.361726) + (xy 129.83346 106.361905) + (xy 129.82104 106.408099) + (xy 129.815682 106.414203) + (xy 129.395513 106.834372) + (xy 129.389439 106.838677) + (xy 129.389895 106.83925) + (xy 129.384387 106.843631) + (xy 129.378042 106.846678) + (xy 129.373646 106.852175) + (xy 129.359582 106.869761) + (xy 129.354965 106.87492) + (xy 129.349716 106.880169) + (xy 129.347847 106.883143) + (xy 129.345943 106.886172) + (xy 129.341838 106.891948) + (xy 129.323617 106.914733) + (xy 129.32204 106.921593) + (xy 129.320222 106.925353) + (xy 129.318844 106.929289) + (xy 129.315098 106.935248) + (xy 129.314307 106.942242) + (xy 129.314307 106.942243) + (xy 129.311821 106.964236) + (xy 129.310629 106.971213) + (xy 129.309 106.978297) + (xy 129.309 106.985671) + (xy 129.308604 106.992692) + (xy 129.305309 107.021838) + (xy 129.30763 107.028483) + (xy 129.308414 107.035477) + (xy 129.307736 107.035553) + (xy 129.309 107.043005) + (xy 129.309 108.835001) + (xy 129.290694 108.879195) + (xy 129.2465 108.897501) + (xy 129.21659 108.897501) + (xy 129.147305 108.907699) + (xy 129.041964 108.959419) + (xy 129.038318 108.963071) + (xy 129.038317 108.963072) + (xy 128.962704 109.038817) + (xy 128.962702 109.038819) + (xy 128.959056 109.042472) + (xy 128.923231 109.115762) + (xy 128.909943 109.142946) + (xy 128.90752 109.147902) + (xy 128.8975 109.216589) + (xy 123.441 109.216589) + (xy 123.441 107.293234) + (xy 123.442253 107.285893) + (xy 123.441524 107.28581) + (xy 123.442321 107.278819) + (xy 123.444653 107.272178) + (xy 123.441383 107.242793) + (xy 123.441 107.235881) + (xy 123.441 107.228467) + (xy 123.439421 107.221544) + (xy 123.438245 107.214591) + (xy 123.435015 107.185571) + (xy 123.431281 107.179607) + (xy 123.42991 107.17567) + (xy 123.428097 107.171904) + (xy 123.426532 107.165041) + (xy 123.408354 107.142229) + (xy 123.40426 107.136446) + (xy 123.402284 107.13329) + (xy 123.402279 107.133284) + (xy 123.400404 107.130289) + (xy 123.395191 107.125076) + (xy 123.390506 107.119832) + (xy 123.376612 107.102396) + (xy 123.376611 107.102396) + (xy 123.372225 107.096891) + (xy 123.365886 107.093833) + (xy 123.360385 107.089442) + (xy 123.360811 107.088908) + (xy 123.354647 107.084532) + (xy 122.915628 106.645513) + (xy 122.911323 106.639439) + (xy 122.91075 106.639895) + (xy 122.906369 106.634387) + (xy 122.903322 106.628042) + (xy 122.880239 106.609582) + (xy 122.87508 106.604965) + (xy 122.869831 106.599716) + (xy 122.863828 106.595943) + (xy 122.858052 106.591838) + (xy 122.835267 106.573617) + (xy 122.828407 106.57204) + (xy 122.824647 106.570222) + (xy 122.820711 106.568844) + (xy 122.814752 106.565098) + (xy 122.807758 106.564307) + (xy 122.807757 106.564307) + (xy 122.785764 106.561821) + (xy 122.778787 106.560629) + (xy 122.771703 106.559) + (xy 122.764329 106.559) + (xy 122.757308 106.558604) + (xy 122.755627 106.558414) + (xy 122.728162 106.555309) + (xy 122.721517 106.55763) + (xy 122.714523 106.558414) + (xy 122.714447 106.557736) + (xy 122.706995 106.559) + (xy 119.923049 106.559) + (xy 119.878855 106.540694) + (xy 119.866156 106.522373) + (xy 119.865695 106.52136) + (xy 119.865694 106.521359) + (xy 119.863852 106.517307) + (xy 119.849199 106.500302) + (xy 119.847708 106.497375) + (xy 119.8419 106.491567) + (xy 119.838746 106.48817) + (xy 119.786826 106.427914) + (xy 119.783918 106.424539) + (xy 119.763741 106.411461) + (xy 119.760155 106.408559) + (xy 119.760081 106.408661) + (xy 119.756106 106.405773) + (xy 119.752625 106.402292) + (xy 119.741343 106.396543) + (xy 119.735736 106.393309) + (xy 119.725676 106.386788) + (xy 119.713322 106.378781) + (xy 119.684893 106.360354) + (xy 119.68489 106.360353) + (xy 119.68116 106.357935) + (xy 119.676901 106.356661) + (xy 119.676898 106.35666) + (xy 119.658345 106.351112) + (xy 119.648839 106.348269) + (xy 119.638377 106.344079) + (xy 119.632813 106.341244) + (xy 119.621067 106.339384) + (xy 119.612951 106.337536) + (xy 119.563838 106.322848) + (xy 119.519496 106.322577) + (xy 119.510103 106.321809) + (xy 119.504857 106.320978) + (xy 119.504856 106.320978) + (xy 119.5 106.320209) + (xy 119.491373 106.321576) + (xy 119.48122 106.322344) + (xy 119.462836 106.322231) + (xy 119.445838 106.322127) + (xy 119.445836 106.322127) + (xy 119.441385 106.3221) + (xy 119.387011 106.337641) + (xy 119.379623 106.339275) + (xy 119.367187 106.341244) + (xy 119.362804 106.343477) + (xy 119.36187 106.343781) + (xy 119.352155 106.347603) + (xy 119.327929 106.354526) + (xy 119.327927 106.354527) + (xy 119.323644 106.355751) + (xy 119.319875 106.358129) + (xy 119.311269 106.363559) + (xy 119.264119 106.393309) + (xy 119.262819 106.394129) + (xy 119.257842 106.396959) + (xy 119.251757 106.400059) + (xy 119.251756 106.40006) + (xy 119.247375 106.402292) + (xy 119.243897 106.40577) + (xy 119.242445 106.406825) + (xy 119.239058 106.40912) + (xy 119.22008 106.421095) + (xy 119.217137 106.424427) + (xy 119.217135 106.424429) + (xy 119.157156 106.492342) + (xy 119.156032 106.493538) + (xy 119.155775 106.493892) + (xy 119.155554 106.494113) + (xy 119.155405 106.494204) + (xy 119.154504 106.495163) + (xy 119.152292 106.497375) + (xy 119.151509 106.496592) + (xy 119.150362 106.497296) + (xy 119.151721 106.498496) + (xy 119.143943 106.507303) + (xy 119.139018 106.512879) + (xy 119.138556 106.513862) + (xy 119.100023 106.540049) + (xy 119.053035 106.531084) + (xy 119.043156 106.523041) + (xy 118.709306 106.189191) + (xy 118.691 106.144997) + (xy 118.691 105.744724) + (xy 119.068136 105.744724) + (xy 119.068713 105.749137) + (xy 119.068713 105.749138) + (xy 119.082655 105.855751) + (xy 119.084014 105.866145) + (xy 119.091185 105.882443) + (xy 119.091244 105.882813) + (xy 119.092007 105.884311) + (xy 119.094029 105.888907) + (xy 119.09403 105.888909) + (xy 119.128211 105.966589) + (xy 119.133333 105.97823) + (xy 119.147272 105.994813) + (xy 119.148029 105.995713) + (xy 119.150157 105.998434) + (xy 119.152292 106.002625) + (xy 119.160081 106.010414) + (xy 119.163729 106.014391) + (xy 119.212127 106.071968) + (xy 119.235399 106.087459) + (xy 119.241836 106.092733) + (xy 119.243899 106.094232) + (xy 119.247375 106.097708) + (xy 119.251753 106.099939) + (xy 119.251756 106.099941) + (xy 119.25873 106.103494) + (xy 119.264977 106.107148) + (xy 119.314064 106.139823) + (xy 119.318313 106.141151) + (xy 119.318314 106.141151) + (xy 119.350296 106.151143) + (xy 119.360027 106.155109) + (xy 119.362799 106.156521) + (xy 119.362803 106.156522) + (xy 119.367187 106.158756) + (xy 119.377852 106.160445) + (xy 119.386713 106.16252) + (xy 119.426696 106.175012) + (xy 119.426699 106.175012) + (xy 119.430948 106.17634) + (xy 119.480069 106.177241) + (xy 119.488683 106.177999) + (xy 119.49514 106.179022) + (xy 119.495144 106.179022) + (xy 119.5 106.179791) + (xy 119.506947 106.17869) + (xy 119.517853 106.177933) + (xy 119.553383 106.178584) + (xy 119.613532 106.162185) + (xy 119.62019 106.160755) + (xy 119.622147 106.160445) + (xy 119.632813 106.158756) + (xy 119.637196 106.156522) + (xy 119.641875 106.155002) + (xy 119.641878 106.15501) + (xy 119.646727 106.153136) + (xy 119.671527 106.146375) + (xy 119.676521 106.143309) + (xy 119.739709 106.104511) + (xy 119.744037 106.102085) + (xy 119.74824 106.099944) + (xy 119.748248 106.099938) + (xy 119.752625 106.097708) + (xy 119.755427 106.094906) + (xy 119.756264 106.094346) + (xy 119.757681 106.093476) + (xy 119.775881 106.082301) + (xy 119.858058 105.991513) + (xy 119.911451 105.881311) + (xy 119.912398 105.875687) + (xy 119.927268 105.787296) + (xy 119.931767 105.760552) + (xy 119.931896 105.75) + (xy 119.916061 105.639432) + (xy 119.927917 105.593091) + (xy 119.933736 105.586379) + (xy 120.060809 105.459306) + (xy 120.105003 105.441) + (xy 126.076187 105.441) + (xy 126.120381 105.459306) + (xy 126.129248 105.470473) + (xy 126.13154 105.474155) + (xy 126.133333 105.47823) + (xy 126.147272 105.494813) + (xy 126.148029 105.495713) + (xy 126.150157 105.498434) + (xy 126.152292 105.502625) + (xy 126.160081 105.510414) + (xy 126.163729 105.514391) + (xy 126.212127 105.571968) + (xy 126.235399 105.587459) + (xy 126.241836 105.592733) + (xy 126.243899 105.594232) + (xy 126.247375 105.597708) + (xy 126.251753 105.599939) + (xy 126.251756 105.599941) + (xy 126.25873 105.603494) + (xy 126.264977 105.607148) + (xy 126.314064 105.639823) + (xy 126.318313 105.641151) + (xy 126.318314 105.641151) + (xy 126.350296 105.651143) + (xy 126.360027 105.655109) + (xy 126.362799 105.656521) + (xy 126.362803 105.656522) + (xy 126.367187 105.658756) + (xy 126.37777 105.660432) + (xy 126.377852 105.660445) + (xy 126.386713 105.66252) + (xy 126.426696 105.675012) + (xy 126.426699 105.675012) + (xy 126.430948 105.67634) + (xy 126.480069 105.677241) + (xy 126.488683 105.677999) + (xy 126.49514 105.679022) + (xy 126.495144 105.679022) + (xy 126.5 105.679791) + (xy 126.506947 105.67869) + (xy 126.517853 105.677933) + (xy 126.553383 105.678584) + (xy 126.613532 105.662185) + (xy 126.62019 105.660755) + (xy 126.624092 105.660137) + (xy 126.632813 105.658756) + (xy 126.637196 105.656522) + (xy 126.641875 105.655002) + (xy 126.641878 105.65501) + (xy 126.646727 105.653136) + (xy 126.671527 105.646375) + (xy 126.682832 105.639434) + (xy 126.739709 105.604511) + (xy 126.744037 105.602085) + (xy 126.74824 105.599944) + (xy 126.748248 105.599938) + (xy 126.752625 105.597708) + (xy 126.755427 105.594906) + (xy 126.756264 105.594346) + (xy 126.758891 105.592733) + (xy 126.775881 105.582301) + (xy 126.858058 105.491513) + (xy 126.911451 105.381311) + (xy 126.915958 105.354526) + (xy 126.931367 105.262928) + (xy 126.931767 105.260552) + (xy 126.931896 105.25) + (xy 126.914536 105.128781) + (xy 126.863852 105.017307) + (xy 126.849199 105.000302) + (xy 126.847708 104.997375) + (xy 126.8419 104.991567) + (xy 126.838746 104.98817) + (xy 126.786826 104.927914) + (xy 126.783918 104.924539) + (xy 126.763741 104.911461) + (xy 126.760155 104.908559) + (xy 126.760081 104.908661) + (xy 126.756106 104.905773) + (xy 126.752625 104.902292) + (xy 126.741343 104.896543) + (xy 126.735736 104.893309) + (xy 126.734717 104.892648) + (xy 126.70708 104.874735) + (xy 126.684893 104.860354) + (xy 126.68489 104.860353) + (xy 126.68116 104.857935) + (xy 126.676901 104.856661) + (xy 126.676898 104.85666) + (xy 126.658345 104.851112) + (xy 126.648839 104.848269) + (xy 126.638377 104.844079) + (xy 126.632813 104.841244) + (xy 126.621067 104.839384) + (xy 126.612951 104.837536) + (xy 126.594781 104.832102) + (xy 126.563838 104.822848) + (xy 126.519496 104.822577) + (xy 126.510103 104.821809) + (xy 126.504857 104.820978) + (xy 126.504856 104.820978) + (xy 126.5 104.820209) + (xy 126.491373 104.821576) + (xy 126.48122 104.822344) + (xy 126.462836 104.822231) + (xy 126.445838 104.822127) + (xy 126.445836 104.822127) + (xy 126.441385 104.8221) + (xy 126.387011 104.837641) + (xy 126.379623 104.839275) + (xy 126.367187 104.841244) + (xy 126.362804 104.843477) + (xy 126.36187 104.843781) + (xy 126.352155 104.847603) + (xy 126.327929 104.854526) + (xy 126.327927 104.854527) + (xy 126.323644 104.855751) + (xy 126.319875 104.858129) + (xy 126.265166 104.892648) + (xy 126.218022 104.900749) + (xy 126.178957 104.873141) + (xy 126.170181 104.829421) + (xy 126.180791 104.766353) + (xy 126.181767 104.760552) + (xy 126.181896 104.75) + (xy 126.171684 104.678691) + (xy 126.170335 104.669272) + (xy 126.182191 104.622929) + (xy 126.223344 104.598543) + (xy 126.266835 104.608384) + (xy 126.314064 104.639823) + (xy 126.318313 104.641151) + (xy 126.318314 104.641151) + (xy 126.350296 104.651143) + (xy 126.360027 104.655109) + (xy 126.362799 104.656521) + (xy 126.362803 104.656522) + (xy 126.367187 104.658756) + (xy 126.377852 104.660445) + (xy 126.386713 104.66252) + (xy 126.426696 104.675012) + (xy 126.426699 104.675012) + (xy 126.430948 104.67634) + (xy 126.480069 104.677241) + (xy 126.488683 104.677999) + (xy 126.49514 104.679022) + (xy 126.495144 104.679022) + (xy 126.5 104.679791) + (xy 126.506947 104.67869) + (xy 126.517853 104.677933) + (xy 126.553383 104.678584) + (xy 126.613532 104.662185) + (xy 126.62019 104.660755) + (xy 126.622147 104.660445) + (xy 126.632813 104.658756) + (xy 126.637196 104.656522) + (xy 126.641875 104.655002) + (xy 126.641878 104.65501) + (xy 126.646727 104.653136) + (xy 126.671527 104.646375) + (xy 126.692257 104.633647) + (xy 126.739709 104.604511) + (xy 126.744037 104.602085) + (xy 126.74824 104.599944) + (xy 126.748248 104.599938) + (xy 126.752625 104.597708) + (xy 126.755427 104.594906) + (xy 126.756264 104.594346) + (xy 126.775881 104.582301) + (xy 126.858058 104.491513) + (xy 126.859997 104.48751) + (xy 126.860863 104.486227) + (xy 126.900753 104.459827) + (xy 126.947628 104.469366) + (xy 126.95687 104.476985) + (xy 127.040694 104.560809) + (xy 127.059 104.605003) + (xy 127.059 106.394998) + (xy 127.040694 106.439192) + (xy 126.956871 106.523014) + (xy 126.912677 106.54132) + (xy 126.868483 106.523014) + (xy 126.863877 106.517286) + (xy 126.863852 106.517307) + (xy 126.849479 106.500627) + (xy 126.849199 106.500302) + (xy 126.847708 106.497375) + (xy 126.8419 106.491567) + (xy 126.838746 106.48817) + (xy 126.786826 106.427914) + (xy 126.783918 106.424539) + (xy 126.763741 106.411461) + (xy 126.760155 106.408559) + (xy 126.760081 106.408661) + (xy 126.756106 106.405773) + (xy 126.752625 106.402292) + (xy 126.741343 106.396543) + (xy 126.735736 106.393309) + (xy 126.725676 106.386788) + (xy 126.713322 106.378781) + (xy 126.684893 106.360354) + (xy 126.68489 106.360353) + (xy 126.68116 106.357935) + (xy 126.676901 106.356661) + (xy 126.676898 106.35666) + (xy 126.658345 106.351112) + (xy 126.648839 106.348269) + (xy 126.638377 106.344079) + (xy 126.632813 106.341244) + (xy 126.621067 106.339384) + (xy 126.612951 106.337536) + (xy 126.563838 106.322848) + (xy 126.519496 106.322577) + (xy 126.510103 106.321809) + (xy 126.504857 106.320978) + (xy 126.504856 106.320978) + (xy 126.5 106.320209) + (xy 126.491373 106.321576) + (xy 126.48122 106.322344) + (xy 126.462836 106.322231) + (xy 126.445838 106.322127) + (xy 126.445836 106.322127) + (xy 126.441385 106.3221) + (xy 126.387011 106.337641) + (xy 126.379623 106.339275) + (xy 126.367187 106.341244) + (xy 126.362804 106.343477) + (xy 126.36187 106.343781) + (xy 126.352155 106.347603) + (xy 126.327929 106.354526) + (xy 126.327927 106.354527) + (xy 126.323644 106.355751) + (xy 126.319875 106.358129) + (xy 126.311269 106.363559) + (xy 126.264119 106.393309) + (xy 126.262819 106.394129) + (xy 126.257842 106.396959) + (xy 126.251757 106.400059) + (xy 126.251756 106.40006) + (xy 126.247375 106.402292) + (xy 126.243897 106.40577) + (xy 126.242445 106.406825) + (xy 126.239058 106.40912) + (xy 126.22008 106.421095) + (xy 126.217137 106.424427) + (xy 126.217135 106.424429) + (xy 126.157156 106.492342) + (xy 126.156032 106.493538) + (xy 126.155775 106.493892) + (xy 126.155554 106.494113) + (xy 126.155405 106.494204) + (xy 126.154504 106.495163) + (xy 126.152292 106.497375) + (xy 126.151509 106.496592) + (xy 126.150362 106.497296) + (xy 126.151721 106.498496) + (xy 126.143943 106.507303) + (xy 126.139018 106.512879) + (xy 126.137127 106.516907) + (xy 126.088868 106.619695) + (xy 126.088867 106.619698) + (xy 126.086976 106.623726) + (xy 126.068136 106.744724) + (xy 126.068713 106.749137) + (xy 126.068713 106.749138) + (xy 126.082493 106.854511) + (xy 126.084014 106.866145) + (xy 126.085809 106.870224) + (xy 126.089092 106.877685) + (xy 126.091185 106.882443) + (xy 126.091244 106.882813) + (xy 126.092007 106.884311) + (xy 126.094031 106.88891) + (xy 126.094031 106.888911) + (xy 126.130251 106.971225) + (xy 126.133333 106.97823) + (xy 126.14549 106.992692) + (xy 126.148029 106.995713) + (xy 126.150157 106.998434) + (xy 126.152292 107.002625) + (xy 126.160081 107.010414) + (xy 126.16373 107.014392) + (xy 126.181454 107.035477) + (xy 126.212127 107.071968) + (xy 126.235399 107.087459) + (xy 126.241836 107.092733) + (xy 126.243899 107.094232) + (xy 126.247375 107.097708) + (xy 126.251753 107.099939) + (xy 126.251756 107.099941) + (xy 126.25873 107.103494) + (xy 126.264977 107.107148) + (xy 126.314064 107.139823) + (xy 126.318313 107.141151) + (xy 126.318314 107.141151) + (xy 126.350296 107.151143) + (xy 126.360027 107.155109) + (xy 126.362799 107.156521) + (xy 126.362803 107.156522) + (xy 126.367187 107.158756) + (xy 126.377852 107.160445) + (xy 126.386713 107.16252) + (xy 126.426696 107.175012) + (xy 126.426699 107.175012) + (xy 126.430948 107.17634) + (xy 126.480069 107.177241) + (xy 126.488683 107.177999) + (xy 126.49514 107.179022) + (xy 126.495144 107.179022) + (xy 126.5 107.179791) + (xy 126.506947 107.17869) + (xy 126.517853 107.177933) + (xy 126.553383 107.178584) + (xy 126.613532 107.162185) + (xy 126.62019 107.160755) + (xy 126.627887 107.159536) + (xy 126.632813 107.158756) + (xy 126.637196 107.156522) + (xy 126.641875 107.155002) + (xy 126.641878 107.15501) + (xy 126.646727 107.153136) + (xy 126.671527 107.146375) + (xy 126.67828 107.142229) + (xy 126.739709 107.104511) + (xy 126.744037 107.102085) + (xy 126.74824 107.099944) + (xy 126.748248 107.099938) + (xy 126.752625 107.097708) + (xy 126.755427 107.094906) + (xy 126.756264 107.094346) + (xy 126.760039 107.092028) + (xy 126.775881 107.082301) + (xy 126.858058 106.991513) + (xy 126.865454 106.976248) + (xy 126.901198 106.944458) + (xy 126.9217 106.941) + (xy 126.956768 106.941) + (xy 126.964107 106.94225) + (xy 126.96419 106.941523) + (xy 126.971181 106.94232) + (xy 126.977822 106.944652) + (xy 126.984815 106.943874) + (xy 126.984816 106.943874) + (xy 127.005939 106.941523) + (xy 127.007198 106.941383) + (xy 127.01411 106.941) + (xy 127.021533 106.941) + (xy 127.028465 106.939419) + (xy 127.035418 106.938243) + (xy 127.064429 106.935014) + (xy 127.070393 106.931281) + (xy 127.074341 106.929906) + (xy 127.0781 106.928097) + (xy 127.084959 106.926532) + (xy 127.107774 106.908351) + (xy 127.113559 106.904256) + (xy 127.116715 106.90228) + (xy 127.116717 106.902279) + (xy 127.119711 106.900404) + (xy 127.124924 106.895191) + (xy 127.130168 106.890506) + (xy 127.147604 106.876612) + (xy 127.147604 106.876611) + (xy 127.153109 106.872225) + (xy 127.156167 106.865886) + (xy 127.160558 106.860385) + (xy 127.161092 106.860811) + (xy 127.165468 106.854647) + (xy 127.354487 106.665628) + (xy 127.360561 106.661323) + (xy 127.360105 106.66075) + (xy 127.365613 106.656369) + (xy 127.371958 106.653322) + (xy 127.386356 106.635318) + (xy 127.390418 106.630239) + (xy 127.395035 106.62508) + (xy 127.400283 106.619832) + (xy 127.404055 106.613831) + (xy 127.408159 106.608056) + (xy 127.421988 106.590764) + (xy 127.42199 106.59076) + (xy 127.426383 106.585267) + (xy 127.42796 106.57841) + (xy 127.429778 106.574648) + (xy 127.431157 106.57071) + (xy 127.434902 106.564752) + (xy 127.435692 106.557761) + (xy 127.435694 106.557756) + (xy 127.43818 106.535763) + (xy 127.439373 106.528778) + (xy 127.440208 106.525146) + (xy 127.441 106.521703) + (xy 127.441 106.514323) + (xy 127.441396 106.507303) + (xy 127.442912 106.493892) + (xy 127.44469 106.478162) + (xy 127.442369 106.471516) + (xy 127.441585 106.464522) + (xy 127.442264 106.464446) + (xy 127.441 106.456996) + (xy 127.441 105.531083) + (xy 128.898001 105.531083) + (xy 128.898333 105.535614) + (xy 128.907478 105.597743) + (xy 128.910326 105.60691) + (xy 128.957556 105.703105) + (xy 128.963484 105.711387) + (xy 129.039111 105.786883) + (xy 129.047406 105.792799) + (xy 129.143687 105.839863) + (xy 129.152851 105.842695) + (xy 129.214391 105.851672) + (xy 129.218904 105.852) + (xy 129.424069 105.852) + (xy 129.432859 105.848359) + (xy 129.4365 105.839569) + (xy 129.4365 105.839568) + (xy 129.5635 105.839568) + (xy 129.567141 105.848358) + (xy 129.575931 105.851999) + (xy 129.781083 105.851999) + (xy 129.785614 105.851667) + (xy 129.847743 105.842522) + (xy 129.85691 105.839674) + (xy 129.903978 105.816565) + (xy 129.951717 105.81352) + (xy 129.964703 105.824949) + (xy 129.960577 105.800935) + (xy 129.977303 105.770572) + (xy 130.036883 105.710889) + (xy 130.042799 105.702594) + (xy 130.089863 105.606313) + (xy 130.092695 105.597149) + (xy 130.101672 105.535609) + (xy 130.102 105.531096) + (xy 130.102 105.531083) + (xy 130.648001 105.531083) + (xy 130.648333 105.535614) + (xy 130.657478 105.597743) + (xy 130.660326 105.60691) + (xy 130.707556 105.703105) + (xy 130.713484 105.711387) + (xy 130.789111 105.786883) + (xy 130.797406 105.792799) + (xy 130.893687 105.839863) + (xy 130.902851 105.842695) + (xy 130.964391 105.851672) + (xy 130.968904 105.852) + (xy 131.174069 105.852) + (xy 131.182859 105.848359) + (xy 131.1865 105.839569) + (xy 131.1865 105.839568) + (xy 131.3135 105.839568) + (xy 131.317141 105.848358) + (xy 131.325931 105.851999) + (xy 131.531083 105.851999) + (xy 131.535614 105.851667) + (xy 131.597743 105.842522) + (xy 131.60691 105.839674) + (xy 131.703105 105.792444) + (xy 131.711387 105.786516) + (xy 131.786883 105.710889) + (xy 131.792799 105.702594) + (xy 131.839863 105.606313) + (xy 131.842695 105.597149) + (xy 131.851672 105.535609) + (xy 131.852 105.531096) + (xy 131.852 105.350931) + (xy 131.848359 105.342141) + (xy 131.839569 105.3385) + (xy 131.325931 105.3385) + (xy 131.317141 105.342141) + (xy 131.3135 105.350931) + (xy 131.3135 105.839568) + (xy 131.1865 105.839568) + (xy 131.1865 105.350931) + (xy 131.182859 105.342141) + (xy 131.174069 105.3385) + (xy 130.660432 105.3385) + (xy 130.651642 105.342141) + (xy 130.648001 105.350931) + (xy 130.648001 105.531083) + (xy 130.102 105.531083) + (xy 130.102 105.350931) + (xy 130.098359 105.342141) + (xy 130.089569 105.3385) + (xy 129.575931 105.3385) + (xy 129.567141 105.342141) + (xy 129.5635 105.350931) + (xy 129.5635 105.839568) + (xy 129.4365 105.839568) + (xy 129.4365 105.350931) + (xy 129.432859 105.342141) + (xy 129.424069 105.3385) + (xy 128.910432 105.3385) + (xy 128.901642 105.342141) + (xy 128.898001 105.350931) + (xy 128.898001 105.531083) + (xy 127.441 105.531083) + (xy 127.441 105.199069) + (xy 128.898 105.199069) + (xy 128.901641 105.207859) + (xy 128.910431 105.2115) + (xy 129.424069 105.2115) + (xy 129.432859 105.207859) + (xy 129.4365 105.199069) + (xy 129.5635 105.199069) + (xy 129.567141 105.207859) + (xy 129.575931 105.2115) + (xy 130.089568 105.2115) + (xy 130.098358 105.207859) + (xy 130.101999 105.199069) + (xy 130.648 105.199069) + (xy 130.651641 105.207859) + (xy 130.660431 105.2115) + (xy 131.174069 105.2115) + (xy 131.182859 105.207859) + (xy 131.1865 105.199069) + (xy 131.3135 105.199069) + (xy 131.317141 105.207859) + (xy 131.325931 105.2115) + (xy 131.839568 105.2115) + (xy 131.848358 105.207859) + (xy 131.851999 105.199069) + (xy 131.851999 105.018917) + (xy 131.851667 105.014386) + (xy 131.842522 104.952257) + (xy 131.839674 104.94309) + (xy 131.792444 104.846895) + (xy 131.786516 104.838613) + (xy 131.710889 104.763117) + (xy 131.702594 104.757201) + (xy 131.606313 104.710137) + (xy 131.597149 104.707305) + (xy 131.535609 104.698328) + (xy 131.531096 104.698) + (xy 131.325931 104.698) + (xy 131.317141 104.701641) + (xy 131.3135 104.710431) + (xy 131.3135 105.199069) + (xy 131.1865 105.199069) + (xy 131.1865 104.710432) + (xy 131.182859 104.701642) + (xy 131.174069 104.698001) + (xy 130.968917 104.698001) + (xy 130.964386 104.698333) + (xy 130.902257 104.707478) + (xy 130.89309 104.710326) + (xy 130.796895 104.757556) + (xy 130.788613 104.763484) + (xy 130.713117 104.839111) + (xy 130.707201 104.847406) + (xy 130.660137 104.943687) + (xy 130.657305 104.952851) + (xy 130.648328 105.014391) + (xy 130.648 105.018904) + (xy 130.648 105.199069) + (xy 130.101999 105.199069) + (xy 130.101999 105.018917) + (xy 130.101667 105.014386) + (xy 130.092522 104.952257) + (xy 130.089674 104.94309) + (xy 130.042444 104.846895) + (xy 130.036516 104.838613) + (xy 129.960889 104.763117) + (xy 129.952594 104.757201) + (xy 129.856313 104.710137) + (xy 129.847149 104.707305) + (xy 129.785609 104.698328) + (xy 129.781096 104.698) + (xy 129.575931 104.698) + (xy 129.567141 104.701641) + (xy 129.5635 104.710431) + (xy 129.5635 105.199069) + (xy 129.4365 105.199069) + (xy 129.4365 104.710432) + (xy 129.432859 104.701642) + (xy 129.424069 104.698001) + (xy 129.218917 104.698001) + (xy 129.214386 104.698333) + (xy 129.152257 104.707478) + (xy 129.14309 104.710326) + (xy 129.046895 104.757556) + (xy 129.038613 104.763484) + (xy 128.963117 104.839111) + (xy 128.957201 104.847406) + (xy 128.910137 104.943687) + (xy 128.907305 104.952851) + (xy 128.898328 105.014391) + (xy 128.898 105.018904) + (xy 128.898 105.199069) + (xy 127.441 105.199069) + (xy 127.441 104.543231) + (xy 127.44225 104.535892) + (xy 127.441523 104.535809) + (xy 127.44232 104.528818) + (xy 127.444652 104.522177) + (xy 127.443618 104.512879) + (xy 127.441383 104.492803) + (xy 127.441 104.48589) + (xy 127.441 104.478467) + (xy 127.439423 104.471553) + (xy 127.438241 104.464567) + (xy 127.435792 104.442563) + (xy 127.435014 104.435571) + (xy 127.431281 104.429608) + (xy 127.429907 104.425662) + (xy 127.428097 104.421902) + (xy 127.426532 104.415041) + (xy 127.422137 104.409525) + (xy 127.411797 104.39655) + (xy 127.408344 104.392217) + (xy 127.404254 104.386441) + (xy 127.400403 104.380289) + (xy 127.395195 104.375081) + (xy 127.39051 104.369837) + (xy 127.376612 104.352396) + (xy 127.376611 104.352396) + (xy 127.372225 104.346891) + (xy 127.365886 104.343833) + (xy 127.360385 104.339442) + (xy 127.360811 104.338909) + (xy 127.354645 104.334531) + (xy 127.165628 104.145514) + (xy 127.161323 104.13944) + (xy 127.160749 104.139896) + (xy 127.15637 104.134391) + (xy 127.153322 104.128042) + (xy 127.130239 104.109582) + (xy 127.12508 104.104965) + (xy 127.119831 104.099716) + (xy 127.113828 104.095943) + (xy 127.108052 104.091838) + (xy 127.085267 104.073617) + (xy 127.078407 104.07204) + (xy 127.074647 104.070222) + (xy 127.070711 104.068844) + (xy 127.064752 104.065098) + (xy 127.057758 104.064307) + (xy 127.057757 104.064307) + (xy 127.035764 104.061821) + (xy 127.028787 104.060629) + (xy 127.021703 104.059) + (xy 127.014329 104.059) + (xy 127.007308 104.058604) + (xy 127.005627 104.058414) + (xy 126.978162 104.055309) + (xy 126.971517 104.05763) + (xy 126.964523 104.058414) + (xy 126.964447 104.057736) + (xy 126.956995 104.059) + (xy 126.923049 104.059) + (xy 126.878855 104.040694) + (xy 126.866156 104.022373) + (xy 126.865695 104.02136) + (xy 126.865694 104.021359) + (xy 126.863852 104.017307) + (xy 126.849199 104.000302) + (xy 126.847708 103.997375) + (xy 126.8419 103.991567) + (xy 126.838746 103.98817) + (xy 126.786826 103.927914) + (xy 126.783918 103.924539) + (xy 126.763741 103.911461) + (xy 126.760155 103.908559) + (xy 126.760081 103.908661) + (xy 126.756106 103.905773) + (xy 126.752625 103.902292) + (xy 126.741343 103.896543) + (xy 126.735736 103.893309) + (xy 126.723408 103.885318) + (xy 126.713322 103.878781) + (xy 126.684893 103.860354) + (xy 126.68489 103.860353) + (xy 126.68116 103.857935) + (xy 126.676901 103.856661) + (xy 126.676898 103.85666) + (xy 126.658345 103.851112) + (xy 126.648839 103.848269) + (xy 126.638377 103.844079) + (xy 126.632813 103.841244) + (xy 126.621067 103.839384) + (xy 126.612951 103.837536) + (xy 126.563838 103.822848) + (xy 126.519496 103.822577) + (xy 126.510103 103.821809) + (xy 126.504857 103.820978) + (xy 126.504856 103.820978) + (xy 126.5 103.820209) + (xy 126.491373 103.821576) + (xy 126.48122 103.822344) + (xy 126.462836 103.822231) + (xy 126.445838 103.822127) + (xy 126.445836 103.822127) + (xy 126.441385 103.8221) + (xy 126.387011 103.837641) + (xy 126.379623 103.839275) + (xy 126.367187 103.841244) + (xy 126.362804 103.843477) + (xy 126.36187 103.843781) + (xy 126.352155 103.847603) + (xy 126.327929 103.854526) + (xy 126.327927 103.854527) + (xy 126.323644 103.855751) + (xy 126.264119 103.893309) + (xy 126.262819 103.894129) + (xy 126.257842 103.896959) + (xy 126.251757 103.900059) + (xy 126.251756 103.90006) + (xy 126.247375 103.902292) + (xy 126.243897 103.90577) + (xy 126.242445 103.906825) + (xy 126.239058 103.90912) + (xy 126.22008 103.921095) + (xy 126.217137 103.924427) + (xy 126.217135 103.924429) + (xy 126.157156 103.992342) + (xy 126.156032 103.993538) + (xy 126.155775 103.993892) + (xy 126.155554 103.994113) + (xy 126.155405 103.994204) + (xy 126.154504 103.995163) + (xy 126.152292 103.997375) + (xy 126.151509 103.996592) + (xy 126.150362 103.997296) + (xy 126.151721 103.998496) + (xy 126.139018 104.012879) + (xy 126.137127 104.016907) + (xy 126.088868 104.119695) + (xy 126.088867 104.119698) + (xy 126.086976 104.123726) + (xy 126.068136 104.244724) + (xy 126.068713 104.249137) + (xy 126.068713 104.249138) + (xy 126.079458 104.331303) + (xy 126.067038 104.377498) + (xy 126.02559 104.401379) + (xy 125.983492 104.391854) + (xy 125.961787 104.377786) + (xy 125.93116 104.357935) + (xy 125.926901 104.356661) + (xy 125.926898 104.35666) + (xy 125.899139 104.348359) + (xy 125.898839 104.348269) + (xy 125.888377 104.344079) + (xy 125.882813 104.341244) + (xy 125.871067 104.339384) + (xy 125.862951 104.337536) + (xy 125.813838 104.322848) + (xy 125.769496 104.322577) + (xy 125.760103 104.321809) + (xy 125.754857 104.320978) + (xy 125.754856 104.320978) + (xy 125.75 104.320209) + (xy 125.741373 104.321576) + (xy 125.73122 104.322344) + (xy 125.712836 104.322231) + (xy 125.695838 104.322127) + (xy 125.695836 104.322127) + (xy 125.691385 104.3221) + (xy 125.637011 104.337641) + (xy 125.629623 104.339275) + (xy 125.617187 104.341244) + (xy 125.612804 104.343477) + (xy 125.61187 104.343781) + (xy 125.602156 104.347603) + (xy 125.599821 104.34827) + (xy 125.577929 104.354526) + (xy 125.577927 104.354527) + (xy 125.573644 104.355751) + (xy 125.514119 104.393309) + (xy 125.512819 104.394129) + (xy 125.507842 104.396959) + (xy 125.501757 104.400059) + (xy 125.501756 104.40006) + (xy 125.497375 104.402292) + (xy 125.493897 104.40577) + (xy 125.492445 104.406825) + (xy 125.489058 104.40912) + (xy 125.47008 104.421095) + (xy 125.467137 104.424427) + (xy 125.467135 104.424429) + (xy 125.407156 104.492342) + (xy 125.406032 104.493538) + (xy 125.405775 104.493892) + (xy 125.405554 104.494113) + (xy 125.405405 104.494204) + (xy 125.404504 104.495163) + (xy 125.402292 104.497375) + (xy 125.401509 104.496592) + (xy 125.400362 104.497296) + (xy 125.401721 104.498496) + (xy 125.389018 104.512879) + (xy 125.387127 104.516906) + (xy 125.387126 104.516908) + (xy 125.384237 104.523062) + (xy 125.348884 104.555287) + (xy 125.327662 104.559) + (xy 119.923049 104.559) + (xy 119.878855 104.540694) + (xy 119.866156 104.522373) + (xy 119.865695 104.52136) + (xy 119.865694 104.521359) + (xy 119.863852 104.517307) + (xy 119.849199 104.500302) + (xy 119.847708 104.497375) + (xy 119.8419 104.491567) + (xy 119.838746 104.48817) + (xy 119.786826 104.427914) + (xy 119.783918 104.424539) + (xy 119.763741 104.411461) + (xy 119.760155 104.408559) + (xy 119.760081 104.408661) + (xy 119.756106 104.405773) + (xy 119.752625 104.402292) + (xy 119.741343 104.396543) + (xy 119.735736 104.393309) + (xy 119.734058 104.392221) + (xy 119.693827 104.366145) + (xy 119.684893 104.360354) + (xy 119.68489 104.360353) + (xy 119.68116 104.357935) + (xy 119.676901 104.356661) + (xy 119.676898 104.35666) + (xy 119.649139 104.348359) + (xy 119.648839 104.348269) + (xy 119.638377 104.344079) + (xy 119.632813 104.341244) + (xy 119.621067 104.339384) + (xy 119.612951 104.337536) + (xy 119.563838 104.322848) + (xy 119.519496 104.322577) + (xy 119.510103 104.321809) + (xy 119.504857 104.320978) + (xy 119.504856 104.320978) + (xy 119.5 104.320209) + (xy 119.491373 104.321576) + (xy 119.48122 104.322344) + (xy 119.462836 104.322231) + (xy 119.445838 104.322127) + (xy 119.445836 104.322127) + (xy 119.441385 104.3221) + (xy 119.387011 104.337641) + (xy 119.379623 104.339275) + (xy 119.367187 104.341244) + (xy 119.362804 104.343477) + (xy 119.36187 104.343781) + (xy 119.352156 104.347603) + (xy 119.349821 104.34827) + (xy 119.327929 104.354526) + (xy 119.327927 104.354527) + (xy 119.323644 104.355751) + (xy 119.264119 104.393309) + (xy 119.262819 104.394129) + (xy 119.257842 104.396959) + (xy 119.251757 104.400059) + (xy 119.251756 104.40006) + (xy 119.247375 104.402292) + (xy 119.243897 104.40577) + (xy 119.242445 104.406825) + (xy 119.239058 104.40912) + (xy 119.22008 104.421095) + (xy 119.217137 104.424427) + (xy 119.217135 104.424429) + (xy 119.157156 104.492342) + (xy 119.156032 104.493538) + (xy 119.155775 104.493892) + (xy 119.155554 104.494113) + (xy 119.155405 104.494204) + (xy 119.154504 104.495163) + (xy 119.152292 104.497375) + (xy 119.151509 104.496592) + (xy 119.150362 104.497296) + (xy 119.151721 104.498496) + (xy 119.139018 104.512879) + (xy 119.136939 104.517307) + (xy 119.088868 104.619695) + (xy 119.088867 104.619698) + (xy 119.086976 104.623726) + (xy 119.068136 104.744724) + (xy 119.068713 104.749137) + (xy 119.068713 104.749138) + (xy 119.082533 104.854818) + (xy 119.084014 104.866145) + (xy 119.091185 104.882443) + (xy 119.091244 104.882813) + (xy 119.092007 104.884311) + (xy 119.094031 104.88891) + (xy 119.094031 104.888911) + (xy 119.126412 104.9625) + (xy 119.133333 104.97823) + (xy 119.144544 104.991567) + (xy 119.148029 104.995713) + (xy 119.150157 104.998434) + (xy 119.152292 105.002625) + (xy 119.160081 105.010414) + (xy 119.16373 105.014392) + (xy 119.203688 105.061928) + (xy 119.212127 105.071968) + (xy 119.235399 105.087459) + (xy 119.241836 105.092733) + (xy 119.243899 105.094232) + (xy 119.247375 105.097708) + (xy 119.251753 105.099939) + (xy 119.251756 105.099941) + (xy 119.25873 105.103494) + (xy 119.264977 105.107148) + (xy 119.314064 105.139823) + (xy 119.318313 105.141151) + (xy 119.318314 105.141151) + (xy 119.350296 105.151143) + (xy 119.360027 105.155109) + (xy 119.362799 105.156521) + (xy 119.362803 105.156522) + (xy 119.367187 105.158756) + (xy 119.377852 105.160445) + (xy 119.386713 105.16252) + (xy 119.426696 105.175012) + (xy 119.426699 105.175012) + (xy 119.430948 105.17634) + (xy 119.480069 105.177241) + (xy 119.488683 105.177999) + (xy 119.49514 105.179022) + (xy 119.495144 105.179022) + (xy 119.5 105.179791) + (xy 119.506947 105.17869) + (xy 119.517853 105.177933) + (xy 119.553383 105.178584) + (xy 119.613532 105.162185) + (xy 119.62019 105.160755) + (xy 119.622147 105.160445) + (xy 119.632813 105.158756) + (xy 119.637196 105.156522) + (xy 119.641875 105.155002) + (xy 119.641878 105.15501) + (xy 119.646727 105.153136) + (xy 119.654093 105.151128) + (xy 119.667207 105.147553) + (xy 119.71466 105.153592) + (xy 119.743944 105.191416) + (xy 119.737905 105.238869) + (xy 119.727838 105.252047) + (xy 119.661953 105.317932) + (xy 119.617759 105.336238) + (xy 119.599851 105.333618) + (xy 119.583715 105.328793) + (xy 119.563838 105.322848) + (xy 119.519496 105.322577) + (xy 119.510103 105.321809) + (xy 119.504857 105.320978) + (xy 119.504856 105.320978) + (xy 119.5 105.320209) + (xy 119.491373 105.321576) + (xy 119.48122 105.322344) + (xy 119.462836 105.322231) + (xy 119.445838 105.322127) + (xy 119.445836 105.322127) + (xy 119.441385 105.3221) + (xy 119.387011 105.337641) + (xy 119.379623 105.339275) + (xy 119.367187 105.341244) + (xy 119.362804 105.343477) + (xy 119.36187 105.343781) + (xy 119.352155 105.347603) + (xy 119.327929 105.354526) + (xy 119.327927 105.354527) + (xy 119.323644 105.355751) + (xy 119.319875 105.358129) + (xy 119.262819 105.394129) + (xy 119.257842 105.396959) + (xy 119.251757 105.400059) + (xy 119.251756 105.40006) + (xy 119.247375 105.402292) + (xy 119.243897 105.40577) + (xy 119.242445 105.406825) + (xy 119.239058 105.40912) + (xy 119.22008 105.421095) + (xy 119.217137 105.424427) + (xy 119.217135 105.424429) + (xy 119.157156 105.492342) + (xy 119.156032 105.493538) + (xy 119.155774 105.493893) + (xy 119.155554 105.494113) + (xy 119.155405 105.494204) + (xy 119.154504 105.495163) + (xy 119.152292 105.497375) + (xy 119.151509 105.496592) + (xy 119.150362 105.497296) + (xy 119.151721 105.498496) + (xy 119.145002 105.506104) + (xy 119.139018 105.512879) + (xy 119.137127 105.516907) + (xy 119.088868 105.619695) + (xy 119.088867 105.619698) + (xy 119.086976 105.623726) + (xy 119.068136 105.744724) + (xy 118.691 105.744724) + (xy 118.691 103.605003) + (xy 118.709306 103.560809) + (xy 118.803526 103.466589) + (xy 128.8975 103.466589) + (xy 128.897501 103.98341) + (xy 128.907699 104.052695) + (xy 128.909839 104.057053) + (xy 128.909839 104.057054) + (xy 128.916304 104.070222) + (xy 128.959419 104.158036) + (xy 128.963071 104.161682) + (xy 128.963072 104.161683) + (xy 129.038817 104.237296) + (xy 129.038819 104.237298) + (xy 129.042472 104.240944) + (xy 129.115762 104.276769) + (xy 129.143158 104.290161) + (xy 129.147902 104.29248) + (xy 129.152705 104.293181) + (xy 129.152706 104.293181) + (xy 129.174659 104.296383) + (xy 129.216589 104.3025) + (xy 129.499251 104.3025) + (xy 129.78341 104.302499) + (xy 129.852695 104.292301) + (xy 129.85826 104.289569) + (xy 129.876223 104.280749) + (xy 129.958036 104.240581) + (xy 129.987383 104.211183) + (xy 130.037296 104.161183) + (xy 130.037298 104.161181) + (xy 130.040944 104.157528) + (xy 130.083621 104.070222) + (xy 130.090349 104.056458) + (xy 130.090349 104.056457) + (xy 130.09248 104.052098) + (xy 130.093256 104.046783) + (xy 130.098688 104.009543) + (xy 130.1025 103.983411) + (xy 130.1025 103.9785) + (xy 130.120806 103.934306) + (xy 130.165 103.916) + (xy 130.260677 103.916) + (xy 130.267698 103.916396) + (xy 130.296838 103.91969) + (xy 130.303484 103.917369) + (xy 130.310478 103.916585) + (xy 130.310554 103.917264) + (xy 130.318004 103.916) + (xy 130.585001 103.916) + (xy 130.629195 103.934306) + (xy 130.647501 103.9785) + (xy 130.647501 103.98341) + (xy 130.657699 104.052695) + (xy 130.659839 104.057053) + (xy 130.659839 104.057054) + (xy 130.666304 104.070222) + (xy 130.709419 104.158036) + (xy 130.713071 104.161682) + (xy 130.713072 104.161683) + (xy 130.788817 104.237296) + (xy 130.788819 104.237298) + (xy 130.792472 104.240944) + (xy 130.865762 104.276769) + (xy 130.893158 104.290161) + (xy 130.897902 104.29248) + (xy 130.902705 104.293181) + (xy 130.902706 104.293181) + (xy 130.924659 104.296383) + (xy 130.966589 104.3025) + (xy 131.249251 104.3025) + (xy 131.53341 104.302499) + (xy 131.602695 104.292301) + (xy 131.60826 104.289569) + (xy 131.626223 104.280749) + (xy 131.708036 104.240581) + (xy 131.737383 104.211183) + (xy 131.787296 104.161183) + (xy 131.787298 104.161181) + (xy 131.790944 104.157528) + (xy 131.833621 104.070222) + (xy 131.840349 104.056458) + (xy 131.840349 104.056457) + (xy 131.84248 104.052098) + (xy 131.843256 104.046783) + (xy 131.848688 104.009543) + (xy 131.8525 103.983411) + (xy 131.852499 103.46659) + (xy 131.842301 103.397305) + (xy 131.790581 103.291964) + (xy 131.786928 103.288317) + (xy 131.711183 103.212704) + (xy 131.711181 103.212702) + (xy 131.707528 103.209056) + (xy 131.620843 103.166683) + (xy 131.606458 103.159651) + (xy 131.606457 103.159651) + (xy 131.602098 103.15752) + (xy 131.597295 103.156819) + (xy 131.597294 103.156819) + (xy 131.575341 103.153617) + (xy 131.533411 103.1475) + (xy 131.250749 103.1475) + (xy 130.96659 103.147501) + (xy 130.897305 103.157699) + (xy 130.892947 103.159839) + (xy 130.892946 103.159839) + (xy 130.879007 103.166683) + (xy 130.791964 103.209419) + (xy 130.788318 103.213071) + (xy 130.788317 103.213072) + (xy 130.712704 103.288817) + (xy 130.712702 103.288819) + (xy 130.709056 103.292472) + (xy 130.67873 103.354511) + (xy 130.659943 103.392946) + (xy 130.65752 103.397902) + (xy 130.656819 103.402705) + (xy 130.656819 103.402706) + (xy 130.655065 103.414733) + (xy 130.6475 103.466589) + (xy 130.6475 103.4715) + (xy 130.629194 103.515694) + (xy 130.585 103.534) + (xy 130.5035 103.534) + (xy 130.459306 103.515694) + (xy 130.441 103.4715) + (xy 130.441 102.669384) + (xy 130.459306 102.62519) + (xy 130.470797 102.616123) + (xy 130.489712 102.604509) + (xy 130.494034 102.602085) + (xy 130.502625 102.597708) + (xy 130.505427 102.594906) + (xy 130.506264 102.594346) + (xy 130.525881 102.582301) + (xy 130.608058 102.491513) + (xy 130.661451 102.381311) + (xy 130.663317 102.370224) + (xy 130.681367 102.262928) + (xy 130.681767 102.260552) + (xy 130.681896 102.25) + (xy 130.664536 102.128781) + (xy 130.613852 102.017307) + (xy 130.599199 102.000302) + (xy 130.597708 101.997375) + (xy 130.5919 101.991567) + (xy 130.588746 101.98817) + (xy 130.536826 101.927914) + (xy 130.533918 101.924539) + (xy 130.513741 101.911461) + (xy 130.510155 101.908559) + (xy 130.510081 101.908661) + (xy 130.506106 101.905773) + (xy 130.502625 101.902292) + (xy 130.491343 101.896543) + (xy 130.485736 101.893309) + (xy 130.485735 101.893308) + (xy 130.457055 101.874719) + (xy 130.434893 101.860354) + (xy 130.43489 101.860353) + (xy 130.43116 101.857935) + (xy 130.426901 101.856661) + (xy 130.426898 101.85666) + (xy 130.408345 101.851112) + (xy 130.398839 101.848269) + (xy 130.388377 101.844079) + (xy 130.382813 101.841244) + (xy 130.371067 101.839384) + (xy 130.362951 101.837536) + (xy 130.338896 101.830342) + (xy 130.313838 101.822848) + (xy 130.269496 101.822577) + (xy 130.260103 101.821809) + (xy 130.254857 101.820978) + (xy 130.254856 101.820978) + (xy 130.25 101.820209) + (xy 130.241373 101.821576) + (xy 130.23122 101.822344) + (xy 130.212836 101.822231) + (xy 130.195838 101.822127) + (xy 130.195836 101.822127) + (xy 130.191385 101.8221) + (xy 130.137011 101.837641) + (xy 130.129623 101.839275) + (xy 130.117187 101.841244) + (xy 130.112804 101.843477) + (xy 130.11187 101.843781) + (xy 130.102155 101.847603) + (xy 130.077929 101.854526) + (xy 130.077927 101.854527) + (xy 130.073644 101.855751) + (xy 130.069875 101.858129) + (xy 130.053622 101.868384) + (xy 130.014119 101.893309) + (xy 130.012819 101.894129) + (xy 130.007842 101.896959) + (xy 130.001757 101.900059) + (xy 130.001756 101.90006) + (xy 129.997375 101.902292) + (xy 129.993897 101.90577) + (xy 129.992445 101.906825) + (xy 129.989058 101.90912) + (xy 129.97008 101.921095) + (xy 129.967137 101.924427) + (xy 129.967135 101.924429) + (xy 129.907156 101.992342) + (xy 129.906032 101.993538) + (xy 129.905775 101.993892) + (xy 129.905554 101.994113) + (xy 129.905405 101.994204) + (xy 129.904504 101.995163) + (xy 129.902292 101.997375) + (xy 129.901509 101.996592) + (xy 129.900362 101.997296) + (xy 129.901721 101.998496) + (xy 129.894398 102.006788) + (xy 129.889018 102.012879) + (xy 129.887127 102.016907) + (xy 129.838868 102.119695) + (xy 129.838867 102.119698) + (xy 129.836976 102.123726) + (xy 129.818136 102.244724) + (xy 129.818713 102.249137) + (xy 129.818713 102.249138) + (xy 129.821293 102.268869) + (xy 129.834014 102.366145) + (xy 129.841185 102.382443) + (xy 129.841244 102.382813) + (xy 129.842007 102.384311) + (xy 129.883333 102.47823) + (xy 129.897272 102.494813) + (xy 129.898029 102.495713) + (xy 129.900157 102.498434) + (xy 129.902292 102.502625) + (xy 129.910081 102.510414) + (xy 129.913729 102.514391) + (xy 129.962127 102.571968) + (xy 129.985399 102.587459) + (xy 129.991836 102.592733) + (xy 129.993899 102.594232) + (xy 129.997375 102.597708) + (xy 130.001753 102.599939) + (xy 130.001756 102.599941) + (xy 130.00873 102.603494) + (xy 130.014983 102.607152) + (xy 130.02846 102.616123) + (xy 130.031134 102.617903) + (xy 130.057778 102.657631) + (xy 130.059 102.669929) + (xy 130.059 103.161125) + (xy 130.040694 103.205319) + (xy 129.9965 103.223625) + (xy 129.960617 103.21214) + (xy 129.957528 103.209056) + (xy 129.952889 103.206788) + (xy 129.952887 103.206787) + (xy 129.856458 103.159651) + (xy 129.856457 103.159651) + (xy 129.852098 103.15752) + (xy 129.847295 103.156819) + (xy 129.847294 103.156819) + (xy 129.825341 103.153617) + (xy 129.783411 103.1475) + (xy 129.500749 103.1475) + (xy 129.21659 103.147501) + (xy 129.147305 103.157699) + (xy 129.142947 103.159839) + (xy 129.142946 103.159839) + (xy 129.129007 103.166683) + (xy 129.041964 103.209419) + (xy 129.038318 103.213071) + (xy 129.038317 103.213072) + (xy 128.962704 103.288817) + (xy 128.962702 103.288819) + (xy 128.959056 103.292472) + (xy 128.92873 103.354511) + (xy 128.909943 103.392946) + (xy 128.90752 103.397902) + (xy 128.906819 103.402705) + (xy 128.906819 103.402706) + (xy 128.905065 103.414733) + (xy 128.8975 103.466589) + (xy 118.803526 103.466589) + (xy 119.043382 103.226733) + (xy 119.087576 103.208427) + (xy 119.13177 103.226733) + (xy 119.135419 103.230712) + (xy 119.148029 103.245714) + (xy 119.150157 103.248435) + (xy 119.152292 103.252625) + (xy 119.160081 103.260414) + (xy 119.163729 103.264391) + (xy 119.212127 103.321968) + (xy 119.235399 103.337459) + (xy 119.241836 103.342733) + (xy 119.243899 103.344232) + (xy 119.247375 103.347708) + (xy 119.251753 103.349939) + (xy 119.251756 103.349941) + (xy 119.25873 103.353494) + (xy 119.264977 103.357148) + (xy 119.314064 103.389823) + (xy 119.318313 103.391151) + (xy 119.318314 103.391151) + (xy 119.350296 103.401143) + (xy 119.360027 103.405109) + (xy 119.362799 103.406521) + (xy 119.362803 103.406522) + (xy 119.367187 103.408756) + (xy 119.377852 103.410445) + (xy 119.386713 103.41252) + (xy 119.426696 103.425012) + (xy 119.426699 103.425012) + (xy 119.430948 103.42634) + (xy 119.480069 103.427241) + (xy 119.488683 103.427999) + (xy 119.49514 103.429022) + (xy 119.495144 103.429022) + (xy 119.5 103.429791) + (xy 119.506947 103.42869) + (xy 119.517853 103.427933) + (xy 119.553383 103.428584) + (xy 119.613532 103.412185) + (xy 119.62019 103.410755) + (xy 119.622147 103.410445) + (xy 119.632813 103.408756) + (xy 119.637196 103.406522) + (xy 119.641875 103.405002) + (xy 119.641878 103.40501) + (xy 119.646727 103.403136) + (xy 119.671527 103.396375) + (xy 119.693078 103.383143) + (xy 119.739709 103.354511) + (xy 119.744037 103.352085) + (xy 119.74824 103.349944) + (xy 119.748248 103.349938) + (xy 119.752625 103.347708) + (xy 119.755427 103.344906) + (xy 119.756264 103.344346) + (xy 119.760236 103.341907) + (xy 119.775881 103.332301) + (xy 119.858058 103.241513) + (xy 119.911451 103.131311) + (xy 119.913219 103.120806) + (xy 119.924389 103.054407) + (xy 119.931767 103.010552) + (xy 119.931896 103) + (xy 119.914536 102.878781) + (xy 119.863852 102.767307) + (xy 119.849199 102.750302) + (xy 119.847708 102.747375) + (xy 119.8419 102.741567) + (xy 119.838746 102.73817) + (xy 119.786826 102.677914) + (xy 119.783918 102.674539) + (xy 119.763741 102.661461) + (xy 119.760155 102.658559) + (xy 119.760081 102.658661) + (xy 119.756106 102.655773) + (xy 119.752625 102.652292) + (xy 119.741343 102.646543) + (xy 119.735735 102.643308) + (xy 119.719505 102.632788) + (xy 119.692377 102.593389) + (xy 119.691 102.580342) + (xy 119.691 100.244724) + (xy 127.818136 100.244724) + (xy 127.818713 100.249137) + (xy 127.818713 100.249138) + (xy 127.824454 100.293042) + (xy 127.834014 100.366145) + (xy 127.841185 100.382443) + (xy 127.841244 100.382813) + (xy 127.842007 100.384311) + (xy 127.844031 100.38891) + (xy 127.844031 100.388911) + (xy 127.876845 100.463484) + (xy 127.883333 100.47823) + (xy 127.894566 100.491593) + (xy 127.898029 100.495713) + (xy 127.900157 100.498434) + (xy 127.902292 100.502625) + (xy 127.910081 100.510414) + (xy 127.913729 100.514391) + (xy 127.962127 100.571968) + (xy 127.985399 100.587459) + (xy 127.991836 100.592733) + (xy 127.993899 100.594232) + (xy 127.997375 100.597708) + (xy 128.001753 100.599939) + (xy 128.001756 100.599941) + (xy 128.00873 100.603494) + (xy 128.014977 100.607148) + (xy 128.064064 100.639823) + (xy 128.068313 100.641151) + (xy 128.068314 100.641151) + (xy 128.100296 100.651143) + (xy 128.110027 100.655109) + (xy 128.112799 100.656521) + (xy 128.112803 100.656522) + (xy 128.117187 100.658756) + (xy 128.127852 100.660445) + (xy 128.136713 100.66252) + (xy 128.176696 100.675012) + (xy 128.176699 100.675012) + (xy 128.180948 100.67634) + (xy 128.230069 100.677241) + (xy 128.238683 100.677999) + (xy 128.24514 100.679022) + (xy 128.245144 100.679022) + (xy 128.25 100.679791) + (xy 128.256947 100.67869) + (xy 128.267853 100.677933) + (xy 128.303383 100.678584) + (xy 128.363532 100.662185) + (xy 128.37019 100.660755) + (xy 128.372147 100.660445) + (xy 128.382813 100.658756) + (xy 128.387196 100.656522) + (xy 128.391875 100.655002) + (xy 128.391878 100.65501) + (xy 128.396727 100.653136) + (xy 128.421527 100.646375) + (xy 128.489709 100.604511) + (xy 128.494037 100.602085) + (xy 128.49824 100.599944) + (xy 128.498248 100.599938) + (xy 128.502625 100.597708) + (xy 128.505427 100.594906) + (xy 128.506264 100.594346) + (xy 128.509316 100.592472) + (xy 128.525881 100.582301) + (xy 128.605947 100.493845) + (xy 128.649176 100.473364) + (xy 128.696478 100.491593) + (xy 128.884739 100.679854) + (xy 128.903045 100.724048) + (xy 128.902391 100.733063) + (xy 128.8975 100.766589) + (xy 128.897501 101.28341) + (xy 128.907699 101.352695) + (xy 128.959419 101.458036) + (xy 128.963071 101.461682) + (xy 128.963072 101.461683) + (xy 129.038817 101.537296) + (xy 129.038819 101.537298) + (xy 129.042472 101.540944) + (xy 129.115762 101.576769) + (xy 129.143158 101.590161) + (xy 129.147902 101.59248) + (xy 129.152705 101.593181) + (xy 129.152706 101.593181) + (xy 129.174659 101.596383) + (xy 129.216589 101.6025) + (xy 129.499251 101.6025) + (xy 129.78341 101.602499) + (xy 129.852695 101.592301) + (xy 129.958036 101.540581) + (xy 130.006089 101.492444) + (xy 130.037296 101.461183) + (xy 130.037298 101.461181) + (xy 130.040944 101.457528) + (xy 130.076769 101.384238) + (xy 130.090349 101.356458) + (xy 130.090349 101.356457) + (xy 130.09248 101.352098) + (xy 130.1025 101.283411) + (xy 130.102499 100.76659) + (xy 130.102499 100.766589) + (xy 130.6475 100.766589) + (xy 130.647501 101.28341) + (xy 130.657699 101.352695) + (xy 130.709419 101.458036) + (xy 130.713071 101.461682) + (xy 130.713072 101.461683) + (xy 130.788817 101.537296) + (xy 130.788819 101.537298) + (xy 130.792472 101.540944) + (xy 130.865762 101.576769) + (xy 130.893158 101.590161) + (xy 130.897902 101.59248) + (xy 130.902705 101.593181) + (xy 130.902706 101.593181) + (xy 130.924659 101.596383) + (xy 130.966589 101.6025) + (xy 130.9965 101.6025) + (xy 131.040694 101.620806) + (xy 131.059 101.665) + (xy 131.059 101.830525) + (xy 131.040694 101.874719) + (xy 131.029855 101.88338) + (xy 131.01281 101.894135) + (xy 131.007842 101.896959) + (xy 131.001757 101.900059) + (xy 131.001756 101.90006) + (xy 130.997375 101.902292) + (xy 130.993897 101.90577) + (xy 130.992445 101.906825) + (xy 130.989058 101.90912) + (xy 130.97008 101.921095) + (xy 130.967137 101.924427) + (xy 130.967135 101.924429) + (xy 130.907156 101.992342) + (xy 130.906032 101.993538) + (xy 130.905775 101.993892) + (xy 130.905554 101.994113) + (xy 130.905405 101.994204) + (xy 130.904504 101.995163) + (xy 130.902292 101.997375) + (xy 130.901509 101.996592) + (xy 130.900362 101.997296) + (xy 130.901721 101.998496) + (xy 130.894398 102.006788) + (xy 130.889018 102.012879) + (xy 130.887127 102.016907) + (xy 130.838868 102.119695) + (xy 130.838867 102.119698) + (xy 130.836976 102.123726) + (xy 130.818136 102.244724) + (xy 130.818713 102.249137) + (xy 130.818713 102.249138) + (xy 130.821293 102.268869) + (xy 130.834014 102.366145) + (xy 130.841185 102.382443) + (xy 130.841244 102.382813) + (xy 130.842007 102.384311) + (xy 130.883333 102.47823) + (xy 130.897272 102.494813) + (xy 130.898029 102.495713) + (xy 130.900157 102.498434) + (xy 130.902292 102.502625) + (xy 130.910081 102.510414) + (xy 130.913729 102.514391) + (xy 130.962127 102.571968) + (xy 130.985399 102.587459) + (xy 130.991836 102.592733) + (xy 130.993899 102.594232) + (xy 130.997375 102.597708) + (xy 131.001753 102.599939) + (xy 131.001756 102.599941) + (xy 131.00873 102.603494) + (xy 131.014977 102.607148) + (xy 131.064064 102.639823) + (xy 131.068313 102.641151) + (xy 131.068314 102.641151) + (xy 131.100296 102.651143) + (xy 131.110027 102.655109) + (xy 131.112799 102.656521) + (xy 131.112803 102.656522) + (xy 131.117187 102.658756) + (xy 131.127852 102.660445) + (xy 131.136713 102.66252) + (xy 131.176696 102.675012) + (xy 131.176699 102.675012) + (xy 131.180948 102.67634) + (xy 131.230069 102.677241) + (xy 131.238683 102.677999) + (xy 131.24514 102.679022) + (xy 131.245144 102.679022) + (xy 131.25 102.679791) + (xy 131.256947 102.67869) + (xy 131.267853 102.677933) + (xy 131.303383 102.678584) + (xy 131.363532 102.662185) + (xy 131.37019 102.660755) + (xy 131.372147 102.660445) + (xy 131.382813 102.658756) + (xy 131.387196 102.656522) + (xy 131.391875 102.655002) + (xy 131.391878 102.65501) + (xy 131.396727 102.653136) + (xy 131.421527 102.646375) + (xy 131.443656 102.632788) + (xy 131.489709 102.604511) + (xy 131.494037 102.602085) + (xy 131.49824 102.599944) + (xy 131.498248 102.599938) + (xy 131.502625 102.597708) + (xy 131.505427 102.594906) + (xy 131.506264 102.594346) + (xy 131.525881 102.582301) + (xy 131.608058 102.491513) + (xy 131.661451 102.381311) + (xy 131.663317 102.370224) + (xy 131.681367 102.262928) + (xy 131.681767 102.260552) + (xy 131.681896 102.25) + (xy 131.664536 102.128781) + (xy 131.613852 102.017307) + (xy 131.599199 102.000302) + (xy 131.597708 101.997375) + (xy 131.5919 101.991567) + (xy 131.588746 101.98817) + (xy 131.536826 101.927914) + (xy 131.533918 101.924539) + (xy 131.513741 101.911461) + (xy 131.510155 101.908559) + (xy 131.510081 101.908661) + (xy 131.506106 101.905773) + (xy 131.502625 101.902292) + (xy 131.491343 101.896543) + (xy 131.485735 101.893308) + (xy 131.469505 101.882788) + (xy 131.442377 101.843389) + (xy 131.441 101.830342) + (xy 131.441 101.664999) + (xy 131.459306 101.620805) + (xy 131.5035 101.602499) + (xy 131.53341 101.602499) + (xy 131.602695 101.592301) + (xy 131.708036 101.540581) + (xy 131.756089 101.492444) + (xy 131.787296 101.461183) + (xy 131.787298 101.461181) + (xy 131.790944 101.457528) + (xy 131.826769 101.384238) + (xy 131.840349 101.356458) + (xy 131.840349 101.356457) + (xy 131.84248 101.352098) + (xy 131.8525 101.283411) + (xy 131.852499 100.76659) + (xy 131.842301 100.697305) + (xy 131.833325 100.679022) + (xy 131.824356 100.660755) + (xy 131.790581 100.591964) + (xy 131.786065 100.587456) + (xy 131.711183 100.512704) + (xy 131.711181 100.512702) + (xy 131.707528 100.509056) + (xy 131.613548 100.463117) + (xy 131.606458 100.459651) + (xy 131.606457 100.459651) + (xy 131.602098 100.45752) + (xy 131.597295 100.456819) + (xy 131.597294 100.456819) + (xy 131.575341 100.453617) + (xy 131.533411 100.4475) + (xy 131.250749 100.4475) + (xy 130.96659 100.447501) + (xy 130.897305 100.457699) + (xy 130.791964 100.509419) + (xy 130.788318 100.513071) + (xy 130.788317 100.513072) + (xy 130.712704 100.588817) + (xy 130.712702 100.588819) + (xy 130.709056 100.592472) + (xy 130.701879 100.607155) + (xy 130.659943 100.692946) + (xy 130.65752 100.697902) + (xy 130.6475 100.766589) + (xy 130.102499 100.766589) + (xy 130.092301 100.697305) + (xy 130.083325 100.679022) + (xy 130.074356 100.660755) + (xy 130.040581 100.591964) + (xy 130.036065 100.587456) + (xy 129.961183 100.512704) + (xy 129.961181 100.512702) + (xy 129.957528 100.509056) + (xy 129.863548 100.463117) + (xy 129.856458 100.459651) + (xy 129.856457 100.459651) + (xy 129.852098 100.45752) + (xy 129.847295 100.456819) + (xy 129.847294 100.456819) + (xy 129.825341 100.453617) + (xy 129.783411 100.4475) + (xy 129.781144 100.4475) + (xy 129.476072 100.447501) + (xy 129.218504 100.447501) + (xy 129.17431 100.429195) + (xy 128.890628 100.145513) + (xy 128.886323 100.139439) + (xy 128.88575 100.139895) + (xy 128.881369 100.134387) + (xy 128.878322 100.128042) + (xy 128.855239 100.109582) + (xy 128.85008 100.104965) + (xy 128.844831 100.099716) + (xy 128.838828 100.095943) + (xy 128.833052 100.091838) + (xy 128.810267 100.073617) + (xy 128.803407 100.07204) + (xy 128.799647 100.070222) + (xy 128.795711 100.068844) + (xy 128.789752 100.065098) + (xy 128.782758 100.064307) + (xy 128.782757 100.064307) + (xy 128.760764 100.061821) + (xy 128.753787 100.060629) + (xy 128.746703 100.059) + (xy 128.739329 100.059) + (xy 128.732308 100.058604) + (xy 128.730627 100.058414) + (xy 128.703162 100.055309) + (xy 128.696517 100.05763) + (xy 128.689523 100.058414) + (xy 128.689447 100.057736) + (xy 128.681995 100.059) + (xy 128.673049 100.059) + (xy 128.628855 100.040694) + (xy 128.616156 100.022373) + (xy 128.615695 100.02136) + (xy 128.615694 100.021359) + (xy 128.613852 100.017307) + (xy 128.599199 100.000302) + (xy 128.597708 99.997375) + (xy 128.5919 99.991567) + (xy 128.588746 99.98817) + (xy 128.536826 99.927914) + (xy 128.533918 99.924539) + (xy 128.513741 99.911461) + (xy 128.510155 99.908559) + (xy 128.510081 99.908661) + (xy 128.506106 99.905773) + (xy 128.502625 99.902292) + (xy 128.491343 99.896543) + (xy 128.485736 99.893309) + (xy 128.434893 99.860354) + (xy 128.43489 99.860353) + (xy 128.43116 99.857935) + (xy 128.426901 99.856661) + (xy 128.426898 99.85666) + (xy 128.399139 99.848359) + (xy 128.398839 99.848269) + (xy 128.388377 99.844079) + (xy 128.382813 99.841244) + (xy 128.371067 99.839384) + (xy 128.362951 99.837536) + (xy 128.313838 99.822848) + (xy 128.269496 99.822577) + (xy 128.260103 99.821809) + (xy 128.254857 99.820978) + (xy 128.254856 99.820978) + (xy 128.25 99.820209) + (xy 128.241373 99.821576) + (xy 128.23122 99.822344) + (xy 128.212836 99.822231) + (xy 128.195838 99.822127) + (xy 128.195836 99.822127) + (xy 128.191385 99.8221) + (xy 128.137011 99.837641) + (xy 128.129623 99.839275) + (xy 128.117187 99.841244) + (xy 128.112804 99.843477) + (xy 128.11187 99.843781) + (xy 128.102156 99.847603) + (xy 128.099821 99.84827) + (xy 128.077929 99.854526) + (xy 128.077927 99.854527) + (xy 128.073644 99.855751) + (xy 128.014119 99.893309) + (xy 128.012819 99.894129) + (xy 128.007842 99.896959) + (xy 128.001757 99.900059) + (xy 128.001756 99.90006) + (xy 127.997375 99.902292) + (xy 127.993897 99.90577) + (xy 127.992445 99.906825) + (xy 127.989058 99.90912) + (xy 127.97008 99.921095) + (xy 127.967137 99.924427) + (xy 127.967135 99.924429) + (xy 127.907156 99.992342) + (xy 127.906032 99.993538) + (xy 127.905775 99.993892) + (xy 127.905554 99.994113) + (xy 127.905405 99.994204) + (xy 127.904504 99.995163) + (xy 127.902292 99.997375) + (xy 127.901509 99.996592) + (xy 127.900362 99.997296) + (xy 127.901721 99.998496) + (xy 127.889018 100.012879) + (xy 127.885036 100.02136) + (xy 127.838868 100.119695) + (xy 127.838867 100.119698) + (xy 127.836976 100.123726) + (xy 127.818136 100.244724) + (xy 119.691 100.244724) + (xy 119.691 99.494724) + (xy 124.318136 99.494724) + (xy 124.318713 99.499137) + (xy 124.318713 99.499138) + (xy 124.331627 99.59789) + (xy 124.334014 99.616145) + (xy 124.341185 99.632443) + (xy 124.341244 99.632813) + (xy 124.342007 99.634311) + (xy 124.344031 99.63891) + (xy 124.344031 99.638911) + (xy 124.375922 99.711387) + (xy 124.383333 99.72823) + (xy 124.397273 99.744814) + (xy 124.398029 99.745713) + (xy 124.400157 99.748434) + (xy 124.402292 99.752625) + (xy 124.410081 99.760414) + (xy 124.41373 99.764392) + (xy 124.44947 99.80691) + (xy 124.462127 99.821968) + (xy 124.485399 99.837459) + (xy 124.491836 99.842733) + (xy 124.493899 99.844232) + (xy 124.497375 99.847708) + (xy 124.501753 99.849939) + (xy 124.501756 99.849941) + (xy 124.50873 99.853494) + (xy 124.514977 99.857148) + (xy 124.564064 99.889823) + (xy 124.568313 99.891151) + (xy 124.568314 99.891151) + (xy 124.600296 99.901143) + (xy 124.610027 99.905109) + (xy 124.612799 99.906521) + (xy 124.612803 99.906522) + (xy 124.617187 99.908756) + (xy 124.627852 99.910445) + (xy 124.636713 99.91252) + (xy 124.676696 99.925012) + (xy 124.676699 99.925012) + (xy 124.680948 99.92634) + (xy 124.730069 99.927241) + (xy 124.738683 99.927999) + (xy 124.74514 99.929022) + (xy 124.745144 99.929022) + (xy 124.75 99.929791) + (xy 124.756947 99.92869) + (xy 124.767853 99.927933) + (xy 124.803383 99.928584) + (xy 124.863532 99.912185) + (xy 124.87019 99.910755) + (xy 124.872147 99.910445) + (xy 124.882813 99.908756) + (xy 124.887196 99.906522) + (xy 124.891875 99.905002) + (xy 124.891878 99.90501) + (xy 124.896727 99.903136) + (xy 124.921527 99.896375) + (xy 124.926521 99.893309) + (xy 124.989709 99.854511) + (xy 124.994037 99.852085) + (xy 124.99824 99.849944) + (xy 124.998248 99.849938) + (xy 125.002625 99.847708) + (xy 125.005427 99.844906) + (xy 125.006264 99.844346) + (xy 125.007681 99.843476) + (xy 125.025881 99.832301) + (xy 125.108058 99.741513) + (xy 125.113111 99.731083) + (xy 128.898001 99.731083) + (xy 128.898333 99.735614) + (xy 128.907478 99.797743) + (xy 128.910326 99.80691) + (xy 128.957556 99.903105) + (xy 128.963484 99.911387) + (xy 129.039111 99.986883) + (xy 129.047406 99.992799) + (xy 129.143687 100.039863) + (xy 129.152851 100.042695) + (xy 129.214391 100.051672) + (xy 129.218904 100.052) + (xy 129.424069 100.052) + (xy 129.432859 100.048359) + (xy 129.4365 100.039569) + (xy 129.4365 100.039568) + (xy 129.5635 100.039568) + (xy 129.567141 100.048358) + (xy 129.575931 100.051999) + (xy 129.781083 100.051999) + (xy 129.785614 100.051667) + (xy 129.847743 100.042522) + (xy 129.85691 100.039674) + (xy 129.953105 99.992444) + (xy 129.961387 99.986516) + (xy 130.036883 99.910889) + (xy 130.042799 99.902594) + (xy 130.089863 99.806313) + (xy 130.092695 99.797149) + (xy 130.101672 99.735609) + (xy 130.102 99.731096) + (xy 130.102 99.731083) + (xy 130.648001 99.731083) + (xy 130.648333 99.735614) + (xy 130.657478 99.797743) + (xy 130.660326 99.80691) + (xy 130.707556 99.903105) + (xy 130.713484 99.911387) + (xy 130.789111 99.986883) + (xy 130.797406 99.992799) + (xy 130.893687 100.039863) + (xy 130.902851 100.042695) + (xy 130.964391 100.051672) + (xy 130.968904 100.052) + (xy 131.174069 100.052) + (xy 131.182859 100.048359) + (xy 131.1865 100.039569) + (xy 131.1865 100.039568) + (xy 131.3135 100.039568) + (xy 131.317141 100.048358) + (xy 131.325931 100.051999) + (xy 131.531083 100.051999) + (xy 131.535614 100.051667) + (xy 131.597743 100.042522) + (xy 131.60691 100.039674) + (xy 131.703105 99.992444) + (xy 131.711387 99.986516) + (xy 131.786883 99.910889) + (xy 131.792799 99.902594) + (xy 131.839863 99.806313) + (xy 131.842695 99.797149) + (xy 131.851672 99.735609) + (xy 131.852 99.731096) + (xy 131.852 99.550931) + (xy 131.848359 99.542141) + (xy 131.839569 99.5385) + (xy 131.325931 99.5385) + (xy 131.317141 99.542141) + (xy 131.3135 99.550931) + (xy 131.3135 100.039568) + (xy 131.1865 100.039568) + (xy 131.1865 99.550931) + (xy 131.182859 99.542141) + (xy 131.174069 99.5385) + (xy 130.660432 99.5385) + (xy 130.651642 99.542141) + (xy 130.648001 99.550931) + (xy 130.648001 99.731083) + (xy 130.102 99.731083) + (xy 130.102 99.550931) + (xy 130.098359 99.542141) + (xy 130.089569 99.5385) + (xy 129.575931 99.5385) + (xy 129.567141 99.542141) + (xy 129.5635 99.550931) + (xy 129.5635 100.039568) + (xy 129.4365 100.039568) + (xy 129.4365 99.550931) + (xy 129.432859 99.542141) + (xy 129.424069 99.5385) + (xy 128.910432 99.5385) + (xy 128.901642 99.542141) + (xy 128.898001 99.550931) + (xy 128.898001 99.731083) + (xy 125.113111 99.731083) + (xy 125.115454 99.726248) + (xy 125.151198 99.694458) + (xy 125.1717 99.691) + (xy 126.956768 99.691) + (xy 126.964107 99.69225) + (xy 126.96419 99.691523) + (xy 126.971181 99.69232) + (xy 126.977822 99.694652) + (xy 126.984815 99.693874) + (xy 126.984816 99.693874) + (xy 127.007197 99.691383) + (xy 127.01411 99.691) + (xy 127.021533 99.691) + (xy 127.028465 99.689419) + (xy 127.035418 99.688243) + (xy 127.064429 99.685014) + (xy 127.070393 99.681281) + (xy 127.074341 99.679906) + (xy 127.0781 99.678097) + (xy 127.084959 99.676532) + (xy 127.107774 99.658351) + (xy 127.113559 99.654256) + (xy 127.116715 99.65228) + (xy 127.116717 99.652279) + (xy 127.119711 99.650404) + (xy 127.124924 99.645191) + (xy 127.130168 99.640506) + (xy 127.147604 99.626612) + (xy 127.147604 99.626611) + (xy 127.153109 99.622225) + (xy 127.156167 99.615886) + (xy 127.160558 99.610385) + (xy 127.161092 99.610811) + (xy 127.165468 99.604647) + (xy 127.371046 99.399069) + (xy 128.898 99.399069) + (xy 128.901641 99.407859) + (xy 128.910431 99.4115) + (xy 129.424069 99.4115) + (xy 129.432859 99.407859) + (xy 129.4365 99.399069) + (xy 129.5635 99.399069) + (xy 129.567141 99.407859) + (xy 129.575931 99.4115) + (xy 130.089568 99.4115) + (xy 130.098358 99.407859) + (xy 130.101999 99.399069) + (xy 130.648 99.399069) + (xy 130.651641 99.407859) + (xy 130.660431 99.4115) + (xy 131.174069 99.4115) + (xy 131.182859 99.407859) + (xy 131.1865 99.399069) + (xy 131.3135 99.399069) + (xy 131.317141 99.407859) + (xy 131.325931 99.4115) + (xy 131.839568 99.4115) + (xy 131.848358 99.407859) + (xy 131.851999 99.399069) + (xy 131.851999 99.218917) + (xy 131.851667 99.214386) + (xy 131.842522 99.152257) + (xy 131.839674 99.14309) + (xy 131.792444 99.046895) + (xy 131.786516 99.038613) + (xy 131.710889 98.963117) + (xy 131.702594 98.957201) + (xy 131.606313 98.910137) + (xy 131.597149 98.907305) + (xy 131.535609 98.898328) + (xy 131.531096 98.898) + (xy 131.325931 98.898) + (xy 131.317141 98.901641) + (xy 131.3135 98.910431) + (xy 131.3135 99.399069) + (xy 131.1865 99.399069) + (xy 131.1865 98.910432) + (xy 131.182859 98.901642) + (xy 131.174069 98.898001) + (xy 130.968917 98.898001) + (xy 130.964386 98.898333) + (xy 130.902257 98.907478) + (xy 130.89309 98.910326) + (xy 130.796895 98.957556) + (xy 130.788613 98.963484) + (xy 130.713117 99.039111) + (xy 130.707201 99.047406) + (xy 130.660137 99.143687) + (xy 130.657305 99.152851) + (xy 130.648328 99.214391) + (xy 130.648 99.218904) + (xy 130.648 99.399069) + (xy 130.101999 99.399069) + (xy 130.101999 99.218917) + (xy 130.101667 99.214386) + (xy 130.092522 99.152257) + (xy 130.089674 99.14309) + (xy 130.042444 99.046895) + (xy 130.036516 99.038613) + (xy 129.960889 98.963117) + (xy 129.952594 98.957201) + (xy 129.856313 98.910137) + (xy 129.847149 98.907305) + (xy 129.785609 98.898328) + (xy 129.781096 98.898) + (xy 129.575931 98.898) + (xy 129.567141 98.901641) + (xy 129.5635 98.910431) + (xy 129.5635 99.399069) + (xy 129.4365 99.399069) + (xy 129.4365 98.910432) + (xy 129.432859 98.901642) + (xy 129.424069 98.898001) + (xy 129.218917 98.898001) + (xy 129.214386 98.898333) + (xy 129.152257 98.907478) + (xy 129.14309 98.910326) + (xy 129.046895 98.957556) + (xy 129.038613 98.963484) + (xy 128.963117 99.039111) + (xy 128.957201 99.047406) + (xy 128.910137 99.143687) + (xy 128.907305 99.152851) + (xy 128.898328 99.214391) + (xy 128.898 99.218904) + (xy 128.898 99.399069) + (xy 127.371046 99.399069) + (xy 129.310809 97.459306) + (xy 129.355003 97.441) + (xy 130.144997 97.441) + (xy 130.189191 97.459306) + (xy 130.834371 98.104485) + (xy 130.838678 98.11056) + (xy 130.83925 98.110105) + (xy 130.843631 98.115613) + (xy 130.846678 98.121958) + (xy 130.852175 98.126354) + (xy 130.869766 98.140422) + (xy 130.874925 98.145039) + (xy 130.880169 98.150283) + (xy 130.883132 98.152145) + (xy 130.883139 98.152151) + (xy 130.886174 98.154058) + (xy 130.89195 98.158163) + (xy 130.914733 98.176383) + (xy 130.921591 98.17796) + (xy 130.925356 98.17978) + (xy 130.929292 98.181158) + (xy 130.935248 98.184902) + (xy 130.942239 98.185692) + (xy 130.942244 98.185694) + (xy 130.964237 98.18818) + (xy 130.971223 98.189373) + (xy 130.978297 98.191) + (xy 130.985677 98.191) + (xy 130.992698 98.191396) + (xy 131.021838 98.19469) + (xy 131.028484 98.192369) + (xy 131.035478 98.191585) + (xy 131.035554 98.192264) + (xy 131.043004 98.191) + (xy 131.326187 98.191) + (xy 131.370381 98.209306) + (xy 131.379248 98.220473) + (xy 131.38154 98.224155) + (xy 131.383333 98.22823) + (xy 131.397645 98.245256) + (xy 131.398029 98.245713) + (xy 131.400157 98.248434) + (xy 131.402292 98.252625) + (xy 131.410081 98.260414) + (xy 131.413729 98.264391) + (xy 131.462127 98.321968) + (xy 131.485399 98.337459) + (xy 131.491836 98.342733) + (xy 131.493899 98.344232) + (xy 131.497375 98.347708) + (xy 131.501753 98.349939) + (xy 131.501756 98.349941) + (xy 131.50873 98.353494) + (xy 131.514977 98.357148) + (xy 131.564064 98.389823) + (xy 131.568313 98.391151) + (xy 131.568314 98.391151) + (xy 131.600296 98.401143) + (xy 131.610027 98.405109) + (xy 131.612799 98.406521) + (xy 131.612803 98.406522) + (xy 131.617187 98.408756) + (xy 131.627852 98.410445) + (xy 131.636713 98.41252) + (xy 131.676696 98.425012) + (xy 131.676699 98.425012) + (xy 131.680948 98.42634) + (xy 131.730069 98.427241) + (xy 131.738683 98.427999) + (xy 131.74514 98.429022) + (xy 131.745144 98.429022) + (xy 131.75 98.429791) + (xy 131.756947 98.42869) + (xy 131.767853 98.427933) + (xy 131.803383 98.428584) + (xy 131.863532 98.412185) + (xy 131.87019 98.410755) + (xy 131.874269 98.410109) + (xy 131.882813 98.408756) + (xy 131.887196 98.406522) + (xy 131.891875 98.405002) + (xy 131.891878 98.40501) + (xy 131.896727 98.403136) + (xy 131.921527 98.396375) + (xy 131.989709 98.354511) + (xy 131.994037 98.352085) + (xy 131.99824 98.349944) + (xy 131.998248 98.349938) + (xy 132.002625 98.347708) + (xy 132.005427 98.344906) + (xy 132.006263 98.344347) + (xy 132.017485 98.337456) + (xy 132.025295 98.332661) + (xy 132.072534 98.325135) + (xy 132.111259 98.353216) + (xy 132.120499 98.385921) + (xy 132.120499 106.009623) + (xy 132.102193 106.053817) + (xy 132.067795 106.07135) + (xy 132.066385 106.071574) + (xy 132.05622 106.072344) + (xy 132.037836 106.072231) + (xy 132.020838 106.072127) + (xy 132.020836 106.072127) + (xy 132.016385 106.0721) + (xy 131.962011 106.087641) + (xy 131.954623 106.089275) + (xy 131.942187 106.091244) + (xy 131.937804 106.093477) + (xy 131.93687 106.093781) + (xy 131.927156 106.097603) + (xy 131.924821 106.09827) + (xy 131.902929 106.104526) + (xy 131.902927 106.104527) + (xy 131.898644 106.105751) + (xy 131.894875 106.108129) + (xy 131.878622 106.118384) + (xy 131.839119 106.143309) + (xy 131.837819 106.144129) + (xy 131.832842 106.146959) + (xy 131.826757 106.150059) + (xy 131.826756 106.15006) + (xy 131.822375 106.152292) + (xy 131.818897 106.15577) + (xy 131.817445 106.156825) + (xy 131.814058 106.15912) + (xy 131.79508 106.171095) + (xy 131.792137 106.174427) + (xy 131.792135 106.174429) + (xy 131.732156 106.242342) + (xy 131.731032 106.243538) + (xy 131.730775 106.243892) + (xy 131.730554 106.244113) + (xy 131.730405 106.244204) + (xy 131.729504 106.245163) + (xy 131.727292 106.247375) + (xy 131.726509 106.246592) + (xy 131.725362 106.247296) + (xy 131.726721 106.248496) + (xy 131.714018 106.262879) + (xy 131.712127 106.266907) + (xy 131.663868 106.369695) + (xy 131.663867 106.369698) + (xy 131.661976 106.373726) + (xy 131.643136 106.494724) + (xy 131.643713 106.499137) + (xy 131.643713 106.499138) + (xy 131.656895 106.599944) + (xy 131.659014 106.616145) + (xy 131.660809 106.620224) + (xy 131.664286 106.628126) + (xy 131.666185 106.632443) + (xy 131.666244 106.632813) + (xy 131.667007 106.634311) + (xy 131.669031 106.63891) + (xy 131.669031 106.638911) + (xy 131.705334 106.721414) + (xy 131.708333 106.72823) + (xy 131.722198 106.744724) + (xy 131.723029 106.745713) + (xy 131.725157 106.748434) + (xy 131.727292 106.752625) + (xy 131.735081 106.760414) + (xy 131.73873 106.764392) + (xy 131.757983 106.787296) + (xy 131.787127 106.821968) + (xy 131.810399 106.837459) + (xy 131.816836 106.842733) + (xy 131.818899 106.844232) + (xy 131.822375 106.847708) + (xy 131.826753 106.849939) + (xy 131.826756 106.849941) + (xy 131.83373 106.853494) + (xy 131.839977 106.857148) + (xy 131.889064 106.889823) + (xy 131.893313 106.891151) + (xy 131.893314 106.891151) + (xy 131.925296 106.901143) + (xy 131.935027 106.905109) + (xy 131.937799 106.906521) + (xy 131.937803 106.906522) + (xy 131.942187 106.908756) + (xy 131.952852 106.910445) + (xy 131.961713 106.91252) + (xy 132.001696 106.925012) + (xy 132.001699 106.925012) + (xy 132.005948 106.92634) + (xy 132.055069 106.927241) + (xy 132.063683 106.927999) + (xy 132.07014 106.929022) + (xy 132.070144 106.929022) + (xy 132.075 106.929791) + (xy 132.081947 106.92869) + (xy 132.092853 106.927933) + (xy 132.128383 106.928584) + (xy 132.188532 106.912185) + (xy 132.19519 106.910755) + (xy 132.197147 106.910445) + (xy 132.207813 106.908756) + (xy 132.212196 106.906522) + (xy 132.216875 106.905002) + (xy 132.216878 106.90501) + (xy 132.221727 106.903136) + (xy 132.246527 106.896375) + (xy 132.252705 106.892582) + (xy 132.314709 106.854511) + (xy 132.319037 106.852085) + (xy 132.32324 106.849944) + (xy 132.323248 106.849938) + (xy 132.327625 106.847708) + (xy 132.330427 106.844906) + (xy 132.331264 106.844346) + (xy 132.350881 106.832301) + (xy 132.433058 106.741513) + (xy 132.442796 106.721414) + (xy 132.47854 106.689623) + (xy 132.526293 106.692419) + (xy 132.553596 106.720743) + (xy 132.554187 106.720375) + (xy 132.555912 106.723146) + (xy 132.55625 106.723497) + (xy 132.558333 106.72823) + (xy 132.572198 106.744724) + (xy 132.573029 106.745713) + (xy 132.575157 106.748434) + (xy 132.577292 106.752625) + (xy 132.585081 106.760414) + (xy 132.58873 106.764392) + (xy 132.607983 106.787296) + (xy 132.637127 106.821968) + (xy 132.660399 106.837459) + (xy 132.666836 106.842733) + (xy 132.668899 106.844232) + (xy 132.672375 106.847708) + (xy 132.676753 106.849939) + (xy 132.676756 106.849941) + (xy 132.68373 106.853494) + (xy 132.689977 106.857148) + (xy 132.739064 106.889823) + (xy 132.743313 106.891151) + (xy 132.743314 106.891151) + (xy 132.775296 106.901143) + (xy 132.785027 106.905109) + (xy 132.787799 106.906521) + (xy 132.787803 106.906522) + (xy 132.792187 106.908756) + (xy 132.802852 106.910445) + (xy 132.811713 106.91252) + (xy 132.851696 106.925012) + (xy 132.851699 106.925012) + (xy 132.855948 106.92634) + (xy 132.905069 106.927241) + (xy 132.913683 106.927999) + (xy 132.92014 106.929022) + (xy 132.920144 106.929022) + (xy 132.925 106.929791) + (xy 132.931947 106.92869) + (xy 132.942853 106.927933) + (xy 132.978383 106.928584) + (xy 133.038532 106.912185) + (xy 133.04519 106.910755) + (xy 133.047147 106.910445) + (xy 133.057813 106.908756) + (xy 133.062196 106.906522) + (xy 133.066875 106.905002) + (xy 133.066878 106.90501) + (xy 133.071727 106.903136) + (xy 133.096527 106.896375) + (xy 133.102705 106.892582) + (xy 133.164709 106.854511) + (xy 133.169037 106.852085) + (xy 133.17324 106.849944) + (xy 133.173248 106.849938) + (xy 133.177625 106.847708) + (xy 133.180427 106.844906) + (xy 133.181264 106.844346) + (xy 133.200881 106.832301) + (xy 133.283058 106.741513) + (xy 133.336451 106.631311) + (xy 133.3375 106.62508) + (xy 133.351696 106.540694) + (xy 133.356767 106.510552) + (xy 133.356896 106.5) + (xy 133.339536 106.378781) + (xy 133.288852 106.267307) + (xy 133.274199 106.250302) + (xy 133.272708 106.247375) + (xy 133.2669 106.241567) + (xy 133.263746 106.23817) + (xy 133.211826 106.177914) + (xy 133.208918 106.174539) + (xy 133.188741 106.161461) + (xy 133.185155 106.158559) + (xy 133.185081 106.158661) + (xy 133.181106 106.155773) + (xy 133.177625 106.152292) + (xy 133.166343 106.146543) + (xy 133.160736 106.143309) + (xy 133.160735 106.143308) + (xy 133.138322 106.128781) + (xy 133.109893 106.110354) + (xy 133.10989 106.110353) + (xy 133.10616 106.107935) + (xy 133.101901 106.106661) + (xy 133.101898 106.10666) + (xy 133.079429 106.099941) + (xy 133.073839 106.098269) + (xy 133.063377 106.094079) + (xy 133.057813 106.091244) + (xy 133.046067 106.089384) + (xy 133.037951 106.087536) + (xy 132.988838 106.072848) + (xy 132.944496 106.072577) + (xy 132.935098 106.071808) + (xy 132.93222 106.071352) + (xy 132.891435 106.046356) + (xy 132.879501 106.009622) + (xy 132.879501 105.016589) + (xy 134.1475 105.016589) + (xy 134.147501 105.53341) + (xy 134.157699 105.602695) + (xy 134.159839 105.607053) + (xy 134.159839 105.607054) + (xy 134.166046 105.619695) + (xy 134.209419 105.708036) + (xy 134.213071 105.711682) + (xy 134.213072 105.711683) + (xy 134.288817 105.787296) + (xy 134.288819 105.787298) + (xy 134.292472 105.790944) + (xy 134.36035 105.824124) + (xy 134.393158 105.840161) + (xy 134.397902 105.84248) + (xy 134.402705 105.843181) + (xy 134.402706 105.843181) + (xy 134.421627 105.845941) + (xy 134.466589 105.8525) + (xy 134.4965 105.8525) + (xy 134.540694 105.870806) + (xy 134.559 105.915) + (xy 134.559 106.080525) + (xy 134.540694 106.124719) + (xy 134.529855 106.13338) + (xy 134.51281 106.144135) + (xy 134.507842 106.146959) + (xy 134.501757 106.150059) + (xy 134.501756 106.15006) + (xy 134.497375 106.152292) + (xy 134.493897 106.15577) + (xy 134.492445 106.156825) + (xy 134.489058 106.15912) + (xy 134.47008 106.171095) + (xy 134.467137 106.174427) + (xy 134.467135 106.174429) + (xy 134.407156 106.242342) + (xy 134.406032 106.243538) + (xy 134.405775 106.243892) + (xy 134.405554 106.244113) + (xy 134.405405 106.244204) + (xy 134.404504 106.245163) + (xy 134.402292 106.247375) + (xy 134.401509 106.246592) + (xy 134.400362 106.247296) + (xy 134.401721 106.248496) + (xy 134.389018 106.262879) + (xy 134.387127 106.266907) + (xy 134.338868 106.369695) + (xy 134.338867 106.369698) + (xy 134.336976 106.373726) + (xy 134.318136 106.494724) + (xy 134.318713 106.499137) + (xy 134.318713 106.499138) + (xy 134.331895 106.599944) + (xy 134.334014 106.616145) + (xy 134.335809 106.620224) + (xy 134.339286 106.628126) + (xy 134.341185 106.632443) + (xy 134.341244 106.632813) + (xy 134.342007 106.634311) + (xy 134.344031 106.63891) + (xy 134.344031 106.638911) + (xy 134.380334 106.721414) + (xy 134.383333 106.72823) + (xy 134.397198 106.744724) + (xy 134.398029 106.745713) + (xy 134.400157 106.748434) + (xy 134.402292 106.752625) + (xy 134.410081 106.760414) + (xy 134.41373 106.764392) + (xy 134.432983 106.787296) + (xy 134.462127 106.821968) + (xy 134.485399 106.837459) + (xy 134.491836 106.842733) + (xy 134.493899 106.844232) + (xy 134.497375 106.847708) + (xy 134.501753 106.849939) + (xy 134.501756 106.849941) + (xy 134.50873 106.853494) + (xy 134.514977 106.857148) + (xy 134.564064 106.889823) + (xy 134.568313 106.891151) + (xy 134.568314 106.891151) + (xy 134.600296 106.901143) + (xy 134.610027 106.905109) + (xy 134.612799 106.906521) + (xy 134.612803 106.906522) + (xy 134.617187 106.908756) + (xy 134.627852 106.910445) + (xy 134.636713 106.91252) + (xy 134.676696 106.925012) + (xy 134.676699 106.925012) + (xy 134.680948 106.92634) + (xy 134.730069 106.927241) + (xy 134.738683 106.927999) + (xy 134.74514 106.929022) + (xy 134.745144 106.929022) + (xy 134.75 106.929791) + (xy 134.756947 106.92869) + (xy 134.767853 106.927933) + (xy 134.803383 106.928584) + (xy 134.863532 106.912185) + (xy 134.87019 106.910755) + (xy 134.872147 106.910445) + (xy 134.882813 106.908756) + (xy 134.887196 106.906522) + (xy 134.891875 106.905002) + (xy 134.891878 106.90501) + (xy 134.896727 106.903136) + (xy 134.921527 106.896375) + (xy 134.927705 106.892582) + (xy 134.989709 106.854511) + (xy 134.994037 106.852085) + (xy 134.99824 106.849944) + (xy 134.998248 106.849938) + (xy 135.002625 106.847708) + (xy 135.005427 106.844906) + (xy 135.006264 106.844346) + (xy 135.025881 106.832301) + (xy 135.108058 106.741513) + (xy 135.161451 106.631311) + (xy 135.1625 106.62508) + (xy 135.176696 106.540694) + (xy 135.181767 106.510552) + (xy 135.181896 106.5) + (xy 135.164536 106.378781) + (xy 135.113852 106.267307) + (xy 135.099199 106.250302) + (xy 135.097708 106.247375) + (xy 135.0919 106.241567) + (xy 135.088746 106.23817) + (xy 135.036826 106.177914) + (xy 135.033918 106.174539) + (xy 135.013741 106.161461) + (xy 135.010155 106.158559) + (xy 135.010081 106.158661) + (xy 135.006106 106.155773) + (xy 135.002625 106.152292) + (xy 134.991343 106.146543) + (xy 134.985735 106.143308) + (xy 134.969505 106.132788) + (xy 134.942377 106.093389) + (xy 134.941 106.080342) + (xy 134.941 105.914999) + (xy 134.959306 105.870805) + (xy 135.0035 105.852499) + (xy 135.03341 105.852499) + (xy 135.102695 105.842301) + (xy 135.10826 105.839569) + (xy 135.139717 105.824124) + (xy 135.208036 105.790581) + (xy 135.238013 105.760552) + (xy 135.287296 105.711183) + (xy 135.287298 105.711181) + (xy 135.290944 105.707528) + (xy 135.340008 105.607155) + (xy 135.340349 105.606458) + (xy 135.340349 105.606457) + (xy 135.34248 105.602098) + (xy 135.343218 105.597043) + (xy 135.352172 105.535657) + (xy 135.3525 105.533411) + (xy 135.352499 105.01659) + (xy 135.342301 104.947305) + (xy 135.339206 104.941) + (xy 135.323328 104.908661) + (xy 135.290581 104.841964) + (xy 135.286423 104.837813) + (xy 135.211183 104.762704) + (xy 135.211181 104.762702) + (xy 135.207528 104.759056) + (xy 135.134238 104.723231) + (xy 135.106458 104.709651) + (xy 135.106457 104.709651) + (xy 135.102098 104.70752) + (xy 135.097295 104.706819) + (xy 135.097294 104.706819) + (xy 135.061804 104.701642) + (xy 135.033411 104.6975) + (xy 134.750749 104.6975) + (xy 134.46659 104.697501) + (xy 134.397305 104.707699) + (xy 134.392947 104.709839) + (xy 134.392946 104.709839) + (xy 134.373777 104.719251) + (xy 134.291964 104.759419) + (xy 134.288318 104.763071) + (xy 134.288317 104.763072) + (xy 134.212704 104.838817) + (xy 134.212702 104.838819) + (xy 134.209056 104.842472) + (xy 134.180943 104.899985) + (xy 134.159943 104.942946) + (xy 134.15752 104.947902) + (xy 134.1475 105.016589) + (xy 132.879501 105.016589) + (xy 132.879501 103.981083) + (xy 134.148001 103.981083) + (xy 134.148333 103.985614) + (xy 134.157478 104.047743) + (xy 134.160326 104.05691) + (xy 134.207556 104.153105) + (xy 134.213484 104.161387) + (xy 134.289111 104.236883) + (xy 134.297406 104.242799) + (xy 134.393687 104.289863) + (xy 134.402851 104.292695) + (xy 134.464391 104.301672) + (xy 134.468904 104.302) + (xy 134.674069 104.302) + (xy 134.682859 104.298359) + (xy 134.6865 104.289569) + (xy 134.6865 104.289568) + (xy 134.8135 104.289568) + (xy 134.817141 104.298358) + (xy 134.825931 104.301999) + (xy 135.031083 104.301999) + (xy 135.035614 104.301667) + (xy 135.097743 104.292522) + (xy 135.10691 104.289674) + (xy 135.203105 104.242444) + (xy 135.211387 104.236516) + (xy 135.286883 104.160889) + (xy 135.292799 104.152594) + (xy 135.339863 104.056313) + (xy 135.342695 104.047149) + (xy 135.345039 104.031083) + (xy 145.398001 104.031083) + (xy 145.398333 104.035614) + (xy 145.407478 104.097743) + (xy 145.410326 104.10691) + (xy 145.457556 104.203105) + (xy 145.463484 104.211387) + (xy 145.539111 104.286883) + (xy 145.547406 104.292799) + (xy 145.643687 104.339863) + (xy 145.652851 104.342695) + (xy 145.714391 104.351672) + (xy 145.718904 104.352) + (xy 145.899069 104.352) + (xy 145.907859 104.348359) + (xy 145.9115 104.339569) + (xy 145.9115 104.339568) + (xy 146.0385 104.339568) + (xy 146.042141 104.348358) + (xy 146.050931 104.351999) + (xy 146.231083 104.351999) + (xy 146.235614 104.351667) + (xy 146.297743 104.342522) + (xy 146.30691 104.339674) + (xy 146.403105 104.292444) + (xy 146.411387 104.286516) + (xy 146.486883 104.210889) + (xy 146.492799 104.202594) + (xy 146.539863 104.106313) + (xy 146.542695 104.097149) + (xy 146.551672 104.035609) + (xy 146.552 104.031096) + (xy 146.552 103.825931) + (xy 146.548359 103.817141) + (xy 146.539569 103.8135) + (xy 146.050931 103.8135) + (xy 146.042141 103.817141) + (xy 146.0385 103.825931) + (xy 146.0385 104.339568) + (xy 145.9115 104.339568) + (xy 145.9115 103.825931) + (xy 145.907859 103.817141) + (xy 145.899069 103.8135) + (xy 145.410432 103.8135) + (xy 145.401642 103.817141) + (xy 145.398001 103.825931) + (xy 145.398001 104.031083) + (xy 135.345039 104.031083) + (xy 135.351672 103.985609) + (xy 135.352 103.981096) + (xy 135.352 103.800931) + (xy 135.348359 103.792141) + (xy 135.339569 103.7885) + (xy 134.825931 103.7885) + (xy 134.817141 103.792141) + (xy 134.8135 103.800931) + (xy 134.8135 104.289568) + (xy 134.6865 104.289568) + (xy 134.6865 103.800931) + (xy 134.682859 103.792141) + (xy 134.674069 103.7885) + (xy 134.160432 103.7885) + (xy 134.151642 103.792141) + (xy 134.148001 103.800931) + (xy 134.148001 103.981083) + (xy 132.879501 103.981083) + (xy 132.879501 103.674069) + (xy 145.398 103.674069) + (xy 145.401641 103.682859) + (xy 145.410431 103.6865) + (xy 145.899069 103.6865) + (xy 145.907859 103.682859) + (xy 145.9115 103.674069) + (xy 146.0385 103.674069) + (xy 146.042141 103.682859) + (xy 146.050931 103.6865) + (xy 146.539568 103.6865) + (xy 146.548358 103.682859) + (xy 146.551999 103.674069) + (xy 146.551999 103.468917) + (xy 146.551667 103.464386) + (xy 146.542522 103.402257) + (xy 146.539674 103.39309) + (xy 146.492444 103.296895) + (xy 146.486516 103.288613) + (xy 146.410889 103.213117) + (xy 146.402594 103.207201) + (xy 146.306313 103.160137) + (xy 146.297149 103.157305) + (xy 146.235609 103.148328) + (xy 146.231096 103.148) + (xy 146.050931 103.148) + (xy 146.042141 103.151641) + (xy 146.0385 103.160431) + (xy 146.0385 103.674069) + (xy 145.9115 103.674069) + (xy 145.9115 103.160432) + (xy 145.907859 103.151642) + (xy 145.899069 103.148001) + (xy 145.718917 103.148001) + (xy 145.714386 103.148333) + (xy 145.652257 103.157478) + (xy 145.64309 103.160326) + (xy 145.546895 103.207556) + (xy 145.538613 103.213484) + (xy 145.463117 103.289111) + (xy 145.457201 103.297406) + (xy 145.410137 103.393687) + (xy 145.407305 103.402851) + (xy 145.398328 103.464391) + (xy 145.398 103.468904) + (xy 145.398 103.674069) + (xy 132.879501 103.674069) + (xy 132.879501 103.649069) + (xy 134.148 103.649069) + (xy 134.151641 103.657859) + (xy 134.160431 103.6615) + (xy 134.674069 103.6615) + (xy 134.682859 103.657859) + (xy 134.6865 103.649069) + (xy 134.8135 103.649069) + (xy 134.817141 103.657859) + (xy 134.825931 103.6615) + (xy 135.339568 103.6615) + (xy 135.348358 103.657859) + (xy 135.351999 103.649069) + (xy 135.351999 103.468917) + (xy 135.351667 103.464386) + (xy 135.342522 103.402257) + (xy 135.339674 103.39309) + (xy 135.292444 103.296895) + (xy 135.286516 103.288613) + (xy 135.210889 103.213117) + (xy 135.202594 103.207201) + (xy 135.106313 103.160137) + (xy 135.097149 103.157305) + (xy 135.035609 103.148328) + (xy 135.031096 103.148) + (xy 134.825931 103.148) + (xy 134.817141 103.151641) + (xy 134.8135 103.160431) + (xy 134.8135 103.649069) + (xy 134.6865 103.649069) + (xy 134.6865 103.160432) + (xy 134.682859 103.151642) + (xy 134.674069 103.148001) + (xy 134.468917 103.148001) + (xy 134.464386 103.148333) + (xy 134.402257 103.157478) + (xy 134.39309 103.160326) + (xy 134.296895 103.207556) + (xy 134.288613 103.213484) + (xy 134.213117 103.289111) + (xy 134.207201 103.297406) + (xy 134.160137 103.393687) + (xy 134.157305 103.402851) + (xy 134.148328 103.464391) + (xy 134.148 103.468904) + (xy 134.148 103.649069) + (xy 132.879501 103.649069) + (xy 132.879501 100.766589) + (xy 134.1475 100.766589) + (xy 134.147501 101.28341) + (xy 134.157699 101.352695) + (xy 134.209419 101.458036) + (xy 134.213071 101.461682) + (xy 134.213072 101.461683) + (xy 134.288817 101.537296) + (xy 134.288819 101.537298) + (xy 134.292472 101.540944) + (xy 134.365762 101.576769) + (xy 134.393158 101.590161) + (xy 134.397902 101.59248) + (xy 134.402705 101.593181) + (xy 134.402706 101.593181) + (xy 134.424659 101.596383) + (xy 134.466589 101.6025) + (xy 134.4965 101.6025) + (xy 134.540694 101.620806) + (xy 134.559 101.665) + (xy 134.559 101.830525) + (xy 134.540694 101.874719) + (xy 134.529855 101.88338) + (xy 134.51281 101.894135) + (xy 134.507842 101.896959) + (xy 134.501757 101.900059) + (xy 134.501756 101.90006) + (xy 134.497375 101.902292) + (xy 134.493897 101.90577) + (xy 134.492445 101.906825) + (xy 134.489058 101.90912) + (xy 134.47008 101.921095) + (xy 134.467137 101.924427) + (xy 134.467135 101.924429) + (xy 134.407156 101.992342) + (xy 134.406032 101.993538) + (xy 134.405775 101.993892) + (xy 134.405554 101.994113) + (xy 134.405405 101.994204) + (xy 134.404504 101.995163) + (xy 134.402292 101.997375) + (xy 134.401509 101.996592) + (xy 134.400362 101.997296) + (xy 134.401721 101.998496) + (xy 134.394398 102.006788) + (xy 134.389018 102.012879) + (xy 134.387127 102.016907) + (xy 134.338868 102.119695) + (xy 134.338867 102.119698) + (xy 134.336976 102.123726) + (xy 134.318136 102.244724) + (xy 134.318713 102.249137) + (xy 134.318713 102.249138) + (xy 134.321293 102.268869) + (xy 134.334014 102.366145) + (xy 134.341185 102.382443) + (xy 134.341244 102.382813) + (xy 134.342007 102.384311) + (xy 134.383333 102.47823) + (xy 134.397272 102.494813) + (xy 134.398029 102.495713) + (xy 134.400157 102.498434) + (xy 134.402292 102.502625) + (xy 134.410081 102.510414) + (xy 134.413729 102.514391) + (xy 134.462127 102.571968) + (xy 134.485399 102.587459) + (xy 134.491836 102.592733) + (xy 134.493899 102.594232) + (xy 134.497375 102.597708) + (xy 134.501753 102.599939) + (xy 134.501756 102.599941) + (xy 134.50873 102.603494) + (xy 134.514977 102.607148) + (xy 134.564064 102.639823) + (xy 134.568313 102.641151) + (xy 134.568314 102.641151) + (xy 134.600296 102.651143) + (xy 134.610027 102.655109) + (xy 134.612799 102.656521) + (xy 134.612803 102.656522) + (xy 134.617187 102.658756) + (xy 134.627852 102.660445) + (xy 134.636713 102.66252) + (xy 134.676696 102.675012) + (xy 134.676699 102.675012) + (xy 134.680948 102.67634) + (xy 134.730069 102.677241) + (xy 134.738683 102.677999) + (xy 134.74514 102.679022) + (xy 134.745144 102.679022) + (xy 134.75 102.679791) + (xy 134.756947 102.67869) + (xy 134.767853 102.677933) + (xy 134.803383 102.678584) + (xy 134.863532 102.662185) + (xy 134.87019 102.660755) + (xy 134.872147 102.660445) + (xy 134.882813 102.658756) + (xy 134.887196 102.656522) + (xy 134.891875 102.655002) + (xy 134.891878 102.65501) + (xy 134.896727 102.653136) + (xy 134.921527 102.646375) + (xy 134.943656 102.632788) + (xy 134.989709 102.604511) + (xy 134.994037 102.602085) + (xy 134.99824 102.599944) + (xy 134.998248 102.599938) + (xy 135.002625 102.597708) + (xy 135.005427 102.594906) + (xy 135.006264 102.594346) + (xy 135.025881 102.582301) + (xy 135.108058 102.491513) + (xy 135.161451 102.381311) + (xy 135.163317 102.370224) + (xy 135.181367 102.262928) + (xy 135.181767 102.260552) + (xy 135.181896 102.25) + (xy 135.164536 102.128781) + (xy 135.113852 102.017307) + (xy 135.099199 102.000302) + (xy 135.097708 101.997375) + (xy 135.0919 101.991567) + (xy 135.088746 101.98817) + (xy 135.036826 101.927914) + (xy 135.033918 101.924539) + (xy 135.013741 101.911461) + (xy 135.010155 101.908559) + (xy 135.010081 101.908661) + (xy 135.006106 101.905773) + (xy 135.002625 101.902292) + (xy 134.991343 101.896543) + (xy 134.985735 101.893308) + (xy 134.969505 101.882788) + (xy 134.942377 101.843389) + (xy 134.941 101.830342) + (xy 134.941 101.664999) + (xy 134.959306 101.620805) + (xy 135.0035 101.602499) + (xy 135.03341 101.602499) + (xy 135.102695 101.592301) + (xy 135.208036 101.540581) + (xy 135.256089 101.492444) + (xy 135.287296 101.461183) + (xy 135.287298 101.461181) + (xy 135.290944 101.457528) + (xy 135.326769 101.384238) + (xy 135.340349 101.356458) + (xy 135.340349 101.356457) + (xy 135.34248 101.352098) + (xy 135.3525 101.283411) + (xy 135.352499 100.76659) + (xy 135.342301 100.697305) + (xy 135.333325 100.679022) + (xy 135.324356 100.660755) + (xy 135.290581 100.591964) + (xy 135.286065 100.587456) + (xy 135.211183 100.512704) + (xy 135.211181 100.512702) + (xy 135.207528 100.509056) + (xy 135.113548 100.463117) + (xy 135.106458 100.459651) + (xy 135.106457 100.459651) + (xy 135.102098 100.45752) + (xy 135.097295 100.456819) + (xy 135.097294 100.456819) + (xy 135.075341 100.453617) + (xy 135.033411 100.4475) + (xy 134.750749 100.4475) + (xy 134.46659 100.447501) + (xy 134.397305 100.457699) + (xy 134.291964 100.509419) + (xy 134.288318 100.513071) + (xy 134.288317 100.513072) + (xy 134.212704 100.588817) + (xy 134.212702 100.588819) + (xy 134.209056 100.592472) + (xy 134.201879 100.607155) + (xy 134.159943 100.692946) + (xy 134.15752 100.697902) + (xy 134.1475 100.766589) + (xy 132.879501 100.766589) + (xy 132.879501 99.731083) + (xy 134.148001 99.731083) + (xy 134.148333 99.735614) + (xy 134.157478 99.797743) + (xy 134.160326 99.80691) + (xy 134.207556 99.903105) + (xy 134.213484 99.911387) + (xy 134.289111 99.986883) + (xy 134.297406 99.992799) + (xy 134.393687 100.039863) + (xy 134.402851 100.042695) + (xy 134.464391 100.051672) + (xy 134.468904 100.052) + (xy 134.674069 100.052) + (xy 134.682859 100.048359) + (xy 134.6865 100.039569) + (xy 134.6865 100.039568) + (xy 134.8135 100.039568) + (xy 134.817141 100.048358) + (xy 134.825931 100.051999) + (xy 135.031083 100.051999) + (xy 135.035614 100.051667) + (xy 135.097743 100.042522) + (xy 135.10691 100.039674) + (xy 135.203105 99.992444) + (xy 135.211387 99.986516) + (xy 135.286883 99.910889) + (xy 135.292799 99.902594) + (xy 135.339863 99.806313) + (xy 135.342695 99.797149) + (xy 135.351672 99.735609) + (xy 135.352 99.731096) + (xy 135.352 99.550931) + (xy 135.348359 99.542141) + (xy 135.339569 99.5385) + (xy 134.825931 99.5385) + (xy 134.817141 99.542141) + (xy 134.8135 99.550931) + (xy 134.8135 100.039568) + (xy 134.6865 100.039568) + (xy 134.6865 99.550931) + (xy 134.682859 99.542141) + (xy 134.674069 99.5385) + (xy 134.160432 99.5385) + (xy 134.151642 99.542141) + (xy 134.148001 99.550931) + (xy 134.148001 99.731083) + (xy 132.879501 99.731083) + (xy 132.879501 99.531083) + (xy 145.398001 99.531083) + (xy 145.398333 99.535614) + (xy 145.407478 99.597743) + (xy 145.410326 99.60691) + (xy 145.457556 99.703105) + (xy 145.463484 99.711387) + (xy 145.539111 99.786883) + (xy 145.547406 99.792799) + (xy 145.643687 99.839863) + (xy 145.652851 99.842695) + (xy 145.714391 99.851672) + (xy 145.718904 99.852) + (xy 145.899069 99.852) + (xy 145.907859 99.848359) + (xy 145.9115 99.839569) + (xy 145.9115 99.839568) + (xy 146.0385 99.839568) + (xy 146.042141 99.848358) + (xy 146.050931 99.851999) + (xy 146.231083 99.851999) + (xy 146.235614 99.851667) + (xy 146.297743 99.842522) + (xy 146.30691 99.839674) + (xy 146.403105 99.792444) + (xy 146.411387 99.786516) + (xy 146.486883 99.710889) + (xy 146.492799 99.702594) + (xy 146.539863 99.606313) + (xy 146.542695 99.597149) + (xy 146.551672 99.535609) + (xy 146.552 99.531096) + (xy 146.552 99.325931) + (xy 146.548359 99.317141) + (xy 146.539569 99.3135) + (xy 146.050931 99.3135) + (xy 146.042141 99.317141) + (xy 146.0385 99.325931) + (xy 146.0385 99.839568) + (xy 145.9115 99.839568) + (xy 145.9115 99.325931) + (xy 145.907859 99.317141) + (xy 145.899069 99.3135) + (xy 145.410432 99.3135) + (xy 145.401642 99.317141) + (xy 145.398001 99.325931) + (xy 145.398001 99.531083) + (xy 132.879501 99.531083) + (xy 132.879501 99.399069) + (xy 134.148 99.399069) + (xy 134.151641 99.407859) + (xy 134.160431 99.4115) + (xy 134.674069 99.4115) + (xy 134.682859 99.407859) + (xy 134.6865 99.399069) + (xy 134.8135 99.399069) + (xy 134.817141 99.407859) + (xy 134.825931 99.4115) + (xy 135.339568 99.4115) + (xy 135.348358 99.407859) + (xy 135.351999 99.399069) + (xy 135.351999 99.218917) + (xy 135.351667 99.214386) + (xy 135.345733 99.174069) + (xy 145.398 99.174069) + (xy 145.401641 99.182859) + (xy 145.410431 99.1865) + (xy 145.899069 99.1865) + (xy 145.907859 99.182859) + (xy 145.9115 99.174069) + (xy 146.0385 99.174069) + (xy 146.042141 99.182859) + (xy 146.050931 99.1865) + (xy 146.539568 99.1865) + (xy 146.548358 99.182859) + (xy 146.551999 99.174069) + (xy 146.551999 98.968917) + (xy 146.551667 98.964386) + (xy 146.542522 98.902257) + (xy 146.539674 98.89309) + (xy 146.492444 98.796895) + (xy 146.486516 98.788613) + (xy 146.410889 98.713117) + (xy 146.402594 98.707201) + (xy 146.306313 98.660137) + (xy 146.297149 98.657305) + (xy 146.235609 98.648328) + (xy 146.231096 98.648) + (xy 146.050931 98.648) + (xy 146.042141 98.651641) + (xy 146.0385 98.660431) + (xy 146.0385 99.174069) + (xy 145.9115 99.174069) + (xy 145.9115 98.660432) + (xy 145.907859 98.651642) + (xy 145.899069 98.648001) + (xy 145.718917 98.648001) + (xy 145.714386 98.648333) + (xy 145.652257 98.657478) + (xy 145.64309 98.660326) + (xy 145.546895 98.707556) + (xy 145.538613 98.713484) + (xy 145.463117 98.789111) + (xy 145.457201 98.797406) + (xy 145.410137 98.893687) + (xy 145.407305 98.902851) + (xy 145.398328 98.964391) + (xy 145.398 98.968904) + (xy 145.398 99.174069) + (xy 135.345733 99.174069) + (xy 135.342522 99.152257) + (xy 135.339674 99.14309) + (xy 135.292444 99.046895) + (xy 135.286516 99.038613) + (xy 135.210889 98.963117) + (xy 135.202594 98.957201) + (xy 135.106313 98.910137) + (xy 135.097149 98.907305) + (xy 135.035609 98.898328) + (xy 135.031096 98.898) + (xy 134.825931 98.898) + (xy 134.817141 98.901641) + (xy 134.8135 98.910431) + (xy 134.8135 99.399069) + (xy 134.6865 99.399069) + (xy 134.6865 98.910432) + (xy 134.682859 98.901642) + (xy 134.674069 98.898001) + (xy 134.468917 98.898001) + (xy 134.464386 98.898333) + (xy 134.402257 98.907478) + (xy 134.39309 98.910326) + (xy 134.296895 98.957556) + (xy 134.288613 98.963484) + (xy 134.213117 99.039111) + (xy 134.207201 99.047406) + (xy 134.160137 99.143687) + (xy 134.157305 99.152851) + (xy 134.148328 99.214391) + (xy 134.148 99.218904) + (xy 134.148 99.399069) + (xy 132.879501 99.399069) + (xy 132.879501 96.744724) + (xy 142.318136 96.744724) + (xy 142.318713 96.749137) + (xy 142.318713 96.749138) + (xy 142.33223 96.8525) + (xy 142.334014 96.866145) + (xy 142.341185 96.882443) + (xy 142.341244 96.882813) + (xy 142.342007 96.884311) + (xy 142.344031 96.88891) + (xy 142.344031 96.888911) + (xy 142.37992 96.970473) + (xy 142.383333 96.97823) + (xy 142.398029 96.995713) + (xy 142.400157 96.998434) + (xy 142.402292 97.002625) + (xy 142.410081 97.010414) + (xy 142.41373 97.014392) + (xy 142.453546 97.061759) + (xy 142.462127 97.071968) + (xy 142.485399 97.087459) + (xy 142.491836 97.092733) + (xy 142.493899 97.094232) + (xy 142.497375 97.097708) + (xy 142.501753 97.099939) + (xy 142.501756 97.099941) + (xy 142.50873 97.103494) + (xy 142.514977 97.107148) + (xy 142.564064 97.139823) + (xy 142.568313 97.141151) + (xy 142.568314 97.141151) + (xy 142.600296 97.151143) + (xy 142.610027 97.155109) + (xy 142.612799 97.156521) + (xy 142.612803 97.156522) + (xy 142.617187 97.158756) + (xy 142.627852 97.160445) + (xy 142.636713 97.16252) + (xy 142.676696 97.175012) + (xy 142.676699 97.175012) + (xy 142.680948 97.17634) + (xy 142.730069 97.177241) + (xy 142.738683 97.177999) + (xy 142.74514 97.179022) + (xy 142.745144 97.179022) + (xy 142.75 97.179791) + (xy 142.756947 97.17869) + (xy 142.767853 97.177933) + (xy 142.803383 97.178584) + (xy 142.863532 97.162185) + (xy 142.87019 97.160755) + (xy 142.872147 97.160445) + (xy 142.882813 97.158756) + (xy 142.887196 97.156522) + (xy 142.891875 97.155002) + (xy 142.891878 97.15501) + (xy 142.896727 97.153136) + (xy 142.921527 97.146375) + (xy 142.932824 97.139439) + (xy 142.989709 97.104511) + (xy 142.994037 97.102085) + (xy 142.99824 97.099944) + (xy 142.998248 97.099938) + (xy 143.002625 97.097708) + (xy 143.005427 97.094906) + (xy 143.006264 97.094346) + (xy 143.006741 97.094053) + (xy 143.025881 97.082301) + (xy 143.108058 96.991513) + (xy 143.115454 96.976248) + (xy 143.151198 96.944458) + (xy 143.1717 96.941) + (xy 145.326187 96.941) + (xy 145.370381 96.959306) + (xy 145.379248 96.970473) + (xy 145.38154 96.974155) + (xy 145.383333 96.97823) + (xy 145.398029 96.995713) + (xy 145.400157 96.998434) + (xy 145.402292 97.002625) + (xy 145.410081 97.010414) + (xy 145.41373 97.014392) + (xy 145.453546 97.061759) + (xy 145.462127 97.071968) + (xy 145.485399 97.087459) + (xy 145.491836 97.092733) + (xy 145.493899 97.094232) + (xy 145.497375 97.097708) + (xy 145.501753 97.099939) + (xy 145.501756 97.099941) + (xy 145.50873 97.103494) + (xy 145.514977 97.107148) + (xy 145.564064 97.139823) + (xy 145.568313 97.141151) + (xy 145.568314 97.141151) + (xy 145.600296 97.151143) + (xy 145.610027 97.155109) + (xy 145.612799 97.156521) + (xy 145.612803 97.156522) + (xy 145.617187 97.158756) + (xy 145.627852 97.160445) + (xy 145.636713 97.16252) + (xy 145.676696 97.175012) + (xy 145.676699 97.175012) + (xy 145.680948 97.17634) + (xy 145.730069 97.177241) + (xy 145.738683 97.177999) + (xy 145.74514 97.179022) + (xy 145.745144 97.179022) + (xy 145.75 97.179791) + (xy 145.756947 97.17869) + (xy 145.767853 97.177933) + (xy 145.803383 97.178584) + (xy 145.863532 97.162185) + (xy 145.87019 97.160755) + (xy 145.872147 97.160445) + (xy 145.882813 97.158756) + (xy 145.887196 97.156522) + (xy 145.891875 97.155002) + (xy 145.891878 97.15501) + (xy 145.896727 97.153136) + (xy 145.921527 97.146375) + (xy 145.932824 97.139439) + (xy 145.989709 97.104511) + (xy 145.994037 97.102085) + (xy 145.99824 97.099944) + (xy 145.998248 97.099938) + (xy 146.002625 97.097708) + (xy 146.005427 97.094906) + (xy 146.006264 97.094346) + (xy 146.006741 97.094053) + (xy 146.025881 97.082301) + (xy 146.108058 96.991513) + (xy 146.161451 96.881311) + (xy 146.163317 96.870224) + (xy 146.177268 96.787296) + (xy 146.181767 96.760552) + (xy 146.181896 96.75) + (xy 146.164536 96.628781) + (xy 146.113852 96.517307) + (xy 146.099199 96.500302) + (xy 146.097708 96.497375) + (xy 146.0919 96.491567) + (xy 146.088746 96.48817) + (xy 146.036826 96.427914) + (xy 146.033918 96.424539) + (xy 146.013741 96.411461) + (xy 146.010155 96.408559) + (xy 146.010081 96.408661) + (xy 146.006106 96.405773) + (xy 146.002625 96.402292) + (xy 145.991343 96.396543) + (xy 145.985736 96.393309) + (xy 145.973408 96.385318) + (xy 145.967225 96.381311) + (xy 145.934893 96.360354) + (xy 145.93489 96.360353) + (xy 145.93116 96.357935) + (xy 145.926901 96.356661) + (xy 145.926898 96.35666) + (xy 145.908345 96.351112) + (xy 145.898839 96.348269) + (xy 145.888377 96.344079) + (xy 145.882813 96.341244) + (xy 145.871067 96.339384) + (xy 145.862951 96.337536) + (xy 145.858163 96.336104) + (xy 145.813838 96.322848) + (xy 145.769496 96.322577) + (xy 145.760103 96.321809) + (xy 145.754857 96.320978) + (xy 145.754856 96.320978) + (xy 145.75 96.320209) + (xy 145.741373 96.321576) + (xy 145.73122 96.322344) + (xy 145.712836 96.322231) + (xy 145.695838 96.322127) + (xy 145.695836 96.322127) + (xy 145.691385 96.3221) + (xy 145.637011 96.337641) + (xy 145.629623 96.339275) + (xy 145.617187 96.341244) + (xy 145.612804 96.343477) + (xy 145.61187 96.343781) + (xy 145.602155 96.347603) + (xy 145.577929 96.354526) + (xy 145.577927 96.354527) + (xy 145.573644 96.355751) + (xy 145.514119 96.393309) + (xy 145.512819 96.394129) + (xy 145.507842 96.396959) + (xy 145.501757 96.400059) + (xy 145.501756 96.40006) + (xy 145.497375 96.402292) + (xy 145.493897 96.40577) + (xy 145.492445 96.406825) + (xy 145.489058 96.40912) + (xy 145.47008 96.421095) + (xy 145.467137 96.424427) + (xy 145.467135 96.424429) + (xy 145.407156 96.492342) + (xy 145.406032 96.493538) + (xy 145.405775 96.493892) + (xy 145.405554 96.494113) + (xy 145.405405 96.494204) + (xy 145.404504 96.495163) + (xy 145.402292 96.497375) + (xy 145.401509 96.496592) + (xy 145.400362 96.497296) + (xy 145.401721 96.498496) + (xy 145.395002 96.506104) + (xy 145.389018 96.512879) + (xy 145.387127 96.516906) + (xy 145.387126 96.516908) + (xy 145.384237 96.523062) + (xy 145.348884 96.555287) + (xy 145.327662 96.559) + (xy 143.173049 96.559) + (xy 143.128855 96.540694) + (xy 143.116156 96.522373) + (xy 143.115695 96.52136) + (xy 143.115693 96.521357) + (xy 143.113852 96.517307) + (xy 143.099199 96.500302) + (xy 143.097708 96.497375) + (xy 143.0919 96.491567) + (xy 143.088746 96.48817) + (xy 143.036826 96.427914) + (xy 143.033918 96.424539) + (xy 143.013741 96.411461) + (xy 143.010155 96.408559) + (xy 143.010081 96.408661) + (xy 143.006106 96.405773) + (xy 143.002625 96.402292) + (xy 142.991343 96.396543) + (xy 142.985736 96.393309) + (xy 142.973408 96.385318) + (xy 142.967225 96.381311) + (xy 142.934893 96.360354) + (xy 142.93489 96.360353) + (xy 142.93116 96.357935) + (xy 142.926901 96.356661) + (xy 142.926898 96.35666) + (xy 142.908345 96.351112) + (xy 142.898839 96.348269) + (xy 142.888377 96.344079) + (xy 142.882813 96.341244) + (xy 142.871067 96.339384) + (xy 142.862951 96.337536) + (xy 142.858163 96.336104) + (xy 142.813838 96.322848) + (xy 142.769496 96.322577) + (xy 142.760103 96.321809) + (xy 142.754857 96.320978) + (xy 142.754856 96.320978) + (xy 142.75 96.320209) + (xy 142.741373 96.321576) + (xy 142.73122 96.322344) + (xy 142.712836 96.322231) + (xy 142.695838 96.322127) + (xy 142.695836 96.322127) + (xy 142.691385 96.3221) + (xy 142.637011 96.337641) + (xy 142.629623 96.339275) + (xy 142.617187 96.341244) + (xy 142.612804 96.343477) + (xy 142.61187 96.343781) + (xy 142.602155 96.347603) + (xy 142.577929 96.354526) + (xy 142.577927 96.354527) + (xy 142.573644 96.355751) + (xy 142.514119 96.393309) + (xy 142.512819 96.394129) + (xy 142.507842 96.396959) + (xy 142.501757 96.400059) + (xy 142.501756 96.40006) + (xy 142.497375 96.402292) + (xy 142.493897 96.40577) + (xy 142.492445 96.406825) + (xy 142.489058 96.40912) + (xy 142.47008 96.421095) + (xy 142.467137 96.424427) + (xy 142.467135 96.424429) + (xy 142.407156 96.492342) + (xy 142.406032 96.493538) + (xy 142.405775 96.493892) + (xy 142.405554 96.494113) + (xy 142.405405 96.494204) + (xy 142.404504 96.495163) + (xy 142.402292 96.497375) + (xy 142.401509 96.496592) + (xy 142.400362 96.497296) + (xy 142.401721 96.498496) + (xy 142.395002 96.506104) + (xy 142.389018 96.512879) + (xy 142.386939 96.517307) + (xy 142.338868 96.619695) + (xy 142.338867 96.619698) + (xy 142.336976 96.623726) + (xy 142.318136 96.744724) + (xy 132.879501 96.744724) + (xy 132.879501 94.781083) + (xy 138.148001 94.781083) + (xy 138.148333 94.785614) + (xy 138.157478 94.847743) + (xy 138.160326 94.85691) + (xy 138.207556 94.953105) + (xy 138.213484 94.961387) + (xy 138.289111 95.036883) + (xy 138.297406 95.042799) + (xy 138.393687 95.089863) + (xy 138.402851 95.092695) + (xy 138.464391 95.101672) + (xy 138.468904 95.102) + (xy 138.674069 95.102) + (xy 138.682859 95.098359) + (xy 138.6865 95.089569) + (xy 138.6865 95.089568) + (xy 138.8135 95.089568) + (xy 138.817141 95.098358) + (xy 138.825931 95.101999) + (xy 139.031083 95.101999) + (xy 139.035614 95.101667) + (xy 139.097743 95.092522) + (xy 139.10691 95.089674) + (xy 139.203105 95.042444) + (xy 139.211387 95.036516) + (xy 139.286883 94.960889) + (xy 139.292799 94.952594) + (xy 139.339863 94.856313) + (xy 139.342695 94.847149) + (xy 139.351672 94.785609) + (xy 139.352 94.781096) + (xy 139.352 94.600931) + (xy 139.348359 94.592141) + (xy 139.339569 94.5885) + (xy 138.825931 94.5885) + (xy 138.817141 94.592141) + (xy 138.8135 94.600931) + (xy 138.8135 95.089568) + (xy 138.6865 95.089568) + (xy 138.6865 94.600931) + (xy 138.682859 94.592141) + (xy 138.674069 94.5885) + (xy 138.160432 94.5885) + (xy 138.151642 94.592141) + (xy 138.148001 94.600931) + (xy 138.148001 94.781083) + (xy 132.879501 94.781083) + (xy 132.879501 94.465153) + (xy 132.880751 94.457814) + (xy 132.880024 94.457731) + (xy 132.880821 94.45074) + (xy 132.881408 94.449069) + (xy 138.148 94.449069) + (xy 138.151641 94.457859) + (xy 138.160431 94.4615) + (xy 138.674069 94.4615) + (xy 138.682859 94.457859) + (xy 138.6865 94.449069) + (xy 138.8135 94.449069) + (xy 138.817141 94.457859) + (xy 138.825931 94.4615) + (xy 139.339568 94.4615) + (xy 139.348358 94.457859) + (xy 139.351999 94.449069) + (xy 139.351999 94.268917) + (xy 139.351667 94.264386) + (xy 139.342522 94.202257) + (xy 139.339674 94.19309) + (xy 139.292444 94.096895) + (xy 139.286516 94.088613) + (xy 139.210889 94.013117) + (xy 139.202594 94.007201) + (xy 139.106313 93.960137) + (xy 139.097149 93.957305) + (xy 139.035609 93.948328) + (xy 139.031096 93.948) + (xy 138.825931 93.948) + (xy 138.817141 93.951641) + (xy 138.8135 93.960431) + (xy 138.8135 94.449069) + (xy 138.6865 94.449069) + (xy 138.6865 93.960432) + (xy 138.682859 93.951642) + (xy 138.674069 93.948001) + (xy 138.468917 93.948001) + (xy 138.464386 93.948333) + (xy 138.402257 93.957478) + (xy 138.39309 93.960326) + (xy 138.296895 94.007556) + (xy 138.288613 94.013484) + (xy 138.213117 94.089111) + (xy 138.207201 94.097406) + (xy 138.160137 94.193687) + (xy 138.157305 94.202851) + (xy 138.148328 94.264391) + (xy 138.148 94.268904) + (xy 138.148 94.449069) + (xy 132.881408 94.449069) + (xy 132.883153 94.444099) + (xy 132.880977 94.424539) + (xy 132.879884 94.414721) + (xy 132.879501 94.407809) + (xy 132.879501 94.400388) + (xy 132.878626 94.39655) + (xy 132.877924 94.393473) + (xy 132.876743 94.38649) + (xy 132.874294 94.364483) + (xy 132.873516 94.357492) + (xy 132.869783 94.351529) + (xy 132.868413 94.347596) + (xy 132.866599 94.343826) + (xy 132.865033 94.336962) + (xy 132.846855 94.31415) + (xy 132.842761 94.308367) + (xy 132.840785 94.305211) + (xy 132.84078 94.305205) + (xy 132.838905 94.30221) + (xy 132.833692 94.296997) + (xy 132.829007 94.291753) + (xy 132.815113 94.274317) + (xy 132.815112 94.274317) + (xy 132.810726 94.268812) + (xy 132.804387 94.265754) + (xy 132.798886 94.261363) + (xy 132.799312 94.260829) + (xy 132.793148 94.256453) + (xy 132.364685 93.82799) + (xy 132.346379 93.783796) + (xy 132.362542 93.741854) + (xy 132.405591 93.694293) + (xy 132.408579 93.690992) + (xy 132.461972 93.58079) + (xy 132.463042 93.574433) + (xy 132.479226 93.47823) + (xy 132.482288 93.460031) + (xy 132.482417 93.449479) + (xy 132.465057 93.32826) + (xy 132.414373 93.216786) + (xy 132.39972 93.199781) + (xy 132.398229 93.196854) + (xy 132.392421 93.191046) + (xy 132.389267 93.187649) + (xy 132.359062 93.152594) + (xy 132.334439 93.124018) + (xy 132.314262 93.11094) + (xy 132.310676 93.108038) + (xy 132.310602 93.10814) + (xy 132.306627 93.105252) + (xy 132.303146 93.101771) + (xy 132.291864 93.096022) + (xy 132.286257 93.092788) + (xy 132.281745 93.089863) + (xy 132.269437 93.081886) + (xy 132.235414 93.059833) + (xy 132.235411 93.059832) + (xy 132.231681 93.057414) + (xy 132.227422 93.05614) + (xy 132.227419 93.056139) + (xy 132.202616 93.048722) + (xy 132.19936 93.047748) + (xy 132.188898 93.043558) + (xy 132.183334 93.040723) + (xy 132.171588 93.038863) + (xy 132.163472 93.037015) + (xy 132.163031 93.036883) + (xy 132.114359 93.022327) + (xy 132.070017 93.022056) + (xy 132.060624 93.021288) + (xy 132.055378 93.020457) + (xy 132.055377 93.020457) + (xy 132.050521 93.019688) + (xy 132.041894 93.021055) + (xy 132.031741 93.021823) + (xy 132.013357 93.02171) + (xy 131.996359 93.021606) + (xy 131.996357 93.021606) + (xy 131.991906 93.021579) + (xy 131.937532 93.03712) + (xy 131.930144 93.038754) + (xy 131.917708 93.040723) + (xy 131.913325 93.042956) + (xy 131.912391 93.04326) + (xy 131.902677 93.047082) + (xy 131.900363 93.047743) + (xy 131.87845 93.054005) + (xy 131.878448 93.054006) + (xy 131.874165 93.05523) + (xy 131.81464 93.092788) + (xy 131.81334 93.093608) + (xy 131.808363 93.096438) + (xy 131.802278 93.099538) + (xy 131.802277 93.099539) + (xy 131.797896 93.101771) + (xy 131.794418 93.105249) + (xy 131.792966 93.106304) + (xy 131.789579 93.108599) + (xy 131.770601 93.120574) + (xy 131.767658 93.123906) + (xy 131.767656 93.123908) + (xy 131.707677 93.191821) + (xy 131.706553 93.193017) + (xy 131.706296 93.193371) + (xy 131.706075 93.193592) + (xy 131.705926 93.193683) + (xy 131.705025 93.194642) + (xy 131.702813 93.196854) + (xy 131.70203 93.196071) + (xy 131.700883 93.196775) + (xy 131.702242 93.197975) + (xy 131.689539 93.212358) + (xy 131.687648 93.216386) + (xy 131.639389 93.319174) + (xy 131.639388 93.319177) + (xy 131.637497 93.323205) + (xy 131.618657 93.444203) + (xy 131.619234 93.448616) + (xy 131.619234 93.448617) + (xy 131.634535 93.565624) + (xy 131.632604 93.565877) + (xy 131.627662 93.606725) + (xy 131.590019 93.636242) + (xy 131.555302 93.635925) + (xy 131.535608 93.630035) + (xy 131.513317 93.623369) + (xy 131.468975 93.623098) + (xy 131.459582 93.62233) + (xy 131.454336 93.621499) + (xy 131.454335 93.621499) + (xy 131.449479 93.62073) + (xy 131.440852 93.622097) + (xy 131.430699 93.622865) + (xy 131.412315 93.622752) + (xy 131.395317 93.622648) + (xy 131.395315 93.622648) + (xy 131.390864 93.622621) + (xy 131.33649 93.638162) + (xy 131.329102 93.639796) + (xy 131.316666 93.641765) + (xy 131.312283 93.643998) + (xy 131.311349 93.644302) + (xy 131.301634 93.648124) + (xy 131.277408 93.655047) + (xy 131.277406 93.655048) + (xy 131.273123 93.656272) + (xy 131.269354 93.65865) + (xy 131.253101 93.668905) + (xy 131.218096 93.690992) + (xy 131.212298 93.69465) + (xy 131.207324 93.697478) + (xy 131.206638 93.697828) + (xy 131.201236 93.70058) + (xy 131.201235 93.700581) + (xy 131.196854 93.702813) + (xy 131.193376 93.706291) + (xy 131.191924 93.707346) + (xy 131.188537 93.709641) + (xy 131.169559 93.721616) + (xy 131.166616 93.724948) + (xy 131.166614 93.72495) + (xy 131.106635 93.792863) + (xy 131.105511 93.794059) + (xy 131.105253 93.794414) + (xy 131.105033 93.794634) + (xy 131.104884 93.794725) + (xy 131.103983 93.795684) + (xy 131.101771 93.797896) + (xy 131.100988 93.797113) + (xy 131.099841 93.797817) + (xy 131.1012 93.799017) + (xy 131.088497 93.8134) + (xy 131.086606 93.817428) + (xy 131.038347 93.920216) + (xy 131.038346 93.920219) + (xy 131.036455 93.924247) + (xy 131.017615 94.045245) + (xy 131.018192 94.049658) + (xy 131.018192 94.049659) + (xy 131.019242 94.057688) + (xy 131.033493 94.166666) + (xy 131.040664 94.182964) + (xy 131.040723 94.183334) + (xy 131.041486 94.184832) + (xy 131.04351 94.189431) + (xy 131.04351 94.189432) + (xy 131.078439 94.268812) + (xy 131.082812 94.278751) + (xy 131.093741 94.291753) + (xy 131.097508 94.296234) + (xy 131.099636 94.298955) + (xy 131.101771 94.303146) + (xy 131.10956 94.310935) + (xy 131.113209 94.314913) + (xy 131.151406 94.360354) + (xy 131.161606 94.372489) + (xy 131.184878 94.38798) + (xy 131.191315 94.393254) + (xy 131.193378 94.394753) + (xy 131.196854 94.398229) + (xy 131.201232 94.40046) + (xy 131.201235 94.400462) + (xy 131.208209 94.404015) + (xy 131.214456 94.407669) + (xy 131.263543 94.440344) + (xy 131.267792 94.441672) + (xy 131.267793 94.441672) + (xy 131.299775 94.451664) + (xy 131.309506 94.45563) + (xy 131.312278 94.457042) + (xy 131.312282 94.457043) + (xy 131.316666 94.459277) + (xy 131.327331 94.460966) + (xy 131.336192 94.463041) + (xy 131.376175 94.475533) + (xy 131.376178 94.475533) + (xy 131.380427 94.476861) + (xy 131.429548 94.477762) + (xy 131.438162 94.47852) + (xy 131.444619 94.479543) + (xy 131.444623 94.479543) + (xy 131.449479 94.480312) + (xy 131.456426 94.479211) + (xy 131.467332 94.478454) + (xy 131.502862 94.479105) + (xy 131.563011 94.462706) + (xy 131.569669 94.461276) + (xy 131.573344 94.460694) + (xy 131.582292 94.459277) + (xy 131.586675 94.457043) + (xy 131.591354 94.455523) + (xy 131.591357 94.455531) + (xy 131.596206 94.453657) + (xy 131.621006 94.446896) + (xy 131.625562 94.444099) + (xy 131.689188 94.405032) + (xy 131.693516 94.402606) + (xy 131.697719 94.400465) + (xy 131.697727 94.400459) + (xy 131.702104 94.398229) + (xy 131.704906 94.395427) + (xy 131.705743 94.394867) + (xy 131.72536 94.382822) + (xy 131.738655 94.368133) + (xy 131.781882 94.347652) + (xy 131.829186 94.365881) + (xy 132.102193 94.638888) + (xy 132.120499 94.683082) + (xy 132.120499 97.615667) + (xy 132.102193 97.659861) + (xy 132.057999 97.678167) + (xy 132.024004 97.668113) + (xy 132.01374 97.66146) + (xy 132.010155 97.658559) + (xy 132.010081 97.658661) + (xy 132.006106 97.655773) + (xy 132.002625 97.652292) + (xy 131.991343 97.646543) + (xy 131.985736 97.643309) + (xy 131.934893 97.610354) + (xy 131.93489 97.610353) + (xy 131.93116 97.607935) + (xy 131.926901 97.606661) + (xy 131.926898 97.60666) + (xy 131.908345 97.601112) + (xy 131.898839 97.598269) + (xy 131.888377 97.594079) + (xy 131.882813 97.591244) + (xy 131.871067 97.589384) + (xy 131.862951 97.587536) + (xy 131.847369 97.582876) + (xy 131.813838 97.572848) + (xy 131.769496 97.572577) + (xy 131.760103 97.571809) + (xy 131.754857 97.570978) + (xy 131.754856 97.570978) + (xy 131.75 97.570209) + (xy 131.741373 97.571576) + (xy 131.73122 97.572344) + (xy 131.712836 97.572231) + (xy 131.695838 97.572127) + (xy 131.695836 97.572127) + (xy 131.691385 97.5721) + (xy 131.637011 97.587641) + (xy 131.629623 97.589275) + (xy 131.617187 97.591244) + (xy 131.612804 97.593477) + (xy 131.61187 97.593781) + (xy 131.602155 97.597603) + (xy 131.577929 97.604526) + (xy 131.577927 97.604527) + (xy 131.573644 97.605751) + (xy 131.514119 97.643309) + (xy 131.512819 97.644129) + (xy 131.507842 97.646959) + (xy 131.501757 97.650059) + (xy 131.501756 97.65006) + (xy 131.497375 97.652292) + (xy 131.493897 97.65577) + (xy 131.492445 97.656825) + (xy 131.489058 97.65912) + (xy 131.47008 97.671095) + (xy 131.467137 97.674427) + (xy 131.467135 97.674429) + (xy 131.407156 97.742342) + (xy 131.406032 97.743538) + (xy 131.405774 97.743893) + (xy 131.405554 97.744113) + (xy 131.405405 97.744204) + (xy 131.404504 97.745163) + (xy 131.402292 97.747375) + (xy 131.401509 97.746592) + (xy 131.400362 97.747296) + (xy 131.401721 97.748496) + (xy 131.389018 97.762879) + (xy 131.387127 97.766906) + (xy 131.387126 97.766908) + (xy 131.384237 97.773062) + (xy 131.348884 97.805287) + (xy 131.327662 97.809) + (xy 131.105003 97.809) + (xy 131.060809 97.790694) + (xy 130.415628 97.145513) + (xy 130.411323 97.139439) + (xy 130.41075 97.139895) + (xy 130.406369 97.134387) + (xy 130.403322 97.128042) + (xy 130.380239 97.109582) + (xy 130.37508 97.104965) + (xy 130.369831 97.099716) + (xy 130.363828 97.095943) + (xy 130.358052 97.091838) + (xy 130.335267 97.073617) + (xy 130.328407 97.07204) + (xy 130.324647 97.070222) + (xy 130.320711 97.068844) + (xy 130.314752 97.065098) + (xy 130.307758 97.064307) + (xy 130.307757 97.064307) + (xy 130.285764 97.061821) + (xy 130.278787 97.060629) + (xy 130.271703 97.059) + (xy 130.264329 97.059) + (xy 130.257308 97.058604) + (xy 130.255627 97.058414) + (xy 130.228162 97.055309) + (xy 130.221517 97.05763) + (xy 130.214523 97.058414) + (xy 130.214447 97.057736) + (xy 130.206995 97.059) + (xy 129.293234 97.059) + (xy 129.285893 97.057747) + (xy 129.28581 97.058476) + (xy 129.278819 97.057679) + (xy 129.272178 97.055347) + (xy 129.265186 97.056125) + (xy 129.265184 97.056125) + (xy 129.245664 97.058298) + (xy 129.242912 97.058604) + (xy 129.242795 97.058617) + (xy 129.235882 97.059) + (xy 129.228467 97.059) + (xy 129.221538 97.06058) + (xy 129.214595 97.061755) + (xy 129.185572 97.064985) + (xy 129.179606 97.06872) + (xy 129.175667 97.070092) + (xy 129.171904 97.071903) + (xy 129.165041 97.073468) + (xy 129.147488 97.087456) + (xy 129.142227 97.091648) + (xy 129.136441 97.095744) + (xy 129.133285 97.09772) + (xy 129.130289 97.099596) + (xy 129.125076 97.104809) + (xy 129.119832 97.109494) + (xy 129.109689 97.117577) + (xy 129.096891 97.127775) + (xy 129.093833 97.134114) + (xy 129.089442 97.139615) + (xy 129.088908 97.139189) + (xy 129.084532 97.145353) + (xy 126.939191 99.290694) + (xy 126.894997 99.309) + (xy 125.173049 99.309) + (xy 125.128855 99.290694) + (xy 125.116156 99.272373) + (xy 125.115695 99.27136) + (xy 125.115694 99.271359) + (xy 125.113852 99.267307) + (xy 125.099199 99.250302) + (xy 125.097708 99.247375) + (xy 125.0919 99.241567) + (xy 125.088746 99.23817) + (xy 125.036826 99.177914) + (xy 125.033918 99.174539) + (xy 125.013741 99.161461) + (xy 125.010155 99.158559) + (xy 125.010081 99.158661) + (xy 125.006106 99.155773) + (xy 125.002625 99.152292) + (xy 124.991343 99.146543) + (xy 124.985736 99.143309) + (xy 124.985399 99.14309) + (xy 124.963322 99.128781) + (xy 124.934893 99.110354) + (xy 124.93489 99.110353) + (xy 124.93116 99.107935) + (xy 124.926901 99.106661) + (xy 124.926898 99.10666) + (xy 124.908345 99.101112) + (xy 124.898839 99.098269) + (xy 124.888377 99.094079) + (xy 124.882813 99.091244) + (xy 124.871067 99.089384) + (xy 124.862951 99.087536) + (xy 124.813838 99.072848) + (xy 124.769496 99.072577) + (xy 124.760103 99.071809) + (xy 124.754857 99.070978) + (xy 124.754856 99.070978) + (xy 124.75 99.070209) + (xy 124.741373 99.071576) + (xy 124.73122 99.072344) + (xy 124.712836 99.072231) + (xy 124.695838 99.072127) + (xy 124.695836 99.072127) + (xy 124.691385 99.0721) + (xy 124.637011 99.087641) + (xy 124.629623 99.089275) + (xy 124.617187 99.091244) + (xy 124.612804 99.093477) + (xy 124.61187 99.093781) + (xy 124.602155 99.097603) + (xy 124.577929 99.104526) + (xy 124.577927 99.104527) + (xy 124.573644 99.105751) + (xy 124.51352 99.143687) + (xy 124.512819 99.144129) + (xy 124.507842 99.146959) + (xy 124.501757 99.150059) + (xy 124.501756 99.15006) + (xy 124.497375 99.152292) + (xy 124.493897 99.15577) + (xy 124.492445 99.156825) + (xy 124.489058 99.15912) + (xy 124.47008 99.171095) + (xy 124.467137 99.174427) + (xy 124.467135 99.174429) + (xy 124.407156 99.242342) + (xy 124.406032 99.243538) + (xy 124.405775 99.243892) + (xy 124.405554 99.244113) + (xy 124.405405 99.244204) + (xy 124.404504 99.245163) + (xy 124.402292 99.247375) + (xy 124.401509 99.246592) + (xy 124.400362 99.247296) + (xy 124.401721 99.248496) + (xy 124.389018 99.262879) + (xy 124.387127 99.266907) + (xy 124.338868 99.369695) + (xy 124.338867 99.369698) + (xy 124.336976 99.373726) + (xy 124.318136 99.494724) + (xy 119.691 99.494724) + (xy 119.691 98.169384) + (xy 119.709306 98.12519) + (xy 119.720797 98.116123) + (xy 119.739712 98.104509) + (xy 119.744034 98.102085) + (xy 119.752625 98.097708) + (xy 119.755427 98.094906) + (xy 119.756264 98.094346) + (xy 119.758891 98.092733) + (xy 119.775881 98.082301) + (xy 119.858058 97.991513) + (xy 119.911451 97.881311) + (xy 119.913317 97.870224) + (xy 119.929662 97.773062) + (xy 119.931767 97.760552) + (xy 119.931896 97.75) + (xy 119.914536 97.628781) + (xy 119.863852 97.517307) + (xy 119.849199 97.500302) + (xy 119.847708 97.497375) + (xy 119.8419 97.491567) + (xy 119.838746 97.48817) + (xy 119.786826 97.427914) + (xy 119.783918 97.424539) + (xy 119.763741 97.411461) + (xy 119.760155 97.408559) + (xy 119.760081 97.408661) + (xy 119.756106 97.405773) + (xy 119.752625 97.402292) + (xy 119.741343 97.396543) + (xy 119.735736 97.393309) + (xy 119.722686 97.38485) + (xy 119.70056 97.370509) + (xy 119.684893 97.360354) + (xy 119.68489 97.360353) + (xy 119.68116 97.357935) + (xy 119.676901 97.356661) + (xy 119.676898 97.35666) + (xy 119.658345 97.351112) + (xy 119.648839 97.348269) + (xy 119.638377 97.344079) + (xy 119.632813 97.341244) + (xy 119.621067 97.339384) + (xy 119.612951 97.337536) + (xy 119.563838 97.322848) + (xy 119.519496 97.322577) + (xy 119.510103 97.321809) + (xy 119.504857 97.320978) + (xy 119.504856 97.320978) + (xy 119.5 97.320209) + (xy 119.491373 97.321576) + (xy 119.48122 97.322344) + (xy 119.462836 97.322231) + (xy 119.445838 97.322127) + (xy 119.445836 97.322127) + (xy 119.441385 97.3221) + (xy 119.387011 97.337641) + (xy 119.379623 97.339275) + (xy 119.367187 97.341244) + (xy 119.362804 97.343477) + (xy 119.36187 97.343781) + (xy 119.352155 97.347603) + (xy 119.327929 97.354526) + (xy 119.327927 97.354527) + (xy 119.323644 97.355751) + (xy 119.264119 97.393309) + (xy 119.262819 97.394129) + (xy 119.257842 97.396959) + (xy 119.251757 97.400059) + (xy 119.251756 97.40006) + (xy 119.247375 97.402292) + (xy 119.243897 97.40577) + (xy 119.242445 97.406825) + (xy 119.239058 97.40912) + (xy 119.22008 97.421095) + (xy 119.217137 97.424427) + (xy 119.217135 97.424429) + (xy 119.157156 97.492342) + (xy 119.156032 97.493538) + (xy 119.155775 97.493892) + (xy 119.155554 97.494113) + (xy 119.155405 97.494204) + (xy 119.154504 97.495163) + (xy 119.152292 97.497375) + (xy 119.151509 97.496592) + (xy 119.150362 97.497296) + (xy 119.151721 97.498496) + (xy 119.139018 97.512879) + (xy 119.137127 97.516907) + (xy 119.088868 97.619695) + (xy 119.088867 97.619698) + (xy 119.086976 97.623726) + (xy 119.068136 97.744724) + (xy 119.068713 97.749137) + (xy 119.068713 97.749138) + (xy 119.083247 97.860283) + (xy 119.084014 97.866145) + (xy 119.091185 97.882443) + (xy 119.091244 97.882813) + (xy 119.092007 97.884311) + (xy 119.133333 97.97823) + (xy 119.144775 97.991842) + (xy 119.148029 97.995713) + (xy 119.150157 97.998434) + (xy 119.152292 98.002625) + (xy 119.160081 98.010414) + (xy 119.163729 98.014391) + (xy 119.212127 98.071968) + (xy 119.235399 98.087459) + (xy 119.241836 98.092733) + (xy 119.243899 98.094232) + (xy 119.247375 98.097708) + (xy 119.251753 98.099939) + (xy 119.251756 98.099941) + (xy 119.25873 98.103494) + (xy 119.264983 98.107152) + (xy 119.27846 98.116123) + (xy 119.281134 98.117903) + (xy 119.307778 98.157631) + (xy 119.309 98.169929) + (xy 119.309 102.580525) + (xy 119.290694 102.624719) + (xy 119.279855 102.63338) + (xy 119.26281 102.644135) + (xy 119.257842 102.646959) + (xy 119.251757 102.650059) + (xy 119.251756 102.65006) + (xy 119.247375 102.652292) + (xy 119.243897 102.65577) + (xy 119.242445 102.656825) + (xy 119.239058 102.65912) + (xy 119.22008 102.671095) + (xy 119.217137 102.674427) + (xy 119.217135 102.674429) + (xy 119.157156 102.742342) + (xy 119.156032 102.743538) + (xy 119.155775 102.743892) + (xy 119.155554 102.744113) + (xy 119.155405 102.744204) + (xy 119.154504 102.745163) + (xy 119.152292 102.747375) + (xy 119.151509 102.746592) + (xy 119.150362 102.747296) + (xy 119.151721 102.748496) + (xy 119.139018 102.762879) + (xy 119.137127 102.766906) + (xy 119.137126 102.766908) + (xy 119.134237 102.773062) + (xy 119.098884 102.805287) + (xy 119.077662 102.809) + (xy 119.043234 102.809) + (xy 119.035893 102.807747) + (xy 119.03581 102.808476) + (xy 119.028819 102.807679) + (xy 119.022178 102.805347) + (xy 119.015185 102.806125) + (xy 119.015184 102.806125) + (xy 118.992794 102.808617) + (xy 118.985881 102.809) + (xy 118.978467 102.809) + (xy 118.971544 102.810579) + (xy 118.964591 102.811755) + (xy 118.935571 102.814985) + (xy 118.929607 102.818719) + (xy 118.92567 102.82009) + (xy 118.921904 102.821903) + (xy 118.915041 102.823468) + (xy 118.909535 102.827855) + (xy 118.909536 102.827855) + (xy 118.892229 102.841646) + (xy 118.886446 102.84574) + (xy 118.88329 102.847716) + (xy 118.883284 102.847721) + (xy 118.880289 102.849596) + (xy 118.875076 102.854809) + (xy 118.869832 102.859494) + (xy 118.846891 102.877775) + (xy 118.843833 102.884114) + (xy 118.839442 102.889615) + (xy 118.838908 102.889189) + (xy 118.834532 102.895353) + (xy 118.395513 103.334372) + (xy 118.389439 103.338677) + (xy 118.389895 103.33925) + (xy 118.384387 103.343631) + (xy 118.378042 103.346678) + (xy 118.373646 103.352175) + (xy 118.359582 103.369761) + (xy 118.354965 103.37492) + (xy 118.349716 103.380169) + (xy 118.347847 103.383143) + (xy 118.345943 103.386172) + (xy 118.341838 103.391948) + (xy 118.323617 103.414733) + (xy 118.32204 103.421593) + (xy 118.320222 103.425353) + (xy 118.318844 103.429289) + (xy 118.315098 103.435248) + (xy 118.314307 103.442242) + (xy 118.314307 103.442243) + (xy 118.311821 103.464236) + (xy 118.310629 103.471213) + (xy 118.309 103.478297) + (xy 118.309 103.485671) + (xy 118.308604 103.492692) + (xy 118.305309 103.521838) + (xy 99.3 103.521838) + (xy 99.3 103.3625) + (xy 99.318306 103.318306) + (xy 99.3625 103.3) + (xy 100.594123 103.3) + (xy 100.638411 103.300039) + (xy 100.638412 103.300039) + (xy 100.645449 103.300045) + (xy 100.651794 103.296998) + (xy 100.651795 103.296998) + (xy 100.660034 103.293042) + (xy 100.673188 103.288448) + (xy 100.673776 103.288314) + (xy 100.688962 103.28485) + (xy 100.701435 103.274911) + (xy 100.713329 103.26745) + (xy 100.727705 103.260547) + (xy 100.732102 103.255049) + (xy 100.737813 103.247908) + (xy 100.747673 103.238065) + (xy 100.760323 103.227985) + (xy 100.76338 103.221648) + (xy 100.763382 103.221645) + (xy 100.767251 103.213622) + (xy 100.774735 103.201738) + (xy 100.780298 103.194782) + (xy 100.784694 103.189285) + (xy 100.786271 103.182428) + (xy 100.786273 103.182423) + (xy 100.788322 103.173511) + (xy 100.792938 103.160366) + (xy 100.792957 103.160326) + (xy 100.799965 103.145798) + (xy 100.799985 103.122799) + (xy 100.799986 103.122783) + (xy 100.8 103.122723) + (xy 100.8 103.105877) + (xy 100.800045 103.054551) + (xy 100.800007 103.054472) + (xy 100.8 103.054407) + (xy 100.8 100.3625) + (xy 100.818306 100.318306) + (xy 100.8625 100.3) + (xy 103.094123 100.3) + (xy 103.138411 100.300039) + (xy 103.138412 100.300039) + (xy 103.145449 100.300045) + (xy 103.151794 100.296998) + (xy 103.151795 100.296998) + (xy 103.160034 100.293042) + (xy 103.173188 100.288448) + (xy 103.173776 100.288314) + (xy 103.188962 100.28485) + (xy 103.201435 100.274911) + (xy 103.213329 100.26745) + (xy 103.227705 100.260547) + (xy 103.236829 100.249138) + (xy 103.237813 100.247908) + (xy 103.247673 100.238065) + (xy 103.260323 100.227985) + (xy 103.26338 100.221648) + (xy 103.263382 100.221645) + (xy 103.267251 100.213622) + (xy 103.274735 100.201738) + (xy 103.280298 100.194782) + (xy 103.284694 100.189285) + (xy 103.286271 100.182428) + (xy 103.286273 100.182423) + (xy 103.288322 100.173511) + (xy 103.292938 100.160366) + (xy 103.299965 100.145798) + (xy 103.299985 100.122799) + (xy 103.299986 100.122783) + (xy 103.3 100.122723) + (xy 103.3 100.105877) + (xy 103.300012 100.091835) + (xy 103.300045 100.054808) + (xy 103.300045 100.054551) + (xy 103.300007 100.054472) + (xy 103.3 100.054407) + (xy 103.3 98.605877) + (xy 103.300039 98.561589) + (xy 103.300039 98.561588) + (xy 103.300045 98.554551) + (xy 103.296998 98.548205) + (xy 103.293042 98.539966) + (xy 103.288448 98.526812) + (xy 103.286415 98.517901) + (xy 103.28485 98.511038) + (xy 103.276054 98.5) + (xy 103.274911 98.498565) + (xy 103.26745 98.486671) + (xy 103.263593 98.478639) + (xy 103.260547 98.472295) + (xy 103.255049 98.467898) + (xy 103.247908 98.462187) + (xy 103.238065 98.452327) + (xy 103.227985 98.439677) + (xy 103.221648 98.43662) + (xy 103.221645 98.436618) + (xy 103.213622 98.432749) + (xy 103.201738 98.425265) + (xy 103.194782 98.419702) + (xy 103.189285 98.415306) + (xy 103.182428 98.413729) + (xy 103.182423 98.413727) + (xy 103.173511 98.411678) + (xy 103.160366 98.407062) + (xy 103.145798 98.400035) + (xy 103.124114 98.400016) + (xy 103.122799 98.400015) + (xy 103.122783 98.400014) + (xy 103.122723 98.4) + (xy 103.105877 98.4) + (xy 103.054808 98.399955) + (xy 103.054551 98.399955) + (xy 103.054472 98.399993) + (xy 103.054407 98.4) + (xy 99.3625 98.4) + (xy 99.318306 98.381694) + (xy 99.3 98.3375) + (xy 99.3 96.893415) + (xy 99.626392 96.893415) + (xy 99.635958 96.984436) + (xy 99.637447 96.991207) + (xy 99.691375 97.149618) + (xy 99.694331 97.1559) + (xy 99.782009 97.298417) + (xy 99.786289 97.303896) + (xy 99.903359 97.423443) + (xy 99.908745 97.427836) + (xy 100.049396 97.51848) + (xy 100.055613 97.521566) + (xy 100.212854 97.578798) + (xy 100.219607 97.580431) + (xy 100.348804 97.596753) + (xy 100.352734 97.597) + (xy 100.974069 97.597) + (xy 100.982859 97.593359) + (xy 100.9865 97.584569) + (xy 101.1135 97.584569) + (xy 101.117141 97.593359) + (xy 101.125931 97.597) + (xy 101.742089 97.597) + (xy 101.745567 97.596806) + (xy 101.86976 97.582876) + (xy 101.876538 97.581335) + (xy 102.034549 97.526309) + (xy 102.040822 97.523304) + (xy 102.182721 97.434636) + (xy 102.188167 97.430319) + (xy 102.306902 97.312411) + (xy 102.311248 97.307006) + (xy 102.400913 97.165716) + (xy 102.403952 97.159484) + (xy 102.460085 97.001844) + (xy 102.461672 96.995076) + (xy 102.473505 96.895844) + (xy 102.472822 96.893415) + (xy 103.601392 96.893415) + (xy 103.610958 96.984436) + (xy 103.612447 96.991207) + (xy 103.666375 97.149618) + (xy 103.669331 97.1559) + (xy 103.757009 97.298417) + (xy 103.761289 97.303896) + (xy 103.878359 97.423443) + (xy 103.883745 97.427836) + (xy 104.024396 97.51848) + (xy 104.030613 97.521566) + (xy 104.187854 97.578798) + (xy 104.194607 97.580431) + (xy 104.323804 97.596753) + (xy 104.327734 97.597) + (xy 104.799069 97.597) + (xy 104.807859 97.593359) + (xy 104.8115 97.584569) + (xy 104.9385 97.584569) + (xy 104.942141 97.593359) + (xy 104.950931 97.597) + (xy 105.417089 97.597) + (xy 105.420567 97.596806) + (xy 105.54476 97.582876) + (xy 105.551538 97.581335) + (xy 105.709549 97.526309) + (xy 105.715822 97.523304) + (xy 105.857721 97.434636) + (xy 105.863167 97.430319) + (xy 105.981902 97.312411) + (xy 105.986248 97.307006) + (xy 106.075913 97.165716) + (xy 106.078952 97.159484) + (xy 106.135085 97.001844) + (xy 106.136672 96.995076) + (xy 106.148505 96.895844) + (xy 106.145931 96.886685) + (xy 106.140255 96.8835) + (xy 104.950931 96.8835) + (xy 104.942141 96.887141) + (xy 104.9385 96.895931) + (xy 104.9385 97.584569) + (xy 104.8115 97.584569) + (xy 104.8115 96.895931) + (xy 104.807859 96.887141) + (xy 104.799069 96.8835) + (xy 103.612781 96.8835) + (xy 103.603991 96.887141) + (xy 103.601392 96.893415) + (xy 102.472822 96.893415) + (xy 102.470931 96.886685) + (xy 102.465255 96.8835) + (xy 101.125931 96.8835) + (xy 101.117141 96.887141) + (xy 101.1135 96.895931) + (xy 101.1135 97.584569) + (xy 100.9865 97.584569) + (xy 100.9865 96.895931) + (xy 100.982859 96.887141) + (xy 100.974069 96.8835) + (xy 99.637781 96.8835) + (xy 99.628991 96.887141) + (xy 99.626392 96.893415) + (xy 99.3 96.893415) + (xy 99.3 96.744156) + (xy 99.626495 96.744156) + (xy 99.629069 96.753315) + (xy 99.634745 96.7565) + (xy 100.974069 96.7565) + (xy 100.982859 96.752859) + (xy 100.9865 96.744069) + (xy 101.1135 96.744069) + (xy 101.117141 96.752859) + (xy 101.125931 96.7565) + (xy 102.462219 96.7565) + (xy 102.471009 96.752859) + (xy 102.473608 96.746585) + (xy 102.464042 96.655564) + (xy 102.462553 96.648793) + (xy 102.408625 96.490382) + (xy 102.405669 96.4841) + (xy 102.317991 96.341583) + (xy 102.313711 96.336104) + (xy 102.196641 96.216557) + (xy 102.191255 96.212164) + (xy 102.050604 96.12152) + (xy 102.044387 96.118434) + (xy 101.887146 96.061202) + (xy 101.880393 96.059569) + (xy 101.751196 96.043247) + (xy 101.747266 96.043) + (xy 101.125931 96.043) + (xy 101.117141 96.046641) + (xy 101.1135 96.055431) + (xy 101.1135 96.744069) + (xy 100.9865 96.744069) + (xy 100.9865 96.055431) + (xy 100.982859 96.046641) + (xy 100.974069 96.043) + (xy 100.357911 96.043) + (xy 100.354433 96.043194) + (xy 100.23024 96.057124) + (xy 100.223462 96.058665) + (xy 100.065451 96.113691) + (xy 100.059178 96.116696) + (xy 99.917279 96.205364) + (xy 99.911833 96.209681) + (xy 99.793098 96.327589) + (xy 99.788752 96.332994) + (xy 99.699087 96.474284) + (xy 99.696048 96.480516) + (xy 99.639915 96.638156) + (xy 99.638328 96.644924) + (xy 99.626495 96.744156) + (xy 99.3 96.744156) + (xy 99.3 95.663057) + (xy 103.5955 95.663057) + (xy 103.610905 95.775518) + (xy 103.612597 95.779429) + (xy 103.612598 95.779431) + (xy 103.616787 95.789111) + (xy 103.671242 95.914946) + (xy 103.76685 96.033013) + (xy 103.77032 96.035479) + (xy 103.770322 96.035481) + (xy 103.879981 96.113412) + (xy 103.905401 96.153934) + (xy 103.894721 96.200562) + (xy 103.887815 96.208705) + (xy 103.768102 96.327584) + (xy 103.763752 96.332994) + (xy 103.674087 96.474284) + (xy 103.671048 96.480516) + (xy 103.614915 96.638156) + (xy 103.613328 96.644924) + (xy 103.601495 96.744156) + (xy 103.604069 96.753315) + (xy 103.609745 96.7565) + (xy 104.799069 96.7565) + (xy 104.807859 96.752859) + (xy 104.8115 96.744069) + (xy 104.9385 96.744069) + (xy 104.942141 96.752859) + (xy 104.950931 96.7565) + (xy 106.137219 96.7565) + (xy 106.146009 96.752859) + (xy 106.148608 96.746585) + (xy 106.139042 96.655564) + (xy 106.137553 96.648793) + (xy 106.083625 96.490382) + (xy 106.080669 96.4841) + (xy 105.992991 96.341583) + (xy 105.988711 96.336104) + (xy 105.871641 96.216557) + (xy 105.866255 96.212164) + (xy 105.725604 96.12152) + (xy 105.719387 96.118434) + (xy 105.562146 96.061202) + (xy 105.555393 96.059569) + (xy 105.426196 96.043247) + (xy 105.422266 96.043) + (xy 104.950931 96.043) + (xy 104.942141 96.046641) + (xy 104.9385 96.055431) + (xy 104.9385 96.744069) + (xy 104.8115 96.744069) + (xy 104.8115 96.055431) + (xy 104.807859 96.046641) + (xy 104.799069 96.043) + (xy 104.655595 96.043) + (xy 104.611401 96.024694) + (xy 104.593095 95.9805) + (xy 104.602477 95.947565) + (xy 104.659076 95.856281) + (xy 104.659078 95.856278) + (xy 104.661323 95.852656) + (xy 104.703709 95.706765) + (xy 104.7045 95.695993) + (xy 104.7045 95.336943) + (xy 104.689095 95.224482) + (xy 104.628758 95.085054) + (xy 104.53315 94.966987) + (xy 104.52968 94.964521) + (xy 104.529678 94.964519) + (xy 104.416814 94.884311) + (xy 104.409313 94.87898) + (xy 104.324246 94.848354) + (xy 104.27038 94.828961) + (xy 104.270378 94.828961) + (xy 104.266371 94.827518) + (xy 104.244083 94.825881) + (xy 104.119107 94.816703) + (xy 104.119105 94.816703) + (xy 104.114855 94.816391) + (xy 104.029985 94.833504) + (xy 103.970103 94.845578) + (xy 103.9701 94.845579) + (xy 103.965929 94.84642) + (xy 103.830564 94.915392) + (xy 103.827429 94.918275) + (xy 103.726712 95.01089) + (xy 103.718734 95.018226) + (xy 103.716489 95.021847) + (xy 103.716488 95.021848) + (xy 103.640924 95.143719) + (xy 103.640922 95.143722) + (xy 103.638677 95.147344) + (xy 103.637487 95.15144) + (xy 103.606393 95.258466) + (xy 103.596291 95.293235) + (xy 103.5955 95.304007) + (xy 103.5955 95.663057) + (xy 99.3 95.663057) + (xy 99.3 94.23178) + (xy 105.613655 94.23178) + (xy 105.614262 94.23691) + (xy 105.614262 94.236912) + (xy 105.618067 94.269059) + (xy 105.6185 94.276405) + (xy 105.6185 94.281692) + (xy 105.621936 94.302336) + (xy 105.622345 94.305211) + (xy 105.628582 94.357907) + (xy 105.630818 94.362563) + (xy 105.631933 94.366402) + (xy 105.63323 94.370191) + (xy 105.634078 94.375283) + (xy 105.636529 94.379826) + (xy 105.63653 94.379828) + (xy 105.659263 94.421958) + (xy 105.6606 94.424583) + (xy 105.68356 94.472398) + (xy 105.68717 94.476692) + (xy 105.687355 94.476877) + (xy 105.689944 94.479944) + (xy 105.691935 94.48251) + (xy 105.694388 94.487057) + (xy 105.698183 94.490565) + (xy 105.735109 94.524699) + (xy 105.736878 94.5264) + (xy 106.08153 94.871052) + (xy 106.094542 94.890073) + (xy 106.102556 94.908285) + (xy 106.128499 94.967243) + (xy 106.133333 94.97823) + (xy 106.145551 94.992765) + (xy 106.148029 94.995713) + (xy 106.150157 94.998434) + (xy 106.152292 95.002625) + (xy 106.160081 95.010414) + (xy 106.163729 95.014391) + (xy 106.166504 95.017692) + (xy 106.208987 95.068232) + (xy 106.212127 95.071968) + (xy 106.235399 95.087459) + (xy 106.241836 95.092733) + (xy 106.243899 95.094232) + (xy 106.247375 95.097708) + (xy 106.251753 95.099939) + (xy 106.251756 95.099941) + (xy 106.25873 95.103494) + (xy 106.264977 95.107148) + (xy 106.314064 95.139823) + (xy 106.318313 95.141151) + (xy 106.318314 95.141151) + (xy 106.350296 95.151143) + (xy 106.360027 95.155109) + (xy 106.362799 95.156521) + (xy 106.362803 95.156522) + (xy 106.367187 95.158756) + (xy 106.377852 95.160445) + (xy 106.386713 95.16252) + (xy 106.426696 95.175012) + (xy 106.426699 95.175012) + (xy 106.430948 95.17634) + (xy 106.480069 95.177241) + (xy 106.488683 95.177999) + (xy 106.49514 95.179022) + (xy 106.495144 95.179022) + (xy 106.5 95.179791) + (xy 106.506947 95.17869) + (xy 106.517853 95.177933) + (xy 106.553383 95.178584) + (xy 106.613532 95.162185) + (xy 106.62019 95.160755) + (xy 106.622147 95.160445) + (xy 106.632813 95.158756) + (xy 106.637196 95.156522) + (xy 106.641875 95.155002) + (xy 106.641878 95.15501) + (xy 106.646727 95.153136) + (xy 106.671527 95.146375) + (xy 106.739709 95.104511) + (xy 106.744037 95.102085) + (xy 106.74824 95.099944) + (xy 106.748248 95.099938) + (xy 106.752625 95.097708) + (xy 106.755427 95.094906) + (xy 106.756264 95.094346) + (xy 106.758953 95.092695) + (xy 106.775881 95.082301) + (xy 106.858058 94.991513) + (xy 106.911451 94.881311) + (xy 106.913219 94.870806) + (xy 106.927268 94.787296) + (xy 106.931767 94.760552) + (xy 106.931896 94.75) + (xy 106.914536 94.628781) + (xy 106.863852 94.517307) + (xy 106.849199 94.500302) + (xy 106.847708 94.497375) + (xy 106.8419 94.491567) + (xy 106.838746 94.48817) + (xy 106.810622 94.455531) + (xy 106.783918 94.424539) + (xy 106.763741 94.411461) + (xy 106.760155 94.408559) + (xy 106.760081 94.408661) + (xy 106.756106 94.405773) + (xy 106.752625 94.402292) + (xy 106.741343 94.396543) + (xy 106.735736 94.393309) + (xy 106.735652 94.393254) + (xy 106.718916 94.382407) + (xy 106.684893 94.360354) + (xy 106.68489 94.360353) + (xy 106.68116 94.357935) + (xy 106.676901 94.356661) + (xy 106.676898 94.35666) + (xy 106.658345 94.351112) + (xy 106.648839 94.348269) + (xy 106.638362 94.344072) + (xy 106.637445 94.343604) + (xy 106.621642 94.332119) + (xy 106.399806 94.110283) + (xy 106.3815 94.066089) + (xy 106.3815 91.744724) + (xy 106.968136 91.744724) + (xy 106.968713 91.749137) + (xy 106.968713 91.749138) + (xy 106.98251 91.854647) + (xy 106.984014 91.866145) + (xy 106.985809 91.870224) + (xy 106.989286 91.878126) + (xy 106.991185 91.882443) + (xy 106.991244 91.882813) + (xy 106.992007 91.884311) + (xy 106.994031 91.88891) + (xy 106.994031 91.888911) + (xy 107.026845 91.963484) + (xy 107.033333 91.97823) + (xy 107.036197 91.981637) + (xy 107.048029 91.995713) + (xy 107.050157 91.998434) + (xy 107.052292 92.002625) + (xy 107.060081 92.010414) + (xy 107.063729 92.014391) + (xy 107.112127 92.071968) + (xy 107.135399 92.087459) + (xy 107.141836 92.092733) + (xy 107.143899 92.094232) + (xy 107.147375 92.097708) + (xy 107.151753 92.099939) + (xy 107.151756 92.099941) + (xy 107.15873 92.103494) + (xy 107.164977 92.107148) + (xy 107.214064 92.139823) + (xy 107.218313 92.141151) + (xy 107.218314 92.141151) + (xy 107.250296 92.151143) + (xy 107.260027 92.155109) + (xy 107.262799 92.156521) + (xy 107.262803 92.156522) + (xy 107.267187 92.158756) + (xy 107.27777 92.160432) + (xy 107.277852 92.160445) + (xy 107.286713 92.16252) + (xy 107.326696 92.175012) + (xy 107.326699 92.175012) + (xy 107.330948 92.17634) + (xy 107.380069 92.177241) + (xy 107.388683 92.177999) + (xy 107.39514 92.179022) + (xy 107.395144 92.179022) + (xy 107.4 92.179791) + (xy 107.406947 92.17869) + (xy 107.417853 92.177933) + (xy 107.453383 92.178584) + (xy 107.458019 92.17732) + (xy 107.500968 92.165611) + (xy 107.548421 92.171648) + (xy 107.561601 92.181716) + (xy 108.466772 93.086887) + (xy 108.485078 93.131081) + (xy 108.484334 93.140691) + (xy 108.468136 93.244724) + (xy 108.468713 93.249137) + (xy 108.468713 93.249138) + (xy 108.478974 93.327605) + (xy 108.484014 93.366145) + (xy 108.491185 93.382443) + (xy 108.491244 93.382813) + (xy 108.492007 93.384311) + (xy 108.494031 93.38891) + (xy 108.494031 93.388911) + (xy 108.526371 93.462407) + (xy 108.533333 93.47823) + (xy 108.545627 93.492856) + (xy 108.548029 93.495713) + (xy 108.550157 93.498434) + (xy 108.552292 93.502625) + (xy 108.560081 93.510414) + (xy 108.563729 93.514391) + (xy 108.612127 93.571968) + (xy 108.635399 93.587459) + (xy 108.641836 93.592733) + (xy 108.643899 93.594232) + (xy 108.647375 93.597708) + (xy 108.651753 93.599939) + (xy 108.651756 93.599941) + (xy 108.65873 93.603494) + (xy 108.664977 93.607148) + (xy 108.714064 93.639823) + (xy 108.718313 93.641151) + (xy 108.718314 93.641151) + (xy 108.750296 93.651143) + (xy 108.760027 93.655109) + (xy 108.762799 93.656521) + (xy 108.762803 93.656522) + (xy 108.767187 93.658756) + (xy 108.777852 93.660445) + (xy 108.786713 93.66252) + (xy 108.826696 93.675012) + (xy 108.826699 93.675012) + (xy 108.830948 93.67634) + (xy 108.880069 93.677241) + (xy 108.888683 93.677999) + (xy 108.89514 93.679022) + (xy 108.895144 93.679022) + (xy 108.9 93.679791) + (xy 108.906947 93.67869) + (xy 108.917853 93.677933) + (xy 108.953383 93.678584) + (xy 109.013532 93.662185) + (xy 109.02019 93.660755) + (xy 109.022147 93.660445) + (xy 109.032813 93.658756) + (xy 109.037196 93.656522) + (xy 109.041875 93.655002) + (xy 109.041878 93.65501) + (xy 109.046727 93.653136) + (xy 109.071527 93.646375) + (xy 109.08321 93.639202) + (xy 109.139709 93.604511) + (xy 109.144037 93.602085) + (xy 109.14824 93.599944) + (xy 109.148248 93.599938) + (xy 109.152625 93.597708) + (xy 109.155427 93.594906) + (xy 109.156264 93.594346) + (xy 109.158891 93.592733) + (xy 109.175881 93.582301) + (xy 109.258058 93.491513) + (xy 109.311451 93.381311) + (xy 109.313317 93.370224) + (xy 109.32485 93.301667) + (xy 109.331767 93.260552) + (xy 109.331896 93.25) + (xy 109.314536 93.128781) + (xy 109.263852 93.017307) + (xy 109.249199 93.000302) + (xy 109.247708 92.997375) + (xy 109.2419 92.991567) + (xy 109.238746 92.98817) + (xy 109.186826 92.927914) + (xy 109.183918 92.924539) + (xy 109.163741 92.911461) + (xy 109.160155 92.908559) + (xy 109.160081 92.908661) + (xy 109.156106 92.905773) + (xy 109.152625 92.902292) + (xy 109.141343 92.896543) + (xy 109.135736 92.893309) + (xy 109.084893 92.860354) + (xy 109.08489 92.860353) + (xy 109.08116 92.857935) + (xy 109.076901 92.856661) + (xy 109.076898 92.85666) + (xy 109.058345 92.851112) + (xy 109.048839 92.848269) + (xy 109.038377 92.844079) + (xy 109.032813 92.841244) + (xy 109.021067 92.839384) + (xy 109.012951 92.837536) + (xy 108.99985 92.833618) + (xy 108.963838 92.822848) + (xy 108.919496 92.822577) + (xy 108.910103 92.821809) + (xy 108.904857 92.820978) + (xy 108.904856 92.820978) + (xy 108.9 92.820209) + (xy 108.891373 92.821576) + (xy 108.88122 92.822344) + (xy 108.862836 92.822231) + (xy 108.845838 92.822127) + (xy 108.845836 92.822127) + (xy 108.841385 92.8221) + (xy 108.79962 92.834037) + (xy 108.752099 92.828581) + (xy 108.738252 92.818137) + (xy 107.832793 91.912678) + (xy 107.814487 91.868484) + (xy 107.815353 91.858115) + (xy 107.831767 91.760552) + (xy 107.831896 91.75) + (xy 107.814536 91.628781) + (xy 107.763852 91.517307) + (xy 107.749199 91.500302) + (xy 107.747708 91.497375) + (xy 107.7419 91.491567) + (xy 107.738746 91.48817) + (xy 107.686826 91.427914) + (xy 107.683918 91.424539) + (xy 107.663741 91.411461) + (xy 107.660155 91.408559) + (xy 107.660081 91.408661) + (xy 107.656106 91.405773) + (xy 107.652625 91.402292) + (xy 107.641343 91.396543) + (xy 107.635736 91.393309) + (xy 107.584893 91.360354) + (xy 107.58489 91.360353) + (xy 107.58116 91.357935) + (xy 107.576901 91.356661) + (xy 107.576898 91.35666) + (xy 107.554429 91.349941) + (xy 107.548839 91.348269) + (xy 107.538377 91.344079) + (xy 107.532813 91.341244) + (xy 107.521067 91.339384) + (xy 107.512951 91.337536) + (xy 107.463838 91.322848) + (xy 107.419496 91.322577) + (xy 107.410103 91.321809) + (xy 107.404857 91.320978) + (xy 107.404856 91.320978) + (xy 107.4 91.320209) + (xy 107.391373 91.321576) + (xy 107.38122 91.322344) + (xy 107.362836 91.322231) + (xy 107.345838 91.322127) + (xy 107.345836 91.322127) + (xy 107.341385 91.3221) + (xy 107.287011 91.337641) + (xy 107.279623 91.339275) + (xy 107.267187 91.341244) + (xy 107.262804 91.343477) + (xy 107.26187 91.343781) + (xy 107.252156 91.347603) + (xy 107.249821 91.34827) + (xy 107.227929 91.354526) + (xy 107.227927 91.354527) + (xy 107.223644 91.355751) + (xy 107.164119 91.393309) + (xy 107.162819 91.394129) + (xy 107.157842 91.396959) + (xy 107.151757 91.400059) + (xy 107.151756 91.40006) + (xy 107.147375 91.402292) + (xy 107.143897 91.40577) + (xy 107.142445 91.406825) + (xy 107.139058 91.40912) + (xy 107.12008 91.421095) + (xy 107.117137 91.424427) + (xy 107.117135 91.424429) + (xy 107.057156 91.492342) + (xy 107.056032 91.493538) + (xy 107.055775 91.493892) + (xy 107.055554 91.494113) + (xy 107.055405 91.494204) + (xy 107.054504 91.495163) + (xy 107.052292 91.497375) + (xy 107.051509 91.496592) + (xy 107.050362 91.497296) + (xy 107.051721 91.498496) + (xy 107.039018 91.512879) + (xy 107.037127 91.516907) + (xy 106.988868 91.619695) + (xy 106.988867 91.619698) + (xy 106.986976 91.623726) + (xy 106.968136 91.744724) + (xy 106.3815 91.744724) + (xy 106.3815 90.93391) + (xy 106.399806 90.889716) + (xy 106.620771 90.668751) + (xy 106.648527 90.652645) + (xy 106.667233 90.647546) + (xy 106.667236 90.647545) + (xy 106.671527 90.646375) + (xy 106.710713 90.622315) + (xy 106.739709 90.604511) + (xy 106.744037 90.602085) + (xy 106.74824 90.599944) + (xy 106.748248 90.599938) + (xy 106.752625 90.597708) + (xy 106.755427 90.594906) + (xy 106.756264 90.594346) + (xy 106.761316 90.591244) + (xy 106.775881 90.582301) + (xy 106.858058 90.491513) + (xy 106.911451 90.381311) + (xy 106.913317 90.370224) + (xy 106.927516 90.285821) + (xy 106.931767 90.260552) + (xy 106.931896 90.25) + (xy 106.914536 90.128781) + (xy 106.863852 90.017307) + (xy 106.849199 90.000302) + (xy 106.847708 89.997375) + (xy 106.845057 89.994724) + (xy 127.068136 89.994724) + (xy 127.068713 89.999137) + (xy 127.068713 89.999138) + (xy 127.082655 90.105751) + (xy 127.084014 90.116145) + (xy 127.085809 90.120224) + (xy 127.089286 90.128126) + (xy 127.091185 90.132443) + (xy 127.091244 90.132813) + (xy 127.092007 90.134311) + (xy 127.094031 90.13891) + (xy 127.094031 90.138911) + (xy 127.12992 90.220473) + (xy 127.133333 90.22823) + (xy 127.147198 90.244724) + (xy 127.148029 90.245713) + (xy 127.150157 90.248434) + (xy 127.152292 90.252625) + (xy 127.160081 90.260414) + (xy 127.16373 90.264392) + (xy 127.198811 90.306126) + (xy 127.212127 90.321968) + (xy 127.235399 90.337459) + (xy 127.241836 90.342733) + (xy 127.243899 90.344232) + (xy 127.247375 90.347708) + (xy 127.251753 90.349939) + (xy 127.251756 90.349941) + (xy 127.25873 90.353494) + (xy 127.264977 90.357148) + (xy 127.314064 90.389823) + (xy 127.318313 90.391151) + (xy 127.318314 90.391151) + (xy 127.350296 90.401143) + (xy 127.360027 90.405109) + (xy 127.362799 90.406521) + (xy 127.362803 90.406522) + (xy 127.367187 90.408756) + (xy 127.377852 90.410445) + (xy 127.386713 90.41252) + (xy 127.426696 90.425012) + (xy 127.426699 90.425012) + (xy 127.430948 90.42634) + (xy 127.480069 90.427241) + (xy 127.488683 90.427999) + (xy 127.49514 90.429022) + (xy 127.495144 90.429022) + (xy 127.5 90.429791) + (xy 127.506947 90.42869) + (xy 127.517853 90.427933) + (xy 127.553383 90.428584) + (xy 127.613532 90.412185) + (xy 127.62019 90.410755) + (xy 127.622147 90.410445) + (xy 127.632813 90.408756) + (xy 127.637196 90.406522) + (xy 127.641875 90.405002) + (xy 127.641878 90.40501) + (xy 127.646727 90.403136) + (xy 127.671527 90.396375) + (xy 127.683231 90.389189) + (xy 127.739709 90.354511) + (xy 127.744037 90.352085) + (xy 127.74824 90.349944) + (xy 127.748248 90.349938) + (xy 127.752625 90.347708) + (xy 127.755427 90.344906) + (xy 127.756264 90.344346) + (xy 127.758891 90.342733) + (xy 127.775881 90.332301) + (xy 127.858058 90.241513) + (xy 127.865454 90.226248) + (xy 127.901198 90.194458) + (xy 127.9217 90.191) + (xy 138.744101 90.191) + (xy 138.793156 90.191043) + (xy 138.793404 90.191043) + (xy 138.79348 90.191007) + (xy 138.793539 90.191) + (xy 139.576187 90.191) + (xy 139.620381 90.209306) + (xy 139.629248 90.220473) + (xy 139.63154 90.224155) + (xy 139.633333 90.22823) + (xy 139.647198 90.244724) + (xy 139.648029 90.245713) + (xy 139.650157 90.248434) + (xy 139.652292 90.252625) + (xy 139.660081 90.260414) + (xy 139.66373 90.264392) + (xy 139.698811 90.306126) + (xy 139.712127 90.321968) + (xy 139.735399 90.337459) + (xy 139.741836 90.342733) + (xy 139.743899 90.344232) + (xy 139.747375 90.347708) + (xy 139.751753 90.349939) + (xy 139.751756 90.349941) + (xy 139.75873 90.353494) + (xy 139.764977 90.357148) + (xy 139.814064 90.389823) + (xy 139.818313 90.391151) + (xy 139.818314 90.391151) + (xy 139.850296 90.401143) + (xy 139.860027 90.405109) + (xy 139.862799 90.406521) + (xy 139.862803 90.406522) + (xy 139.867187 90.408756) + (xy 139.877852 90.410445) + (xy 139.886713 90.41252) + (xy 139.926696 90.425012) + (xy 139.926699 90.425012) + (xy 139.930948 90.42634) + (xy 139.980069 90.427241) + (xy 139.988683 90.427999) + (xy 139.99514 90.429022) + (xy 139.995144 90.429022) + (xy 140 90.429791) + (xy 140.006947 90.42869) + (xy 140.017853 90.427933) + (xy 140.053383 90.428584) + (xy 140.113532 90.412185) + (xy 140.12019 90.410755) + (xy 140.122147 90.410445) + (xy 140.132813 90.408756) + (xy 140.137196 90.406522) + (xy 140.141875 90.405002) + (xy 140.141878 90.40501) + (xy 140.146727 90.403136) + (xy 140.171527 90.396375) + (xy 140.183231 90.389189) + (xy 140.239709 90.354511) + (xy 140.244037 90.352085) + (xy 140.24824 90.349944) + (xy 140.248248 90.349938) + (xy 140.252625 90.347708) + (xy 140.255427 90.344906) + (xy 140.256264 90.344346) + (xy 140.258891 90.342733) + (xy 140.275881 90.332301) + (xy 140.358058 90.241513) + (xy 140.411451 90.131311) + (xy 140.412799 90.123302) + (xy 140.424373 90.0545) + (xy 140.431767 90.010552) + (xy 140.431896 90) + (xy 140.414536 89.878781) + (xy 140.363852 89.767307) + (xy 140.349199 89.750302) + (xy 140.347708 89.747375) + (xy 140.3419 89.741567) + (xy 140.338746 89.73817) + (xy 140.286826 89.677914) + (xy 140.283918 89.674539) + (xy 140.263741 89.661461) + (xy 140.260155 89.658559) + (xy 140.260081 89.658661) + (xy 140.256106 89.655773) + (xy 140.252625 89.652292) + (xy 140.241343 89.646543) + (xy 140.235736 89.643309) + (xy 140.235735 89.643308) + (xy 140.207055 89.624719) + (xy 140.184893 89.610354) + (xy 140.18489 89.610353) + (xy 140.18116 89.607935) + (xy 140.176901 89.606661) + (xy 140.176898 89.60666) + (xy 140.158345 89.601112) + (xy 140.148839 89.598269) + (xy 140.138377 89.594079) + (xy 140.132813 89.591244) + (xy 140.121067 89.589384) + (xy 140.112951 89.587536) + (xy 140.084793 89.579115) + (xy 140.063838 89.572848) + (xy 140.019496 89.572577) + (xy 140.010103 89.571809) + (xy 140.004857 89.570978) + (xy 140.004856 89.570978) + (xy 140 89.570209) + (xy 139.991373 89.571576) + (xy 139.98122 89.572344) + (xy 139.962836 89.572231) + (xy 139.945838 89.572127) + (xy 139.945836 89.572127) + (xy 139.941385 89.5721) + (xy 139.887011 89.587641) + (xy 139.879623 89.589275) + (xy 139.867187 89.591244) + (xy 139.862804 89.593477) + (xy 139.86187 89.593781) + (xy 139.852155 89.597603) + (xy 139.827929 89.604526) + (xy 139.827927 89.604527) + (xy 139.823644 89.605751) + (xy 139.819875 89.608129) + (xy 139.806812 89.616371) + (xy 139.764119 89.643309) + (xy 139.762819 89.644129) + (xy 139.757842 89.646959) + (xy 139.751757 89.650059) + (xy 139.751756 89.65006) + (xy 139.747375 89.652292) + (xy 139.743897 89.65577) + (xy 139.742445 89.656825) + (xy 139.739058 89.65912) + (xy 139.72008 89.671095) + (xy 139.717137 89.674427) + (xy 139.717135 89.674429) + (xy 139.657156 89.742342) + (xy 139.656032 89.743538) + (xy 139.655775 89.743892) + (xy 139.655554 89.744113) + (xy 139.655405 89.744204) + (xy 139.654504 89.745163) + (xy 139.652292 89.747375) + (xy 139.651509 89.746592) + (xy 139.650362 89.747296) + (xy 139.651721 89.748496) + (xy 139.639018 89.762879) + (xy 139.637127 89.766906) + (xy 139.637126 89.766908) + (xy 139.634237 89.773062) + (xy 139.598884 89.805287) + (xy 139.577662 89.809) + (xy 139.0035 89.809) + (xy 138.959306 89.790694) + (xy 138.941 89.7465) + (xy 138.941 89.164999) + (xy 138.959306 89.120805) + (xy 139.0035 89.102499) + (xy 139.063374 89.102499) + (xy 139.065385 89.102234) + (xy 139.06539 89.102234) + (xy 139.106331 89.096845) + (xy 139.106332 89.096845) + (xy 139.111071 89.096221) + (xy 139.138643 89.083364) + (xy 139.210787 89.049723) + (xy 139.210789 89.049721) + (xy 139.215744 89.047411) + (xy 139.297411 88.965744) + (xy 139.299721 88.960789) + (xy 139.299723 88.960787) + (xy 139.325732 88.90501) + (xy 139.346221 88.861071) + (xy 139.349702 88.834631) + (xy 139.352233 88.815407) + (xy 139.352233 88.815399) + (xy 139.3525 88.813375) + (xy 139.352499 88.336626) + (xy 139.352232 88.334593) + (xy 139.346845 88.293669) + (xy 139.346845 88.293668) + (xy 139.346221 88.288929) + (xy 139.338501 88.272373) + (xy 139.299723 88.189213) + (xy 139.299721 88.189211) + (xy 139.297411 88.184256) + (xy 139.215744 88.102589) + (xy 139.210789 88.100279) + (xy 139.210787 88.100277) + (xy 139.151964 88.072848) + (xy 139.111071 88.053779) + (xy 139.097705 88.052019) + (xy 139.065407 88.047767) + (xy 139.065399 88.047767) + (xy 139.063375 88.0475) + (xy 139.061328 88.0475) + (xy 138.749156 88.047501) + (xy 138.436626 88.047501) + (xy 138.434615 88.047766) + (xy 138.43461 88.047766) + (xy 138.393669 88.053155) + (xy 138.393668 88.053155) + (xy 138.388929 88.053779) + (xy 138.384595 88.0558) + (xy 138.289213 88.100277) + (xy 138.289211 88.100279) + (xy 138.284256 88.102589) + (xy 138.202589 88.184256) + (xy 138.200279 88.189211) + (xy 138.200277 88.189213) + (xy 138.179217 88.234377) + (xy 138.153779 88.288929) + (xy 138.153155 88.293669) + (xy 138.153155 88.29367) + (xy 138.147767 88.334593) + (xy 138.147767 88.334601) + (xy 138.1475 88.336625) + (xy 138.147501 88.813374) + (xy 138.147766 88.815385) + (xy 138.147766 88.81539) + (xy 138.152933 88.854647) + (xy 138.153779 88.861071) + (xy 138.1558 88.865404) + (xy 138.1558 88.865405) + (xy 138.200277 88.960787) + (xy 138.200279 88.960789) + (xy 138.202589 88.965744) + (xy 138.284256 89.047411) + (xy 138.289211 89.049721) + (xy 138.289213 89.049723) + (xy 138.329612 89.068561) + (xy 138.388929 89.096221) + (xy 138.402295 89.097981) + (xy 138.434593 89.102233) + (xy 138.434601 89.102233) + (xy 138.436625 89.1025) + (xy 138.4965 89.1025) + (xy 138.540694 89.120806) + (xy 138.559 89.165) + (xy 138.559 89.7465) + (xy 138.540694 89.790694) + (xy 138.4965 89.809) + (xy 127.923049 89.809) + (xy 127.878855 89.790694) + (xy 127.866156 89.772373) + (xy 127.865695 89.77136) + (xy 127.865693 89.771357) + (xy 127.863852 89.767307) + (xy 127.849199 89.750302) + (xy 127.847708 89.747375) + (xy 127.8419 89.741567) + (xy 127.838746 89.73817) + (xy 127.786826 89.677914) + (xy 127.783918 89.674539) + (xy 127.763741 89.661461) + (xy 127.760155 89.658559) + (xy 127.760081 89.658661) + (xy 127.756106 89.655773) + (xy 127.752625 89.652292) + (xy 127.741343 89.646543) + (xy 127.735736 89.643309) + (xy 127.735735 89.643308) + (xy 127.707055 89.624719) + (xy 127.684893 89.610354) + (xy 127.68489 89.610353) + (xy 127.68116 89.607935) + (xy 127.676901 89.606661) + (xy 127.676898 89.60666) + (xy 127.658345 89.601112) + (xy 127.648839 89.598269) + (xy 127.638377 89.594079) + (xy 127.632813 89.591244) + (xy 127.621067 89.589384) + (xy 127.612951 89.587536) + (xy 127.584793 89.579115) + (xy 127.563838 89.572848) + (xy 127.519496 89.572577) + (xy 127.510103 89.571809) + (xy 127.504857 89.570978) + (xy 127.504856 89.570978) + (xy 127.5 89.570209) + (xy 127.491373 89.571576) + (xy 127.48122 89.572344) + (xy 127.462836 89.572231) + (xy 127.445838 89.572127) + (xy 127.445836 89.572127) + (xy 127.441385 89.5721) + (xy 127.387011 89.587641) + (xy 127.379623 89.589275) + (xy 127.367187 89.591244) + (xy 127.362804 89.593477) + (xy 127.36187 89.593781) + (xy 127.352155 89.597603) + (xy 127.327929 89.604526) + (xy 127.327927 89.604527) + (xy 127.323644 89.605751) + (xy 127.319875 89.608129) + (xy 127.306812 89.616371) + (xy 127.264119 89.643309) + (xy 127.262819 89.644129) + (xy 127.257842 89.646959) + (xy 127.251757 89.650059) + (xy 127.251756 89.65006) + (xy 127.247375 89.652292) + (xy 127.243897 89.65577) + (xy 127.242445 89.656825) + (xy 127.239058 89.65912) + (xy 127.22008 89.671095) + (xy 127.217137 89.674427) + (xy 127.217135 89.674429) + (xy 127.157156 89.742342) + (xy 127.156032 89.743538) + (xy 127.155775 89.743892) + (xy 127.155554 89.744113) + (xy 127.155405 89.744204) + (xy 127.154504 89.745163) + (xy 127.152292 89.747375) + (xy 127.151509 89.746592) + (xy 127.150362 89.747296) + (xy 127.151721 89.748496) + (xy 127.139018 89.762879) + (xy 127.136939 89.767307) + (xy 127.088868 89.869695) + (xy 127.088867 89.869698) + (xy 127.086976 89.873726) + (xy 127.068136 89.994724) + (xy 106.845057 89.994724) + (xy 106.8419 89.991567) + (xy 106.838746 89.98817) + (xy 106.786826 89.927914) + (xy 106.783918 89.924539) + (xy 106.763741 89.911461) + (xy 106.760155 89.908559) + (xy 106.760081 89.908661) + (xy 106.756106 89.905773) + (xy 106.752625 89.902292) + (xy 106.741343 89.896543) + (xy 106.735736 89.893309) + (xy 106.735735 89.893308) + (xy 106.713322 89.878781) + (xy 106.684893 89.860354) + (xy 106.68489 89.860353) + (xy 106.68116 89.857935) + (xy 106.676901 89.856661) + (xy 106.676898 89.85666) + (xy 106.658345 89.851112) + (xy 106.648839 89.848269) + (xy 106.638377 89.844079) + (xy 106.632813 89.841244) + (xy 106.621067 89.839384) + (xy 106.612951 89.837536) + (xy 106.588896 89.830342) + (xy 106.563838 89.822848) + (xy 106.519496 89.822577) + (xy 106.510103 89.821809) + (xy 106.504857 89.820978) + (xy 106.504856 89.820978) + (xy 106.5 89.820209) + (xy 106.491373 89.821576) + (xy 106.48122 89.822344) + (xy 106.462836 89.822231) + (xy 106.445838 89.822127) + (xy 106.445836 89.822127) + (xy 106.441385 89.8221) + (xy 106.387011 89.837641) + (xy 106.379623 89.839275) + (xy 106.367187 89.841244) + (xy 106.362804 89.843477) + (xy 106.36187 89.843781) + (xy 106.352155 89.847603) + (xy 106.327929 89.854526) + (xy 106.327927 89.854527) + (xy 106.323644 89.855751) + (xy 106.319875 89.858129) + (xy 106.308345 89.865404) + (xy 106.264119 89.893309) + (xy 106.262819 89.894129) + (xy 106.257842 89.896959) + (xy 106.251757 89.900059) + (xy 106.251756 89.90006) + (xy 106.247375 89.902292) + (xy 106.243897 89.90577) + (xy 106.242445 89.906825) + (xy 106.239058 89.90912) + (xy 106.22008 89.921095) + (xy 106.217137 89.924427) + (xy 106.217135 89.924429) + (xy 106.157156 89.992342) + (xy 106.156032 89.993538) + (xy 106.155775 89.993892) + (xy 106.155554 89.994113) + (xy 106.155405 89.994204) + (xy 106.154504 89.995163) + (xy 106.152292 89.997375) + (xy 106.151509 89.996592) + (xy 106.150362 89.997296) + (xy 106.151721 89.998496) + (xy 106.142377 90.009076) + (xy 106.139018 90.012879) + (xy 106.137127 90.016907) + (xy 106.091822 90.113404) + (xy 106.079441 90.131036) + (xy 105.76374 90.446737) + (xy 105.753448 90.455049) + (xy 105.744039 90.461124) + (xy 105.744036 90.461127) + (xy 105.739696 90.463929) + (xy 105.736497 90.467987) + (xy 105.716454 90.493411) + (xy 105.711566 90.498911) + (xy 105.707829 90.502648) + (xy 105.706328 90.504748) + (xy 105.706325 90.504752) + (xy 105.695661 90.519674) + (xy 105.693893 90.522029) + (xy 105.661066 90.56367) + (xy 105.659355 90.568543) + (xy 105.657441 90.572023) + (xy 105.655668 90.575641) + (xy 105.652666 90.579843) + (xy 105.637595 90.630239) + (xy 105.637469 90.630659) + (xy 105.636559 90.633456) + (xy 105.618984 90.683502) + (xy 105.6185 90.689091) + (xy 105.6185 90.68935) + (xy 105.618161 90.693354) + (xy 105.617754 90.696579) + (xy 105.616275 90.701524) + (xy 105.618033 90.746265) + (xy 105.618452 90.756924) + (xy 105.6185 90.759378) + (xy 105.6185 94.202624) + (xy 105.6171 94.215778) + (xy 105.613655 94.23178) + (xy 99.3 94.23178) + (xy 99.3 89.535145) + (xy 103.591391 89.535145) + (xy 103.605373 89.604487) + (xy 103.620379 89.678907) + (xy 103.62142 89.684071) + (xy 103.690392 89.819436) + (xy 103.722659 89.854526) + (xy 103.790144 89.927914) + (xy 103.793226 89.931266) + (xy 103.796847 89.933511) + (xy 103.796848 89.933512) + (xy 103.918719 90.009076) + (xy 103.918722 90.009078) + (xy 103.922344 90.011323) + (xy 103.92644 90.012513) + (xy 104.046558 90.047411) + (xy 104.068235 90.053709) + (xy 104.071501 90.053949) + (xy 104.071502 90.053949) + (xy 104.077859 90.054416) + (xy 104.077867 90.054416) + (xy 104.079007 90.0545) + (xy 104.188057 90.0545) + (xy 104.300518 90.039095) + (xy 104.304429 90.037403) + (xy 104.304431 90.037402) + (xy 104.368807 90.009543) + (xy 104.439946 89.978758) + (xy 104.558013 89.88315) + (xy 104.560479 89.87968) + (xy 104.560481 89.879678) + (xy 104.636739 89.772373) + (xy 104.64602 89.759313) + (xy 104.675325 89.677914) + (xy 104.696039 89.62038) + (xy 104.696039 89.620378) + (xy 104.697482 89.616371) + (xy 104.699512 89.588731) + (xy 104.708297 89.469107) + (xy 104.708297 89.469105) + (xy 104.708609 89.464855) + (xy 104.683818 89.341907) + (xy 104.679422 89.320103) + (xy 104.679421 89.3201) + (xy 104.67858 89.315929) + (xy 104.609608 89.180564) + (xy 104.542104 89.107155) + (xy 104.509658 89.07187) + (xy 104.509657 89.071869) + (xy 104.506774 89.068734) + (xy 104.504335 89.067222) + (xy 104.482181 89.025383) + (xy 104.496246 88.979662) + (xy 104.538521 88.957277) + (xy 104.544404 88.957) + (xy 104.799069 88.957) + (xy 104.807859 88.953359) + (xy 104.8115 88.944569) + (xy 104.9385 88.944569) + (xy 104.942141 88.953359) + (xy 104.950931 88.957) + (xy 105.417089 88.957) + (xy 105.420567 88.956806) + (xy 105.54476 88.942876) + (xy 105.551538 88.941335) + (xy 105.709549 88.886309) + (xy 105.715822 88.883304) + (xy 105.857721 88.794636) + (xy 105.863167 88.790319) + (xy 105.981902 88.672411) + (xy 105.986248 88.667006) + (xy 106.028248 88.600824) + (xy 130.230201 88.600824) + (xy 130.25981 88.773141) + (xy 130.328267 88.934024) + (xy 130.431898 89.074843) + (xy 130.434664 89.077193) + (xy 130.434666 89.077195) + (xy 130.467559 89.105139) + (xy 130.565146 89.188045) + (xy 130.720862 89.267558) + (xy 130.890693 89.309115) + (xy 130.898268 89.309585) + (xy 130.900765 89.30974) + (xy 130.900769 89.30974) + (xy 130.901734 89.3098) + (xy 131.027779 89.3098) + (xy 131.029569 89.309591) + (xy 131.029574 89.309591) + (xy 131.079216 89.303803) + (xy 131.157665 89.294657) + (xy 131.16108 89.293418) + (xy 131.161082 89.293417) + (xy 131.229944 89.268421) + (xy 131.322015 89.235001) + (xy 131.468233 89.139136) + (xy 131.588475 89.012205) + (xy 131.590297 89.009067) + (xy 131.5903 89.009064) + (xy 131.674473 88.864149) + (xy 131.674474 88.864148) + (xy 131.676292 88.861017) + (xy 131.726973 88.693682) + (xy 131.732734 88.600824) + (xy 132.262201 88.600824) + (xy 132.29181 88.773141) + (xy 132.360267 88.934024) + (xy 132.463898 89.074843) + (xy 132.466664 89.077193) + (xy 132.466666 89.077195) + (xy 132.499559 89.105139) + (xy 132.597146 89.188045) + (xy 132.752862 89.267558) + (xy 132.922693 89.309115) + (xy 132.930268 89.309585) + (xy 132.932765 89.30974) + (xy 132.932769 89.30974) + (xy 132.933734 89.3098) + (xy 133.059779 89.3098) + (xy 133.061569 89.309591) + (xy 133.061574 89.309591) + (xy 133.111216 89.303803) + (xy 133.189665 89.294657) + (xy 133.19308 89.293418) + (xy 133.193082 89.293417) + (xy 133.261944 89.268421) + (xy 133.354015 89.235001) + (xy 133.500233 89.139136) + (xy 133.620475 89.012205) + (xy 133.622297 89.009067) + (xy 133.6223 89.009064) + (xy 133.706473 88.864149) + (xy 133.706474 88.864148) + (xy 133.708292 88.861017) + (xy 133.743514 88.744724) + (xy 134.068136 88.744724) + (xy 134.068713 88.749137) + (xy 134.068713 88.749138) + (xy 134.082731 88.856331) + (xy 134.084014 88.866145) + (xy 134.091185 88.882443) + (xy 134.091244 88.882813) + (xy 134.092007 88.884311) + (xy 134.094031 88.88891) + (xy 134.094031 88.888911) + (xy 134.128757 88.96783) + (xy 134.133333 88.97823) + (xy 134.147273 88.994814) + (xy 134.148029 88.995713) + (xy 134.150157 88.998434) + (xy 134.152292 89.002625) + (xy 134.160081 89.010414) + (xy 134.163729 89.014391) + (xy 134.212127 89.071968) + (xy 134.235399 89.087459) + (xy 134.241836 89.092733) + (xy 134.243899 89.094232) + (xy 134.247375 89.097708) + (xy 134.251753 89.099939) + (xy 134.251756 89.099941) + (xy 134.25873 89.103494) + (xy 134.264977 89.107148) + (xy 134.314064 89.139823) + (xy 134.318313 89.141151) + (xy 134.318314 89.141151) + (xy 134.350296 89.151143) + (xy 134.360027 89.155109) + (xy 134.362799 89.156521) + (xy 134.362803 89.156522) + (xy 134.367187 89.158756) + (xy 134.377852 89.160445) + (xy 134.386713 89.16252) + (xy 134.426696 89.175012) + (xy 134.426699 89.175012) + (xy 134.430948 89.17634) + (xy 134.480069 89.177241) + (xy 134.488683 89.177999) + (xy 134.49514 89.179022) + (xy 134.495144 89.179022) + (xy 134.5 89.179791) + (xy 134.506947 89.17869) + (xy 134.517853 89.177933) + (xy 134.553383 89.178584) + (xy 134.613532 89.162185) + (xy 134.62019 89.160755) + (xy 134.622147 89.160445) + (xy 134.632813 89.158756) + (xy 134.637196 89.156522) + (xy 134.641875 89.155002) + (xy 134.641878 89.15501) + (xy 134.646727 89.153136) + (xy 134.671527 89.146375) + (xy 134.687609 89.136501) + (xy 134.739709 89.104511) + (xy 134.744037 89.102085) + (xy 134.74824 89.099944) + (xy 134.748248 89.099938) + (xy 134.752625 89.097708) + (xy 134.755427 89.094906) + (xy 134.756264 89.094346) + (xy 134.758891 89.092733) + (xy 134.775881 89.082301) + (xy 134.858058 88.991513) + (xy 134.865454 88.976248) + (xy 134.901198 88.944458) + (xy 134.9217 88.941) + (xy 136.206768 88.941) + (xy 136.214107 88.94225) + (xy 136.21419 88.941523) + (xy 136.221181 88.94232) + (xy 136.227822 88.944652) + (xy 136.234815 88.943874) + (xy 136.234816 88.943874) + (xy 136.257197 88.941383) + (xy 136.26411 88.941) + (xy 136.271533 88.941) + (xy 136.278465 88.939419) + (xy 136.285418 88.938243) + (xy 136.314429 88.935014) + (xy 136.320393 88.931281) + (xy 136.324341 88.929906) + (xy 136.3281 88.928097) + (xy 136.334959 88.926532) + (xy 136.357774 88.908351) + (xy 136.363559 88.904256) + (xy 136.366715 88.90228) + (xy 136.366717 88.902279) + (xy 136.369711 88.900404) + (xy 136.374924 88.895191) + (xy 136.380168 88.890506) + (xy 136.397604 88.876612) + (xy 136.397604 88.876611) + (xy 136.403109 88.872225) + (xy 136.406167 88.865886) + (xy 136.410558 88.860385) + (xy 136.411092 88.860811) + (xy 136.415468 88.854647) + (xy 138.060536 87.209579) + (xy 138.10473 87.191273) + (xy 138.148924 87.209579) + (xy 138.161374 87.227359) + (xy 138.200277 87.310787) + (xy 138.200279 87.310789) + (xy 138.202589 87.315744) + (xy 138.284256 87.397411) + (xy 138.289211 87.399721) + (xy 138.289213 87.399723) + (xy 138.329835 87.418665) + (xy 138.388929 87.446221) + (xy 138.402295 87.447981) + (xy 138.434593 87.452233) + (xy 138.434601 87.452233) + (xy 138.436625 87.4525) + (xy 138.438672 87.4525) + (xy 138.750844 87.452499) + (xy 139.063374 87.452499) + (xy 139.065385 87.452234) + (xy 139.06539 87.452234) + (xy 139.106331 87.446845) + (xy 139.106332 87.446845) + (xy 139.111071 87.446221) + (xy 139.127281 87.438662) + (xy 139.210787 87.399723) + (xy 139.210789 87.399721) + (xy 139.215744 87.397411) + (xy 139.297411 87.315744) + (xy 139.299721 87.310789) + (xy 139.299723 87.310787) + (xy 139.32847 87.249138) + (xy 139.336889 87.231083) + (xy 147.898001 87.231083) + (xy 147.898333 87.235614) + (xy 147.907478 87.297743) + (xy 147.910326 87.30691) + (xy 147.957556 87.403105) + (xy 147.963484 87.411387) + (xy 148.039111 87.486883) + (xy 148.047406 87.492799) + (xy 148.143687 87.539863) + (xy 148.152851 87.542695) + (xy 148.214391 87.551672) + (xy 148.218904 87.552) + (xy 148.424069 87.552) + (xy 148.432859 87.548359) + (xy 148.4365 87.539569) + (xy 148.4365 87.539568) + (xy 148.5635 87.539568) + (xy 148.567141 87.548358) + (xy 148.575931 87.551999) + (xy 148.781083 87.551999) + (xy 148.785614 87.551667) + (xy 148.847743 87.542522) + (xy 148.85691 87.539674) + (xy 148.953105 87.492444) + (xy 148.961387 87.486516) + (xy 149.036883 87.410889) + (xy 149.042799 87.402594) + (xy 149.089863 87.306313) + (xy 149.092695 87.297149) + (xy 149.101672 87.235609) + (xy 149.102 87.231096) + (xy 149.102 87.050931) + (xy 149.098359 87.042141) + (xy 149.089569 87.0385) + (xy 148.575931 87.0385) + (xy 148.567141 87.042141) + (xy 148.5635 87.050931) + (xy 148.5635 87.539568) + (xy 148.4365 87.539568) + (xy 148.4365 87.050931) + (xy 148.432859 87.042141) + (xy 148.424069 87.0385) + (xy 147.910432 87.0385) + (xy 147.901642 87.042141) + (xy 147.898001 87.050931) + (xy 147.898001 87.231083) + (xy 139.336889 87.231083) + (xy 139.346221 87.211071) + (xy 139.351583 87.170342) + (xy 139.352233 87.165407) + (xy 139.352233 87.165399) + (xy 139.3525 87.163375) + (xy 139.352499 86.899069) + (xy 147.898 86.899069) + (xy 147.901641 86.907859) + (xy 147.910431 86.9115) + (xy 148.424069 86.9115) + (xy 148.432859 86.907859) + (xy 148.4365 86.899069) + (xy 148.5635 86.899069) + (xy 148.567141 86.907859) + (xy 148.575931 86.9115) + (xy 149.089568 86.9115) + (xy 149.098358 86.907859) + (xy 149.101999 86.899069) + (xy 149.101999 86.718917) + (xy 149.101667 86.714386) + (xy 149.097581 86.686625) + (xy 149.6475 86.686625) + (xy 149.647501 87.163374) + (xy 149.647766 87.165385) + (xy 149.647766 87.16539) + (xy 149.653155 87.20633) + (xy 149.653779 87.211071) + (xy 149.6558 87.215404) + (xy 149.6558 87.215405) + (xy 149.700277 87.310787) + (xy 149.700279 87.310789) + (xy 149.702589 87.315744) + (xy 149.784256 87.397411) + (xy 149.789211 87.399721) + (xy 149.789213 87.399723) + (xy 149.829835 87.418665) + (xy 149.888929 87.446221) + (xy 149.902295 87.447981) + (xy 149.934593 87.452233) + (xy 149.934601 87.452233) + (xy 149.936625 87.4525) + (xy 149.938672 87.4525) + (xy 150.250844 87.452499) + (xy 150.563374 87.452499) + (xy 150.565385 87.452234) + (xy 150.56539 87.452234) + (xy 150.606331 87.446845) + (xy 150.606332 87.446845) + (xy 150.611071 87.446221) + (xy 150.627281 87.438662) + (xy 150.710787 87.399723) + (xy 150.710789 87.399721) + (xy 150.715744 87.397411) + (xy 150.797411 87.315744) + (xy 150.799721 87.310789) + (xy 150.799723 87.310787) + (xy 150.82847 87.249138) + (xy 150.846221 87.211071) + (xy 150.851583 87.170342) + (xy 150.8755 87.128915) + (xy 150.913548 87.116) + (xy 151.809198 87.116) + (xy 151.816537 87.11725) + (xy 151.81662 87.116523) + (xy 151.823611 87.11732) + (xy 151.830252 87.119652) + (xy 151.837245 87.118874) + (xy 151.837246 87.118874) + (xy 151.859627 87.116383) + (xy 151.86654 87.116) + (xy 151.873963 87.116) + (xy 151.880895 87.114419) + (xy 151.887848 87.113243) + (xy 151.916859 87.110014) + (xy 151.922823 87.106281) + (xy 151.926771 87.104906) + (xy 151.93053 87.103097) + (xy 151.937389 87.101532) + (xy 151.960204 87.083351) + (xy 151.965989 87.079256) + (xy 151.969145 87.07728) + (xy 151.969147 87.077279) + (xy 151.972141 87.075404) + (xy 151.977354 87.070191) + (xy 151.982598 87.065506) + (xy 152.000034 87.051612) + (xy 152.000034 87.051611) + (xy 152.005539 87.047225) + (xy 152.008597 87.040886) + (xy 152.012988 87.035385) + (xy 152.013522 87.035811) + (xy 152.017898 87.029647) + (xy 153.351503 85.696042) + (xy 153.395697 85.677736) + (xy 153.414335 85.68058) + (xy 153.440411 85.688727) + (xy 153.440414 85.688727) + (xy 153.444663 85.690055) + (xy 153.493784 85.690956) + (xy 153.502398 85.691714) + (xy 153.508855 85.692737) + (xy 153.508859 85.692737) + (xy 153.513715 85.693506) + (xy 153.520662 85.692405) + (xy 153.531568 85.691648) + (xy 153.567098 85.692299) + (xy 153.627247 85.6759) + (xy 153.633905 85.67447) + (xy 153.635415 85.674231) + (xy 153.646528 85.672471) + (xy 153.650911 85.670237) + (xy 153.65559 85.668717) + (xy 153.655593 85.668725) + (xy 153.660442 85.666851) + (xy 153.685242 85.66009) + (xy 153.695521 85.653779) + (xy 153.753424 85.618226) + (xy 153.757752 85.6158) + (xy 153.761955 85.613659) + (xy 153.761963 85.613653) + (xy 153.76634 85.611423) + (xy 153.769142 85.608621) + (xy 153.769979 85.608061) + (xy 153.789596 85.596016) + (xy 153.871773 85.505228) + (xy 153.925166 85.395026) + (xy 153.926042 85.389823) + (xy 153.942101 85.294364) + (xy 153.945482 85.274267) + (xy 153.945611 85.263715) + (xy 153.928251 85.142496) + (xy 153.877567 85.031022) + (xy 153.862914 85.014017) + (xy 153.861423 85.01109) + (xy 153.855615 85.005282) + (xy 153.852461 85.001885) + (xy 153.829735 84.975511) + (xy 153.797633 84.938254) + (xy 153.777456 84.925176) + (xy 153.77387 84.922274) + (xy 153.773796 84.922376) + (xy 153.769821 84.919488) + (xy 153.76634 84.916007) + (xy 153.755058 84.910258) + (xy 153.749451 84.907024) + (xy 153.698608 84.874069) + (xy 153.698605 84.874068) + (xy 153.694875 84.87165) + (xy 153.690616 84.870376) + (xy 153.690613 84.870375) + (xy 153.67206 84.864827) + (xy 153.662554 84.861984) + (xy 153.652092 84.857794) + (xy 153.646528 84.854959) + (xy 153.634782 84.853099) + (xy 153.626666 84.851251) + (xy 153.621132 84.849596) + (xy 153.577553 84.836563) + (xy 153.533211 84.836292) + (xy 153.523818 84.835524) + (xy 153.518572 84.834693) + (xy 153.518571 84.834693) + (xy 153.513715 84.833924) + (xy 153.505088 84.835291) + (xy 153.494935 84.836059) + (xy 153.476551 84.835946) + (xy 153.459553 84.835842) + (xy 153.459551 84.835842) + (xy 153.4551 84.835815) + (xy 153.400726 84.851356) + (xy 153.393338 84.85299) + (xy 153.380902 84.854959) + (xy 153.376519 84.857192) + (xy 153.375585 84.857496) + (xy 153.36587 84.861318) + (xy 153.341644 84.868241) + (xy 153.341642 84.868242) + (xy 153.337359 84.869466) + (xy 153.277834 84.907024) + (xy 153.276534 84.907844) + (xy 153.271557 84.910674) + (xy 153.265472 84.913774) + (xy 153.265471 84.913775) + (xy 153.26109 84.916007) + (xy 153.257612 84.919485) + (xy 153.25616 84.92054) + (xy 153.252773 84.922835) + (xy 153.233795 84.93481) + (xy 153.230852 84.938142) + (xy 153.23085 84.938144) + (xy 153.170871 85.006057) + (xy 153.169747 85.007253) + (xy 153.16949 85.007607) + (xy 153.169269 85.007828) + (xy 153.16912 85.007919) + (xy 153.168219 85.008878) + (xy 153.166007 85.01109) + (xy 153.165224 85.010307) + (xy 153.164077 85.011011) + (xy 153.165436 85.012211) + (xy 153.152733 85.026594) + (xy 153.150842 85.030622) + (xy 153.102583 85.13341) + (xy 153.102582 85.133413) + (xy 153.100691 85.137441) + (xy 153.081851 85.258439) + (xy 153.082428 85.262852) + (xy 153.082428 85.262853) + (xy 153.085282 85.284676) + (xy 153.095179 85.360354) + (xy 153.097175 85.37562) + (xy 153.084755 85.421814) + (xy 153.079397 85.427918) + (xy 151.791621 86.715694) + (xy 151.747427 86.734) + (xy 150.913548 86.734) + (xy 150.869354 86.715694) + (xy 150.851583 86.679657) + (xy 150.846847 86.643675) + (xy 150.846845 86.643667) + (xy 150.846221 86.638929) + (xy 150.835251 86.615404) + (xy 150.799723 86.539213) + (xy 150.799721 86.539211) + (xy 150.797411 86.534256) + (xy 150.715744 86.452589) + (xy 150.710789 86.450279) + (xy 150.710787 86.450277) + (xy 150.625338 86.410432) + (xy 150.611071 86.403779) + (xy 150.594831 86.401641) + (xy 150.565407 86.397767) + (xy 150.565399 86.397767) + (xy 150.563375 86.3975) + (xy 150.561328 86.3975) + (xy 150.249156 86.397501) + (xy 149.936626 86.397501) + (xy 149.934615 86.397766) + (xy 149.93461 86.397766) + (xy 149.893669 86.403155) + (xy 149.893668 86.403155) + (xy 149.888929 86.403779) + (xy 149.884595 86.4058) + (xy 149.789213 86.450277) + (xy 149.789211 86.450279) + (xy 149.784256 86.452589) + (xy 149.702589 86.534256) + (xy 149.700279 86.539211) + (xy 149.700277 86.539213) + (xy 149.688088 86.565353) + (xy 149.653779 86.638929) + (xy 149.653155 86.643669) + (xy 149.653155 86.64367) + (xy 149.647767 86.684593) + (xy 149.647767 86.684601) + (xy 149.6475 86.686625) + (xy 149.097581 86.686625) + (xy 149.092522 86.652257) + (xy 149.089674 86.64309) + (xy 149.042444 86.546895) + (xy 149.036516 86.538613) + (xy 148.960889 86.463117) + (xy 148.952594 86.457201) + (xy 148.856313 86.410137) + (xy 148.847149 86.407305) + (xy 148.785609 86.398328) + (xy 148.781096 86.398) + (xy 148.575931 86.398) + (xy 148.567141 86.401641) + (xy 148.5635 86.410431) + (xy 148.5635 86.899069) + (xy 148.4365 86.899069) + (xy 148.4365 86.410432) + (xy 148.432859 86.401642) + (xy 148.424069 86.398001) + (xy 148.218917 86.398001) + (xy 148.214386 86.398333) + (xy 148.152257 86.407478) + (xy 148.14309 86.410326) + (xy 148.046895 86.457556) + (xy 148.038613 86.463484) + (xy 147.963117 86.539111) + (xy 147.957201 86.547406) + (xy 147.910137 86.643687) + (xy 147.907305 86.652851) + (xy 147.898328 86.714391) + (xy 147.898 86.718904) + (xy 147.898 86.899069) + (xy 139.352499 86.899069) + (xy 139.352499 86.686626) + (xy 139.352232 86.684593) + (xy 139.346845 86.643669) + (xy 139.346845 86.643668) + (xy 139.346221 86.638929) + (xy 139.335251 86.615404) + (xy 139.299723 86.539213) + (xy 139.299721 86.539211) + (xy 139.297411 86.534256) + (xy 139.215744 86.452589) + (xy 139.210789 86.450279) + (xy 139.210787 86.450277) + (xy 139.125338 86.410432) + (xy 139.111071 86.403779) + (xy 139.094831 86.401641) + (xy 139.065407 86.397767) + (xy 139.065399 86.397767) + (xy 139.063375 86.3975) + (xy 139.061328 86.3975) + (xy 138.749156 86.397501) + (xy 138.436626 86.397501) + (xy 138.434615 86.397766) + (xy 138.43461 86.397766) + (xy 138.393669 86.403155) + (xy 138.393668 86.403155) + (xy 138.388929 86.403779) + (xy 138.384595 86.4058) + (xy 138.289213 86.450277) + (xy 138.289211 86.450279) + (xy 138.284256 86.452589) + (xy 138.202589 86.534256) + (xy 138.200279 86.539211) + (xy 138.200277 86.539213) + (xy 138.188088 86.565353) + (xy 138.153779 86.638929) + (xy 138.153155 86.643669) + (xy 138.153155 86.64367) + (xy 138.148797 86.67677) + (xy 138.124879 86.718197) + (xy 138.093745 86.730729) + (xy 138.067794 86.733617) + (xy 138.060881 86.734) + (xy 138.053467 86.734) + (xy 138.046544 86.735579) + (xy 138.039591 86.736755) + (xy 138.010571 86.739985) + (xy 138.004607 86.743719) + (xy 138.00067 86.74509) + (xy 137.996904 86.746903) + (xy 137.990041 86.748468) + (xy 137.980677 86.75593) + (xy 137.967229 86.766646) + (xy 137.961446 86.77074) + (xy 137.95829 86.772716) + (xy 137.958284 86.772721) + (xy 137.955289 86.774596) + (xy 137.950076 86.779809) + (xy 137.944832 86.784494) + (xy 137.921891 86.802775) + (xy 137.918833 86.809114) + (xy 137.914442 86.814615) + (xy 137.913908 86.814189) + (xy 137.909532 86.820353) + (xy 136.189191 88.540694) + (xy 136.144997 88.559) + (xy 134.923049 88.559) + (xy 134.878855 88.540694) + (xy 134.866156 88.522373) + (xy 134.865695 88.52136) + (xy 134.865694 88.521359) + (xy 134.863852 88.517307) + (xy 134.849199 88.500302) + (xy 134.847708 88.497375) + (xy 134.8419 88.491567) + (xy 134.838746 88.48817) + (xy 134.786826 88.427914) + (xy 134.783918 88.424539) + (xy 134.763741 88.411461) + (xy 134.760155 88.408559) + (xy 134.760081 88.408661) + (xy 134.756106 88.405773) + (xy 134.752625 88.402292) + (xy 134.741343 88.396543) + (xy 134.735736 88.393309) + (xy 134.729766 88.389439) + (xy 134.718916 88.382407) + (xy 134.684893 88.360354) + (xy 134.68489 88.360353) + (xy 134.68116 88.357935) + (xy 134.676901 88.356661) + (xy 134.676898 88.35666) + (xy 134.653677 88.349716) + (xy 134.648839 88.348269) + (xy 134.638377 88.344079) + (xy 134.632813 88.341244) + (xy 134.621067 88.339384) + (xy 134.612951 88.337536) + (xy 134.563838 88.322848) + (xy 134.519496 88.322577) + (xy 134.510103 88.321809) + (xy 134.504857 88.320978) + (xy 134.504856 88.320978) + (xy 134.5 88.320209) + (xy 134.491373 88.321576) + (xy 134.48122 88.322344) + (xy 134.462836 88.322231) + (xy 134.445838 88.322127) + (xy 134.445836 88.322127) + (xy 134.441385 88.3221) + (xy 134.387011 88.337641) + (xy 134.379623 88.339275) + (xy 134.367187 88.341244) + (xy 134.362804 88.343477) + (xy 134.36187 88.343781) + (xy 134.352156 88.347603) + (xy 134.351301 88.347847) + (xy 134.327929 88.354526) + (xy 134.327927 88.354527) + (xy 134.323644 88.355751) + (xy 134.264119 88.393309) + (xy 134.262819 88.394129) + (xy 134.257845 88.396957) + (xy 134.25669 88.397546) + (xy 134.251757 88.400059) + (xy 134.251756 88.40006) + (xy 134.247375 88.402292) + (xy 134.243897 88.40577) + (xy 134.242445 88.406825) + (xy 134.239058 88.40912) + (xy 134.22008 88.421095) + (xy 134.217137 88.424427) + (xy 134.217135 88.424429) + (xy 134.157156 88.492342) + (xy 134.156032 88.493538) + (xy 134.155775 88.493892) + (xy 134.155554 88.494113) + (xy 134.155405 88.494204) + (xy 134.154504 88.495163) + (xy 134.152292 88.497375) + (xy 134.151509 88.496592) + (xy 134.150362 88.497296) + (xy 134.151721 88.498496) + (xy 134.139018 88.512879) + (xy 134.137127 88.516907) + (xy 134.088868 88.619695) + (xy 134.088867 88.619698) + (xy 134.086976 88.623726) + (xy 134.068136 88.744724) + (xy 133.743514 88.744724) + (xy 133.758973 88.693682) + (xy 133.769799 88.519176) + (xy 133.74019 88.346859) + (xy 133.671733 88.185976) + (xy 133.568102 88.045157) + (xy 133.544022 88.024699) + (xy 133.469109 87.961057) + (xy 133.434854 87.931955) + (xy 133.279138 87.852442) + (xy 133.109307 87.810885) + (xy 133.101635 87.810409) + (xy 133.099235 87.81026) + (xy 133.099231 87.81026) + (xy 133.098266 87.8102) + (xy 132.972221 87.8102) + (xy 132.970431 87.810409) + (xy 132.970426 87.810409) + (xy 132.93117 87.814986) + (xy 132.842335 87.825343) + (xy 132.83892 87.826582) + (xy 132.838918 87.826583) + (xy 132.79131 87.843864) + (xy 132.677985 87.884999) + (xy 132.674949 87.88699) + (xy 132.674948 87.88699) + (xy 132.657306 87.898557) + (xy 132.531767 87.980864) + (xy 132.411525 88.107795) + (xy 132.409703 88.110933) + (xy 132.4097 88.110936) + (xy 132.327401 88.252625) + (xy 132.323708 88.258983) + (xy 132.322086 88.264337) + (xy 132.277619 88.411158) + (xy 132.273027 88.426318) + (xy 132.262201 88.600824) + (xy 131.732734 88.600824) + (xy 131.737799 88.519176) + (xy 131.70819 88.346859) + (xy 131.639733 88.185976) + (xy 131.536102 88.045157) + (xy 131.512022 88.024699) + (xy 131.437109 87.961057) + (xy 131.402854 87.931955) + (xy 131.247138 87.852442) + (xy 131.077307 87.810885) + (xy 131.069635 87.810409) + (xy 131.067235 87.81026) + (xy 131.067231 87.81026) + (xy 131.066266 87.8102) + (xy 130.940221 87.8102) + (xy 130.938431 87.810409) + (xy 130.938426 87.810409) + (xy 130.89917 87.814986) + (xy 130.810335 87.825343) + (xy 130.80692 87.826582) + (xy 130.806918 87.826583) + (xy 130.75931 87.843864) + (xy 130.645985 87.884999) + (xy 130.642949 87.88699) + (xy 130.642948 87.88699) + (xy 130.625306 87.898557) + (xy 130.499767 87.980864) + (xy 130.379525 88.107795) + (xy 130.377703 88.110933) + (xy 130.3777 88.110936) + (xy 130.295401 88.252625) + (xy 130.291708 88.258983) + (xy 130.290086 88.264337) + (xy 130.245619 88.411158) + (xy 130.241027 88.426318) + (xy 130.230201 88.600824) + (xy 106.028248 88.600824) + (xy 106.075913 88.525716) + (xy 106.078952 88.519484) + (xy 106.135085 88.361844) + (xy 106.136672 88.355076) + (xy 106.148505 88.255844) + (xy 106.145931 88.246685) + (xy 106.140255 88.2435) + (xy 104.950931 88.2435) + (xy 104.942141 88.247141) + (xy 104.9385 88.255931) + (xy 104.9385 88.944569) + (xy 104.8115 88.944569) + (xy 104.8115 88.255931) + (xy 104.807859 88.247141) + (xy 104.799069 88.2435) + (xy 103.612781 88.2435) + (xy 103.603991 88.247141) + (xy 103.601392 88.253415) + (xy 103.610958 88.344436) + (xy 103.612447 88.351207) + (xy 103.666375 88.509618) + (xy 103.669331 88.5159) + (xy 103.757009 88.658417) + (xy 103.761289 88.663896) + (xy 103.878359 88.783443) + (xy 103.883745 88.787836) + (xy 103.992517 88.857935) + (xy 104.019749 88.897262) + (xy 104.011195 88.944327) + (xy 103.983485 88.967828) + (xy 103.860054 89.021242) + (xy 103.741987 89.11685) + (xy 103.739521 89.12032) + (xy 103.739519 89.120322) + (xy 103.690945 89.188672) + (xy 103.65398 89.240687) + (xy 103.634996 89.293417) + (xy 103.606537 89.372467) + (xy 103.602518 89.383629) + (xy 103.591391 89.535145) + (xy 99.3 89.535145) + (xy 99.3 88.253415) + (xy 99.626392 88.253415) + (xy 99.635958 88.344436) + (xy 99.637447 88.351207) + (xy 99.691375 88.509618) + (xy 99.694331 88.5159) + (xy 99.782009 88.658417) + (xy 99.786289 88.663896) + (xy 99.903359 88.783443) + (xy 99.908745 88.787836) + (xy 100.049396 88.87848) + (xy 100.055613 88.881566) + (xy 100.212854 88.938798) + (xy 100.219607 88.940431) + (xy 100.348804 88.956753) + (xy 100.352734 88.957) + (xy 100.974069 88.957) + (xy 100.982859 88.953359) + (xy 100.9865 88.944569) + (xy 101.1135 88.944569) + (xy 101.117141 88.953359) + (xy 101.125931 88.957) + (xy 101.742089 88.957) + (xy 101.745567 88.956806) + (xy 101.86976 88.942876) + (xy 101.876538 88.941335) + (xy 102.034549 88.886309) + (xy 102.040822 88.883304) + (xy 102.182721 88.794636) + (xy 102.188167 88.790319) + (xy 102.306902 88.672411) + (xy 102.311248 88.667006) + (xy 102.400913 88.525716) + (xy 102.403952 88.519484) + (xy 102.460085 88.361844) + (xy 102.461672 88.355076) + (xy 102.473505 88.255844) + (xy 102.470931 88.246685) + (xy 102.465255 88.2435) + (xy 101.125931 88.2435) + (xy 101.117141 88.247141) + (xy 101.1135 88.255931) + (xy 101.1135 88.944569) + (xy 100.9865 88.944569) + (xy 100.9865 88.255931) + (xy 100.982859 88.247141) + (xy 100.974069 88.2435) + (xy 99.637781 88.2435) + (xy 99.628991 88.247141) + (xy 99.626392 88.253415) + (xy 99.3 88.253415) + (xy 99.3 88.104156) + (xy 99.626495 88.104156) + (xy 99.629069 88.113315) + (xy 99.634745 88.1165) + (xy 100.974069 88.1165) + (xy 100.982859 88.112859) + (xy 100.9865 88.104069) + (xy 101.1135 88.104069) + (xy 101.117141 88.112859) + (xy 101.125931 88.1165) + (xy 102.462219 88.1165) + (xy 102.471009 88.112859) + (xy 102.473608 88.106585) + (xy 102.473353 88.104156) + (xy 103.601495 88.104156) + (xy 103.604069 88.113315) + (xy 103.609745 88.1165) + (xy 104.799069 88.1165) + (xy 104.807859 88.112859) + (xy 104.8115 88.104069) + (xy 104.9385 88.104069) + (xy 104.942141 88.112859) + (xy 104.950931 88.1165) + (xy 106.137219 88.1165) + (xy 106.146009 88.112859) + (xy 106.148608 88.106585) + (xy 106.139042 88.015564) + (xy 106.137553 88.008793) + (xy 106.083625 87.850382) + (xy 106.080669 87.8441) + (xy 105.992991 87.701583) + (xy 105.988711 87.696104) + (xy 105.871641 87.576557) + (xy 105.866254 87.572163) + (xy 105.725604 87.48152) + (xy 105.719387 87.478434) + (xy 105.562146 87.421202) + (xy 105.555393 87.419569) + (xy 105.426196 87.403247) + (xy 105.422266 87.403) + (xy 104.950931 87.403) + (xy 104.942141 87.406641) + (xy 104.9385 87.415431) + (xy 104.9385 88.104069) + (xy 104.8115 88.104069) + (xy 104.8115 87.415431) + (xy 104.807859 87.406641) + (xy 104.799069 87.403) + (xy 104.332911 87.403) + (xy 104.329433 87.403194) + (xy 104.20524 87.417124) + (xy 104.198462 87.418665) + (xy 104.040451 87.473691) + (xy 104.034178 87.476696) + (xy 103.892279 87.565364) + (xy 103.886833 87.569681) + (xy 103.768098 87.687589) + (xy 103.763752 87.692994) + (xy 103.674087 87.834284) + (xy 103.671048 87.840516) + (xy 103.614915 87.998156) + (xy 103.613328 88.004924) + (xy 103.601495 88.104156) + (xy 102.473353 88.104156) + (xy 102.464042 88.015564) + (xy 102.462553 88.008793) + (xy 102.408625 87.850382) + (xy 102.405669 87.8441) + (xy 102.317991 87.701583) + (xy 102.313711 87.696104) + (xy 102.196641 87.576557) + (xy 102.191254 87.572163) + (xy 102.050604 87.48152) + (xy 102.044387 87.478434) + (xy 101.887146 87.421202) + (xy 101.880393 87.419569) + (xy 101.751196 87.403247) + (xy 101.747266 87.403) + (xy 101.125931 87.403) + (xy 101.117141 87.406641) + (xy 101.1135 87.415431) + (xy 101.1135 88.104069) + (xy 100.9865 88.104069) + (xy 100.9865 87.415431) + (xy 100.982859 87.406641) + (xy 100.974069 87.403) + (xy 100.357911 87.403) + (xy 100.354433 87.403194) + (xy 100.23024 87.417124) + (xy 100.223462 87.418665) + (xy 100.065451 87.473691) + (xy 100.059178 87.476696) + (xy 99.917279 87.565364) + (xy 99.911833 87.569681) + (xy 99.793098 87.687589) + (xy 99.788752 87.692994) + (xy 99.699087 87.834284) + (xy 99.696048 87.840516) + (xy 99.639915 87.998156) + (xy 99.638328 88.004924) + (xy 99.626495 88.104156) + (xy 99.3 88.104156) + (xy 99.3 86.662558) + (xy 113.0725 86.662558) + (xy 113.073099 86.665569) + (xy 113.078158 86.691) + (xy 113.079898 86.699748) + (xy 113.108078 86.741922) + (xy 113.150252 86.770102) + (xy 113.156285 86.771302) + (xy 113.156287 86.771303) + (xy 113.172843 86.774596) + (xy 113.187442 86.7775) + (xy 116.812558 86.7775) + (xy 116.827157 86.774596) + (xy 116.843713 86.771303) + (xy 116.843715 86.771302) + (xy 116.849748 86.770102) + (xy 116.891922 86.741922) + (xy 116.920102 86.699748) + (xy 116.921843 86.691) + (xy 116.926901 86.665569) + (xy 116.9275 86.662558) + (xy 116.9275 84.037442) + (xy 116.92515 84.02563) + (xy 116.921303 84.006287) + (xy 116.921302 84.006285) + (xy 116.920102 84.000252) + (xy 116.891922 83.958078) + (xy 116.849748 83.929898) + (xy 116.843715 83.928698) + (xy 116.843713 83.928697) + (xy 116.815569 83.923099) + (xy 116.812558 83.9225) + (xy 115.2535 83.9225) + (xy 115.209306 83.904194) + (xy 115.191 83.86) + (xy 115.191 83.084438) + (xy 124.023 83.084438) + (xy 124.023599 83.090519) + (xy 124.029169 83.118518) + (xy 124.033788 83.12967) + (xy 124.055019 83.161445) + (xy 124.063555 83.169981) + (xy 124.09533 83.191212) + (xy 124.106482 83.195831) + (xy 124.134481 83.201401) + (xy 124.140562 83.202) + (xy 124.924069 83.202) + (xy 124.932859 83.198359) + (xy 124.9365 83.189569) + (xy 125.0635 83.189569) + (xy 125.067141 83.198359) + (xy 125.075931 83.202) + (xy 125.859438 83.202) + (xy 125.865519 83.201401) + (xy 125.893518 83.195831) + (xy 125.90467 83.191212) + (xy 125.936445 83.169981) + (xy 125.944981 83.161445) + (xy 125.966212 83.12967) + (xy 125.970831 83.118518) + (xy 125.976401 83.090519) + (xy 125.977 83.084438) + (xy 125.977 82.300931) + (xy 125.973359 82.292141) + (xy 125.964569 82.2885) + (xy 125.075931 82.2885) + (xy 125.067141 82.292141) + (xy 125.0635 82.300931) + (xy 125.0635 83.189569) + (xy 124.9365 83.189569) + (xy 124.9365 82.300931) + (xy 124.932859 82.292141) + (xy 124.924069 82.2885) + (xy 124.035431 82.2885) + (xy 124.026641 82.292141) + (xy 124.023 82.300931) + (xy 124.023 83.084438) + (xy 115.191 83.084438) + (xy 115.191 82.149069) + (xy 124.023 82.149069) + (xy 124.026641 82.157859) + (xy 124.035431 82.1615) + (xy 124.924069 82.1615) + (xy 124.932859 82.157859) + (xy 124.9365 82.149069) + (xy 125.0635 82.149069) + (xy 125.067141 82.157859) + (xy 125.075931 82.1615) + (xy 125.964569 82.1615) + (xy 125.973359 82.157859) + (xy 125.977 82.149069) + (xy 125.977 81.365562) + (xy 125.976401 81.359481) + (xy 125.970831 81.331482) + (xy 125.966212 81.32033) + (xy 125.944981 81.288555) + (xy 125.936445 81.280019) + (xy 125.90467 81.258788) + (xy 125.893518 81.254169) + (xy 125.865519 81.248599) + (xy 125.859438 81.248) + (xy 125.075931 81.248) + (xy 125.067141 81.251641) + (xy 125.0635 81.260431) + (xy 125.0635 82.149069) + (xy 124.9365 82.149069) + (xy 124.9365 81.260431) + (xy 124.932859 81.251641) + (xy 124.924069 81.248) + (xy 124.140562 81.248) + (xy 124.134481 81.248599) + (xy 124.106482 81.254169) + (xy 124.09533 81.258788) + (xy 124.063555 81.280019) + (xy 124.055019 81.288555) + (xy 124.033788 81.32033) + (xy 124.029169 81.331482) + (xy 124.023599 81.359481) + (xy 124.023 81.365562) + (xy 124.023 82.149069) + (xy 115.191 82.149069) + (xy 115.191 81.355003) + (xy 115.209306 81.310809) + (xy 116.310809 80.209306) + (xy 116.355003 80.191) + (xy 126.159077 80.191) + (xy 126.203271 80.209306) + (xy 127.359528 81.365562) + (xy 128.446272 82.452306) + (xy 128.464578 82.4965) + (xy 128.446272 82.540694) + (xy 128.402078 82.559) + (xy 127.873049 82.559) + (xy 127.828855 82.540694) + (xy 127.816156 82.522373) + (xy 127.815695 82.52136) + (xy 127.815694 82.521359) + (xy 127.813852 82.517307) + (xy 127.799199 82.500302) + (xy 127.797708 82.497375) + (xy 127.7919 82.491567) + (xy 127.788746 82.48817) + (xy 127.736826 82.427914) + (xy 127.733918 82.424539) + (xy 127.713741 82.411461) + (xy 127.710155 82.408559) + (xy 127.710081 82.408661) + (xy 127.706106 82.405773) + (xy 127.702625 82.402292) + (xy 127.691343 82.396543) + (xy 127.685736 82.393309) + (xy 127.634893 82.360354) + (xy 127.63489 82.360353) + (xy 127.63116 82.357935) + (xy 127.626901 82.356661) + (xy 127.626898 82.35666) + (xy 127.608345 82.351112) + (xy 127.598839 82.348269) + (xy 127.588377 82.344079) + (xy 127.582813 82.341244) + (xy 127.571067 82.339384) + (xy 127.562951 82.337536) + (xy 127.513838 82.322848) + (xy 127.469496 82.322577) + (xy 127.460103 82.321809) + (xy 127.454857 82.320978) + (xy 127.454856 82.320978) + (xy 127.45 82.320209) + (xy 127.441373 82.321576) + (xy 127.43122 82.322344) + (xy 127.412836 82.322231) + (xy 127.395838 82.322127) + (xy 127.395836 82.322127) + (xy 127.391385 82.3221) + (xy 127.337011 82.337641) + (xy 127.329623 82.339275) + (xy 127.317187 82.341244) + (xy 127.312804 82.343477) + (xy 127.31187 82.343781) + (xy 127.302155 82.347603) + (xy 127.277929 82.354526) + (xy 127.277927 82.354527) + (xy 127.273644 82.355751) + (xy 127.269875 82.358129) + (xy 127.264906 82.361264) + (xy 127.214119 82.393309) + (xy 127.212819 82.394129) + (xy 127.207842 82.396959) + (xy 127.201757 82.400059) + (xy 127.201756 82.40006) + (xy 127.197375 82.402292) + (xy 127.193897 82.40577) + (xy 127.192445 82.406825) + (xy 127.189058 82.40912) + (xy 127.17008 82.421095) + (xy 127.167137 82.424427) + (xy 127.167135 82.424429) + (xy 127.107156 82.492342) + (xy 127.106032 82.493538) + (xy 127.105775 82.493892) + (xy 127.105554 82.494113) + (xy 127.105405 82.494204) + (xy 127.104504 82.495163) + (xy 127.102292 82.497375) + (xy 127.101509 82.496592) + (xy 127.100362 82.497296) + (xy 127.101721 82.498496) + (xy 127.089018 82.512879) + (xy 127.087127 82.516907) + (xy 127.038868 82.619695) + (xy 127.038867 82.619698) + (xy 127.036976 82.623726) + (xy 127.018136 82.744724) + (xy 127.018713 82.749137) + (xy 127.018713 82.749138) + (xy 127.032774 82.85666) + (xy 127.034014 82.866145) + (xy 127.041185 82.882443) + (xy 127.041244 82.882813) + (xy 127.042007 82.884311) + (xy 127.044031 82.88891) + (xy 127.044031 82.888911) + (xy 127.068811 82.945226) + (xy 127.083333 82.97823) + (xy 127.097188 82.994713) + (xy 127.098029 82.995713) + (xy 127.100157 82.998434) + (xy 127.102292 83.002625) + (xy 127.110081 83.010414) + (xy 127.11373 83.014392) + (xy 127.129888 83.033614) + (xy 127.162127 83.071968) + (xy 127.185399 83.087459) + (xy 127.191836 83.092733) + (xy 127.193899 83.094232) + (xy 127.197375 83.097708) + (xy 127.201753 83.099939) + (xy 127.201756 83.099941) + (xy 127.20873 83.103494) + (xy 127.214977 83.107148) + (xy 127.264064 83.139823) + (xy 127.268313 83.141151) + (xy 127.268314 83.141151) + (xy 127.300296 83.151143) + (xy 127.310027 83.155109) + (xy 127.312799 83.156521) + (xy 127.312803 83.156522) + (xy 127.317187 83.158756) + (xy 127.32777 83.160432) + (xy 127.327852 83.160445) + (xy 127.336713 83.16252) + (xy 127.376696 83.175012) + (xy 127.376699 83.175012) + (xy 127.380948 83.17634) + (xy 127.430069 83.177241) + (xy 127.438683 83.177999) + (xy 127.44514 83.179022) + (xy 127.445144 83.179022) + (xy 127.45 83.179791) + (xy 127.456947 83.17869) + (xy 127.467853 83.177933) + (xy 127.503383 83.178584) + (xy 127.563532 83.162185) + (xy 127.57019 83.160755) + (xy 127.582814 83.158756) + (xy 127.583257 83.161552) + (xy 127.621663 83.16459) + (xy 127.652716 83.200977) + (xy 127.648944 83.248663) + (xy 127.644176 83.255667) + (xy 127.64441 83.25582) + (xy 127.641964 83.259543) + (xy 127.639018 83.262879) + (xy 127.637127 83.266907) + (xy 127.588868 83.369695) + (xy 127.588867 83.369698) + (xy 127.586976 83.373726) + (xy 127.568136 83.494724) + (xy 127.568713 83.499137) + (xy 127.568713 83.499138) + (xy 127.580156 83.586645) + (xy 127.584014 83.616145) + (xy 127.591185 83.632443) + (xy 127.591244 83.632813) + (xy 127.592007 83.634311) + (xy 127.594031 83.63891) + (xy 127.594031 83.638911) + (xy 127.599633 83.651642) + (xy 127.633333 83.72823) + (xy 127.635301 83.730571) + (xy 127.643031 83.777256) + (xy 127.615185 83.816151) + (xy 127.572954 83.821801) + (xy 127.572509 83.824782) + (xy 127.568104 83.824124) + (xy 127.563838 83.822848) + (xy 127.519496 83.822577) + (xy 127.510103 83.821809) + (xy 127.510053 83.821801) + (xy 127.508469 83.82155) + (xy 127.504857 83.820978) + (xy 127.504856 83.820978) + (xy 127.5 83.820209) + (xy 127.491373 83.821576) + (xy 127.48122 83.822344) + (xy 127.462836 83.822231) + (xy 127.445838 83.822127) + (xy 127.445836 83.822127) + (xy 127.441385 83.8221) + (xy 127.387011 83.837641) + (xy 127.379623 83.839275) + (xy 127.367187 83.841244) + (xy 127.362804 83.843477) + (xy 127.36187 83.843781) + (xy 127.352156 83.847603) + (xy 127.345936 83.84938) + (xy 127.327929 83.854526) + (xy 127.327927 83.854527) + (xy 127.323644 83.855751) + (xy 127.319875 83.858129) + (xy 127.315624 83.860811) + (xy 127.269644 83.889823) + (xy 127.262819 83.894129) + (xy 127.257842 83.896959) + (xy 127.251757 83.900059) + (xy 127.251756 83.90006) + (xy 127.247375 83.902292) + (xy 127.243897 83.90577) + (xy 127.242445 83.906825) + (xy 127.239058 83.90912) + (xy 127.22008 83.921095) + (xy 127.217137 83.924427) + (xy 127.217135 83.924429) + (xy 127.157156 83.992342) + (xy 127.156032 83.993538) + (xy 127.155774 83.993893) + (xy 127.155554 83.994113) + (xy 127.155405 83.994204) + (xy 127.154504 83.995163) + (xy 127.152292 83.997375) + (xy 127.151509 83.996592) + (xy 127.150362 83.997296) + (xy 127.151721 83.998496) + (xy 127.139018 84.012879) + (xy 127.137127 84.016906) + (xy 127.137126 84.016908) + (xy 127.134237 84.023062) + (xy 127.098884 84.055287) + (xy 127.077662 84.059) + (xy 125.702676 84.059) + (xy 125.662837 84.044657) + (xy 125.651966 84.035663) + (xy 125.549256 83.950694) + (xy 125.380629 83.859518) + (xy 125.282031 83.828997) + (xy 125.20042 83.803734) + (xy 125.200417 83.803733) + (xy 125.197505 83.802832) + (xy 125.194472 83.802513) + (xy 125.194471 83.802513) + (xy 125.142436 83.797044) + (xy 125.006857 83.782794) + (xy 125.003824 83.78307) + (xy 125.00382 83.78307) + (xy 124.921118 83.790597) + (xy 124.815948 83.800168) + (xy 124.63205 83.854292) + (xy 124.462167 83.943105) + (xy 124.31277 84.063223) + (xy 124.189549 84.210072) + (xy 124.139443 84.301214) + (xy 124.100405 84.372225) + (xy 124.097198 84.378058) + (xy 124.059165 84.497952) + (xy 124.043037 84.548796) + (xy 124.039234 84.560783) + (xy 124.037827 84.573324) + (xy 124.018305 84.747375) + (xy 124.017866 84.751286) + (xy 124.022778 84.809779) + (xy 124.033363 84.935832) + (xy 124.033907 84.942311) + (xy 124.086746 85.126583) + (xy 124.17437 85.297082) + (xy 124.293443 85.447314) + (xy 124.295773 85.449297) + (xy 124.371724 85.513936) + (xy 124.439428 85.571557) + (xy 124.442092 85.573046) + (xy 124.442095 85.573048) + (xy 124.575311 85.6475) + (xy 124.606765 85.665079) + (xy 124.609669 85.666023) + (xy 124.60967 85.666023) + (xy 124.786168 85.723371) + (xy 124.786173 85.723372) + (xy 124.789081 85.724317) + (xy 124.97943 85.747015) + (xy 124.982472 85.746781) + (xy 124.982475 85.746781) + (xy 125.167514 85.732543) + (xy 125.167519 85.732542) + (xy 125.170562 85.732308) + (xy 125.199182 85.724317) + (xy 125.35226 85.681577) + (xy 125.352264 85.681576) + (xy 125.355199 85.680756) + (xy 125.526305 85.594324) + (xy 125.528703 85.592451) + (xy 125.528707 85.592448) + (xy 125.609779 85.529107) + (xy 125.677365 85.476303) + (xy 125.704455 85.444919) + (xy 125.80063 85.3335) + (xy 125.800634 85.333495) + (xy 125.802624 85.331189) + (xy 125.807093 85.323323) + (xy 125.895801 85.16717) + (xy 125.895803 85.167165) + (xy 125.897312 85.164509) + (xy 125.957821 84.982612) + (xy 125.962542 84.945247) + (xy 125.981627 84.794167) + (xy 125.981627 84.794166) + (xy 125.981847 84.792425) + (xy 125.982202 84.766994) + (xy 125.982206 84.766738) + (xy 125.982206 84.766733) + (xy 125.98223 84.765) + (xy 125.980886 84.751286) + (xy 125.963822 84.577257) + (xy 125.963524 84.574217) + (xy 125.947627 84.521564) + (xy 125.952377 84.473966) + (xy 125.989394 84.443668) + (xy 126.007459 84.441) + (xy 127.076187 84.441) + (xy 127.120381 84.459306) + (xy 127.129248 84.470473) + (xy 127.13154 84.474155) + (xy 127.133333 84.47823) + (xy 127.145942 84.49323) + (xy 127.148029 84.495713) + (xy 127.150157 84.498434) + (xy 127.152292 84.502625) + (xy 127.160081 84.510414) + (xy 127.16373 84.514392) + (xy 127.200277 84.55787) + (xy 127.212127 84.571968) + (xy 127.235399 84.587459) + (xy 127.241836 84.592733) + (xy 127.243899 84.594232) + (xy 127.247375 84.597708) + (xy 127.251753 84.599939) + (xy 127.251756 84.599941) + (xy 127.25873 84.603494) + (xy 127.264977 84.607148) + (xy 127.314064 84.639823) + (xy 127.318313 84.641151) + (xy 127.318314 84.641151) + (xy 127.350296 84.651143) + (xy 127.360027 84.655109) + (xy 127.362799 84.656521) + (xy 127.362803 84.656522) + (xy 127.367187 84.658756) + (xy 127.377852 84.660445) + (xy 127.386713 84.66252) + (xy 127.426696 84.675012) + (xy 127.426699 84.675012) + (xy 127.430948 84.67634) + (xy 127.480069 84.677241) + (xy 127.488683 84.677999) + (xy 127.49514 84.679022) + (xy 127.495144 84.679022) + (xy 127.5 84.679791) + (xy 127.506947 84.67869) + (xy 127.517853 84.677933) + (xy 127.553383 84.678584) + (xy 127.566704 84.674952) + (xy 127.614155 84.680988) + (xy 127.643442 84.71881) + (xy 127.636625 84.761755) + (xy 127.639018 84.762879) + (xy 127.634237 84.773062) + (xy 127.598884 84.805287) + (xy 127.577662 84.809) + (xy 127.348232 84.809) + (xy 127.340893 84.80775) + (xy 127.34081 84.808477) + (xy 127.333819 84.80768) + (xy 127.327178 84.805348) + (xy 127.320185 84.806126) + (xy 127.320184 84.806126) + (xy 127.297803 84.808617) + (xy 127.29089 84.809) + (xy 127.283467 84.809) + (xy 127.276535 84.810581) + (xy 127.269582 84.811757) + (xy 127.240571 84.814986) + (xy 127.234607 84.818719) + (xy 127.230659 84.820094) + (xy 127.2269 84.821903) + (xy 127.220041 84.823468) + (xy 127.214539 84.827853) + (xy 127.214538 84.827853) + (xy 127.197227 84.841648) + (xy 127.191441 84.845744) + (xy 127.190258 84.846485) + (xy 127.185289 84.849596) + (xy 127.180076 84.854809) + (xy 127.174832 84.859494) + (xy 127.162031 84.869695) + (xy 127.151891 84.877775) + (xy 127.148833 84.884114) + (xy 127.144442 84.889615) + (xy 127.143908 84.889189) + (xy 127.139532 84.895353) + (xy 125.57891 86.455975) + (xy 125.534716 86.474281) + (xy 125.50499 86.466759) + (xy 125.458431 86.441585) + (xy 125.380629 86.399518) + (xy 125.296216 86.373388) + (xy 125.20042 86.343734) + (xy 125.200417 86.343733) + (xy 125.197505 86.342832) + (xy 125.194472 86.342513) + (xy 125.194471 86.342513) + (xy 125.146787 86.337501) + (xy 125.006857 86.322794) + (xy 125.003824 86.32307) + (xy 125.00382 86.32307) + (xy 124.921118 86.330597) + (xy 124.815948 86.340168) + (xy 124.813019 86.34103) + (xy 124.797002 86.345744) + (xy 124.63205 86.394292) + (xy 124.462167 86.483105) + (xy 124.31277 86.603223) + (xy 124.189549 86.750072) + (xy 124.154066 86.814615) + (xy 124.100426 86.912187) + (xy 124.097198 86.918058) + (xy 124.039234 87.100783) + (xy 124.038056 87.111289) + (xy 124.018655 87.284256) + (xy 124.017866 87.291286) + (xy 124.023279 87.355751) + (xy 124.031484 87.453452) + (xy 124.033907 87.482311) + (xy 124.086746 87.666583) + (xy 124.128267 87.747375) + (xy 124.166086 87.820962) + (xy 124.17437 87.837082) + (xy 124.293443 87.987314) + (xy 124.295773 87.989297) + (xy 124.433436 88.106457) + (xy 124.439428 88.111557) + (xy 124.442092 88.113046) + (xy 124.442095 88.113048) + (xy 124.580157 88.190208) + (xy 124.606765 88.205079) + (xy 124.609669 88.206023) + (xy 124.60967 88.206023) + (xy 124.786168 88.263371) + (xy 124.786173 88.263372) + (xy 124.789081 88.264317) + (xy 124.97943 88.287015) + (xy 124.982472 88.286781) + (xy 124.982475 88.286781) + (xy 125.167514 88.272543) + (xy 125.167519 88.272542) + (xy 125.170562 88.272308) + (xy 125.182926 88.268856) + (xy 125.35226 88.221577) + (xy 125.352264 88.221576) + (xy 125.355199 88.220756) + (xy 125.417643 88.189213) + (xy 125.523585 88.135698) + (xy 125.526305 88.134324) + (xy 125.528703 88.132451) + (xy 125.528707 88.132448) + (xy 125.614515 88.065407) + (xy 125.677365 88.016303) + (xy 125.680154 88.013072) + (xy 125.80063 87.8735) + (xy 125.800634 87.873495) + (xy 125.802624 87.871189) + (xy 125.804132 87.868535) + (xy 125.895801 87.70717) + (xy 125.895803 87.707165) + (xy 125.897312 87.704509) + (xy 125.95218 87.539569) + (xy 125.956858 87.525507) + (xy 125.957821 87.522612) + (xy 125.958492 87.517307) + (xy 125.981627 87.334167) + (xy 125.981627 87.334166) + (xy 125.981847 87.332425) + (xy 125.982149 87.310787) + (xy 125.982206 87.306738) + (xy 125.982206 87.306733) + (xy 125.98223 87.305) + (xy 125.981519 87.297743) + (xy 125.963822 87.117257) + (xy 125.963821 87.11725) + (xy 125.963524 87.114217) + (xy 125.908117 86.930701) + (xy 125.840097 86.802775) + (xy 125.838288 86.799372) + (xy 125.834105 86.75593) + (xy 128.016633 86.75593) + (xy 128.017021 86.758465) + (xy 128.017021 86.758466) + (xy 128.017476 86.761442) + (xy 128.052498 86.990314) + (xy 128.053296 86.992754) + (xy 128.053296 86.992756) + (xy 128.124653 87.211071) + (xy 128.126164 87.215693) + (xy 128.127349 87.21797) + (xy 128.127351 87.217974) + (xy 128.234463 87.423735) + (xy 128.234467 87.423741) + (xy 128.23565 87.426014) + (xy 128.378017 87.61563) + (xy 128.379869 87.617399) + (xy 128.37987 87.617401) + (xy 128.422223 87.657874) + (xy 128.549441 87.779446) + (xy 128.551561 87.780892) + (xy 128.551562 87.780893) + (xy 128.710943 87.889615) + (xy 128.745319 87.913065) + (xy 128.747651 87.914148) + (xy 128.747652 87.914148) + (xy 128.95504 88.010414) + (xy 128.960391 88.012898) + (xy 128.962858 88.013582) + (xy 128.962863 88.013584) + (xy 129.115089 88.0558) + (xy 129.188879 88.076264) + (xy 129.246886 88.082463) + (xy 129.380798 88.096774) + (xy 129.380802 88.096774) + (xy 129.382447 88.09695) + (xy 129.52011 88.09695) + (xy 129.696315 88.082463) + (xy 129.736601 88.072344) + (xy 129.923797 88.025324) + (xy 129.9238 88.025323) + (xy 129.926284 88.024699) + (xy 129.954736 88.012328) + (xy 130.027098 87.980864) + (xy 130.14373 87.930151) + (xy 130.342814 87.801358) + (xy 130.400909 87.748496) + (xy 130.51629 87.643507) + (xy 130.516291 87.643506) + (xy 130.51819 87.641778) + (xy 130.52044 87.638929) + (xy 130.663557 87.457712) + (xy 130.66356 87.457708) + (xy 130.665147 87.455698) + (xy 130.666913 87.4525) + (xy 130.778498 87.250362) + (xy 130.779739 87.248114) + (xy 130.815368 87.147501) + (xy 130.858032 87.027023) + (xy 130.858033 87.027019) + (xy 130.858889 87.024602) + (xy 130.859467 87.021357) + (xy 130.90002 86.7937) + (xy 130.900021 86.793693) + (xy 130.900471 86.791165) + (xy 130.900901 86.75593) + (xy 133.096633 86.75593) + (xy 133.097021 86.758465) + (xy 133.097021 86.758466) + (xy 133.097476 86.761442) + (xy 133.132498 86.990314) + (xy 133.133296 86.992754) + (xy 133.133296 86.992756) + (xy 133.204653 87.211071) + (xy 133.206164 87.215693) + (xy 133.207349 87.21797) + (xy 133.207351 87.217974) + (xy 133.314463 87.423735) + (xy 133.314467 87.423741) + (xy 133.31565 87.426014) + (xy 133.458017 87.61563) + (xy 133.459869 87.617399) + (xy 133.45987 87.617401) + (xy 133.502223 87.657874) + (xy 133.629441 87.779446) + (xy 133.631561 87.780892) + (xy 133.631562 87.780893) + (xy 133.790943 87.889615) + (xy 133.825319 87.913065) + (xy 133.827651 87.914148) + (xy 133.827652 87.914148) + (xy 134.03504 88.010414) + (xy 134.040391 88.012898) + (xy 134.042858 88.013582) + (xy 134.042863 88.013584) + (xy 134.195089 88.0558) + (xy 134.268879 88.076264) + (xy 134.326886 88.082463) + (xy 134.460798 88.096774) + (xy 134.460802 88.096774) + (xy 134.462447 88.09695) + (xy 134.60011 88.09695) + (xy 134.776315 88.082463) + (xy 134.816601 88.072344) + (xy 135.003797 88.025324) + (xy 135.0038 88.025323) + (xy 135.006284 88.024699) + (xy 135.034736 88.012328) + (xy 135.107098 87.980864) + (xy 135.22373 87.930151) + (xy 135.422814 87.801358) + (xy 135.480909 87.748496) + (xy 135.59629 87.643507) + (xy 135.596291 87.643506) + (xy 135.59819 87.641778) + (xy 135.60044 87.638929) + (xy 135.743557 87.457712) + (xy 135.74356 87.457708) + (xy 135.745147 87.455698) + (xy 135.746913 87.4525) + (xy 135.858498 87.250362) + (xy 135.859739 87.248114) + (xy 135.895368 87.147501) + (xy 135.938032 87.027023) + (xy 135.938033 87.027019) + (xy 135.938889 87.024602) + (xy 135.939467 87.021357) + (xy 135.98002 86.7937) + (xy 135.980021 86.793693) + (xy 135.980471 86.791165) + (xy 135.98228 86.64309) + (xy 135.983336 86.556638) + (xy 135.983336 86.556634) + (xy 135.983367 86.55407) + (xy 135.947502 86.319686) + (xy 135.945966 86.314985) + (xy 135.874635 86.096751) + (xy 135.874634 86.096749) + (xy 135.873836 86.094307) + (xy 135.872242 86.091244) + (xy 135.765537 85.886265) + (xy 135.765533 85.886259) + (xy 135.76435 85.883986) + (xy 135.621983 85.69437) + (xy 135.61859 85.691127) + (xy 135.518728 85.595698) + (xy 135.450559 85.530554) + (xy 135.426198 85.513936) + (xy 135.256807 85.398385) + (xy 135.256805 85.398384) + (xy 135.254681 85.396935) + (xy 135.25067 85.395073) + (xy 135.041938 85.298183) + (xy 135.041937 85.298183) + (xy 135.039609 85.297102) + (xy 135.037142 85.296418) + (xy 135.037137 85.296416) + (xy 134.854308 85.245713) + (xy 134.811121 85.233736) + (xy 134.721477 85.224156) + (xy 134.619202 85.213226) + (xy 134.619198 85.213226) + (xy 134.617553 85.21305) + (xy 134.47989 85.21305) + (xy 134.303685 85.227537) + (xy 134.301192 85.228163) + (xy 134.301193 85.228163) + (xy 134.076203 85.284676) + (xy 134.0762 85.284677) + (xy 134.073716 85.285301) + (xy 133.85627 85.379849) + (xy 133.657186 85.508642) + (xy 133.655287 85.51037) + (xy 133.486902 85.663589) + (xy 133.48181 85.668222) + (xy 133.480222 85.670233) + (xy 133.480219 85.670236) + (xy 133.336443 85.852288) + (xy 133.33644 85.852292) + (xy 133.334853 85.854302) + (xy 133.333614 85.856547) + (xy 133.333613 85.856548) + (xy 133.26869 85.974156) + (xy 133.220261 86.061886) + (xy 133.219403 86.064309) + (xy 133.154179 86.248496) + (xy 133.141111 86.285398) + (xy 133.140661 86.287924) + (xy 133.14066 86.287928) + (xy 133.09998 86.5163) + (xy 133.099979 86.516307) + (xy 133.099529 86.518835) + (xy 133.098115 86.634595) + (xy 133.096671 86.752855) + (xy 133.096633 86.75593) + (xy 130.900901 86.75593) + (xy 130.90228 86.64309) + (xy 130.903336 86.556638) + (xy 130.903336 86.556634) + (xy 130.903367 86.55407) + (xy 130.867502 86.319686) + (xy 130.865966 86.314985) + (xy 130.794635 86.096751) + (xy 130.794634 86.096749) + (xy 130.793836 86.094307) + (xy 130.792242 86.091244) + (xy 130.685537 85.886265) + (xy 130.685533 85.886259) + (xy 130.68435 85.883986) + (xy 130.541983 85.69437) + (xy 130.53859 85.691127) + (xy 130.438728 85.595698) + (xy 130.370559 85.530554) + (xy 130.346198 85.513936) + (xy 130.176807 85.398385) + (xy 130.176805 85.398384) + (xy 130.174681 85.396935) + (xy 130.17067 85.395073) + (xy 129.961938 85.298183) + (xy 129.961937 85.298183) + (xy 129.959609 85.297102) + (xy 129.957142 85.296418) + (xy 129.957137 85.296416) + (xy 129.774308 85.245713) + (xy 129.731121 85.233736) + (xy 129.641477 85.224156) + (xy 129.539202 85.213226) + (xy 129.539198 85.213226) + (xy 129.537553 85.21305) + (xy 129.39989 85.21305) + (xy 129.223685 85.227537) + (xy 129.221192 85.228163) + (xy 129.221193 85.228163) + (xy 128.996203 85.284676) + (xy 128.9962 85.284677) + (xy 128.993716 85.285301) + (xy 128.77627 85.379849) + (xy 128.577186 85.508642) + (xy 128.575287 85.51037) + (xy 128.406902 85.663589) + (xy 128.40181 85.668222) + (xy 128.400222 85.670233) + (xy 128.400219 85.670236) + (xy 128.256443 85.852288) + (xy 128.25644 85.852292) + (xy 128.254853 85.854302) + (xy 128.253614 85.856547) + (xy 128.253613 85.856548) + (xy 128.18869 85.974156) + (xy 128.140261 86.061886) + (xy 128.139403 86.064309) + (xy 128.074179 86.248496) + (xy 128.061111 86.285398) + (xy 128.060661 86.287924) + (xy 128.06066 86.287928) + (xy 128.01998 86.5163) + (xy 128.019979 86.516307) + (xy 128.019529 86.518835) + (xy 128.018115 86.634595) + (xy 128.016671 86.752855) + (xy 128.016633 86.75593) + (xy 125.834105 86.75593) + (xy 125.833703 86.751757) + (xy 125.849278 86.725836) + (xy 127.365808 85.209306) + (xy 127.410002 85.191) + (xy 127.576187 85.191) + (xy 127.620381 85.209306) + (xy 127.629248 85.220473) + (xy 127.63154 85.224155) + (xy 127.633333 85.22823) + (xy 127.647272 85.244813) + (xy 127.648029 85.245713) + (xy 127.650157 85.248434) + (xy 127.652292 85.252625) + (xy 127.660081 85.260414) + (xy 127.663729 85.264391) + (xy 127.712127 85.321968) + (xy 127.735399 85.337459) + (xy 127.741836 85.342733) + (xy 127.743899 85.344232) + (xy 127.747375 85.347708) + (xy 127.751753 85.349939) + (xy 127.751756 85.349941) + (xy 127.75873 85.353494) + (xy 127.764977 85.357148) + (xy 127.814064 85.389823) + (xy 127.818313 85.391151) + (xy 127.818314 85.391151) + (xy 127.850296 85.401143) + (xy 127.860027 85.405109) + (xy 127.862799 85.406521) + (xy 127.862803 85.406522) + (xy 127.867187 85.408756) + (xy 127.877852 85.410445) + (xy 127.886713 85.41252) + (xy 127.926696 85.425012) + (xy 127.926699 85.425012) + (xy 127.930948 85.42634) + (xy 127.980069 85.427241) + (xy 127.988683 85.427999) + (xy 127.99514 85.429022) + (xy 127.995144 85.429022) + (xy 128 85.429791) + (xy 128.006947 85.42869) + (xy 128.017853 85.427933) + (xy 128.053383 85.428584) + (xy 128.113532 85.412185) + (xy 128.12019 85.410755) + (xy 128.122147 85.410445) + (xy 128.132813 85.408756) + (xy 128.137196 85.406522) + (xy 128.141875 85.405002) + (xy 128.141878 85.40501) + (xy 128.146727 85.403136) + (xy 128.171527 85.396375) + (xy 128.176521 85.393309) + (xy 128.239709 85.354511) + (xy 128.244037 85.352085) + (xy 128.24824 85.349944) + (xy 128.248248 85.349938) + (xy 128.252625 85.347708) + (xy 128.255427 85.344906) + (xy 128.256264 85.344346) + (xy 128.261316 85.341244) + (xy 128.275881 85.332301) + (xy 128.358058 85.241513) + (xy 128.365454 85.226248) + (xy 128.401198 85.194458) + (xy 128.4217 85.191) + (xy 128.456768 85.191) + (xy 128.464107 85.19225) + (xy 128.46419 85.191523) + (xy 128.471181 85.19232) + (xy 128.477822 85.194652) + (xy 128.484815 85.193874) + (xy 128.484816 85.193874) + (xy 128.505939 85.191523) + (xy 128.507198 85.191383) + (xy 128.51411 85.191) + (xy 128.521533 85.191) + (xy 128.528465 85.189419) + (xy 128.535418 85.188243) + (xy 128.564429 85.185014) + (xy 128.570393 85.181281) + (xy 128.574341 85.179906) + (xy 128.5781 85.178097) + (xy 128.584959 85.176532) + (xy 128.590662 85.171988) + (xy 128.607773 85.158352) + (xy 128.613559 85.154256) + (xy 128.616715 85.15228) + (xy 128.616717 85.152279) + (xy 128.619711 85.150404) + (xy 128.624924 85.145191) + (xy 128.630168 85.140506) + (xy 128.647604 85.126612) + (xy 128.647604 85.126611) + (xy 128.653109 85.122225) + (xy 128.656167 85.115886) + (xy 128.660558 85.110385) + (xy 128.661092 85.110811) + (xy 128.665468 85.104647) + (xy 129.810809 83.959306) + (xy 129.855003 83.941) + (xy 136.456768 83.941) + (xy 136.464107 83.94225) + (xy 136.46419 83.941523) + (xy 136.471181 83.94232) + (xy 136.477822 83.944652) + (xy 136.484815 83.943874) + (xy 136.484816 83.943874) + (xy 136.507197 83.941383) + (xy 136.51411 83.941) + (xy 136.521533 83.941) + (xy 136.528465 83.939419) + (xy 136.535418 83.938243) + (xy 136.564429 83.935014) + (xy 136.570393 83.931281) + (xy 136.574341 83.929906) + (xy 136.5781 83.928097) + (xy 136.584959 83.926532) + (xy 136.607774 83.908351) + (xy 136.613559 83.904256) + (xy 136.616715 83.90228) + (xy 136.616717 83.902279) + (xy 136.619711 83.900404) + (xy 136.624924 83.895191) + (xy 136.630168 83.890506) + (xy 136.647604 83.876612) + (xy 136.647604 83.876611) + (xy 136.653109 83.872225) + (xy 136.656167 83.865886) + (xy 136.660558 83.860385) + (xy 136.661092 83.860811) + (xy 136.665468 83.854647) + (xy 138.003077 82.517038) + (xy 138.047271 82.498732) + (xy 138.091465 82.517038) + (xy 138.109771 82.561232) + (xy 138.103846 82.587794) + (xy 138.088868 82.619695) + (xy 138.088867 82.619698) + (xy 138.086976 82.623726) + (xy 138.068136 82.744724) + (xy 138.068713 82.749137) + (xy 138.068713 82.749138) + (xy 138.082774 82.85666) + (xy 138.084014 82.866145) + (xy 138.091185 82.882443) + (xy 138.091244 82.882813) + (xy 138.092007 82.884311) + (xy 138.133333 82.97823) + (xy 138.135301 82.980571) + (xy 138.143031 83.027256) + (xy 138.115185 83.066151) + (xy 138.072954 83.071801) + (xy 138.072509 83.074782) + (xy 138.068104 83.074124) + (xy 138.063838 83.072848) + (xy 138.019496 83.072577) + (xy 138.010103 83.071809) + (xy 138.010053 83.071801) + (xy 138.008469 83.07155) + (xy 138.004857 83.070978) + (xy 138.004856 83.070978) + (xy 138 83.070209) + (xy 137.991373 83.071576) + (xy 137.98122 83.072344) + (xy 137.962836 83.072231) + (xy 137.945838 83.072127) + (xy 137.945836 83.072127) + (xy 137.941385 83.0721) + (xy 137.887011 83.087641) + (xy 137.879623 83.089275) + (xy 137.867187 83.091244) + (xy 137.862804 83.093477) + (xy 137.86187 83.093781) + (xy 137.852156 83.097603) + (xy 137.845936 83.09938) + (xy 137.827929 83.104526) + (xy 137.827927 83.104527) + (xy 137.823644 83.105751) + (xy 137.764119 83.143309) + (xy 137.762819 83.144129) + (xy 137.757842 83.146959) + (xy 137.751757 83.150059) + (xy 137.751756 83.15006) + (xy 137.747375 83.152292) + (xy 137.743897 83.15577) + (xy 137.742445 83.156825) + (xy 137.739058 83.15912) + (xy 137.72008 83.171095) + (xy 137.717137 83.174427) + (xy 137.717135 83.174429) + (xy 137.657156 83.242342) + (xy 137.656032 83.243538) + (xy 137.655775 83.243892) + (xy 137.655554 83.244113) + (xy 137.655405 83.244204) + (xy 137.654504 83.245163) + (xy 137.652292 83.247375) + (xy 137.651509 83.246592) + (xy 137.650362 83.247296) + (xy 137.651721 83.248496) + (xy 137.645388 83.255667) + (xy 137.639018 83.262879) + (xy 137.637127 83.266907) + (xy 137.588868 83.369695) + (xy 137.588867 83.369698) + (xy 137.586976 83.373726) + (xy 137.568136 83.494724) + (xy 137.568713 83.499137) + (xy 137.568713 83.499138) + (xy 137.580156 83.586645) + (xy 137.584014 83.616145) + (xy 137.591185 83.632443) + (xy 137.591244 83.632813) + (xy 137.592007 83.634311) + (xy 137.594031 83.63891) + (xy 137.594031 83.638911) + (xy 137.625141 83.709612) + (xy 137.633333 83.72823) + (xy 137.647273 83.744814) + (xy 137.648029 83.745713) + (xy 137.650157 83.748434) + (xy 137.652292 83.752625) + (xy 137.660081 83.760414) + (xy 137.66373 83.764392) + (xy 137.70807 83.817141) + (xy 137.712127 83.821968) + (xy 137.735399 83.837459) + (xy 137.741836 83.842733) + (xy 137.743899 83.844232) + (xy 137.747375 83.847708) + (xy 137.751753 83.849939) + (xy 137.751756 83.849941) + (xy 137.75873 83.853494) + (xy 137.764977 83.857148) + (xy 137.814064 83.889823) + (xy 137.818313 83.891151) + (xy 137.818314 83.891151) + (xy 137.850296 83.901143) + (xy 137.860027 83.905109) + (xy 137.862799 83.906521) + (xy 137.862803 83.906522) + (xy 137.867187 83.908756) + (xy 137.877852 83.910445) + (xy 137.886713 83.91252) + (xy 137.926696 83.925012) + (xy 137.926699 83.925012) + (xy 137.930948 83.92634) + (xy 137.980069 83.927241) + (xy 137.988683 83.927999) + (xy 137.99514 83.929022) + (xy 137.995144 83.929022) + (xy 138 83.929791) + (xy 138.006947 83.92869) + (xy 138.017853 83.927933) + (xy 138.053383 83.928584) + (xy 138.066704 83.924952) + (xy 138.114155 83.930988) + (xy 138.143442 83.96881) + (xy 138.136625 84.011755) + (xy 138.139018 84.012879) + (xy 138.088868 84.119695) + (xy 138.088867 84.119698) + (xy 138.086976 84.123726) + (xy 138.068136 84.244724) + (xy 138.068713 84.249137) + (xy 138.068713 84.249138) + (xy 138.08223 84.3525) + (xy 138.084014 84.366145) + (xy 138.091185 84.382443) + (xy 138.091244 84.382813) + (xy 138.092007 84.384311) + (xy 138.094031 84.38891) + (xy 138.094031 84.388911) + (xy 138.095698 84.3927) + (xy 138.133333 84.47823) + (xy 138.135301 84.480571) + (xy 138.143031 84.527256) + (xy 138.115185 84.566151) + (xy 138.072954 84.571801) + (xy 138.072509 84.574782) + (xy 138.068104 84.574124) + (xy 138.063838 84.572848) + (xy 138.019496 84.572577) + (xy 138.010103 84.571809) + (xy 138.010053 84.571801) + (xy 138.00682 84.571289) + (xy 138.004857 84.570978) + (xy 138.004856 84.570978) + (xy 138 84.570209) + (xy 137.991373 84.571576) + (xy 137.98122 84.572344) + (xy 137.962836 84.572231) + (xy 137.945838 84.572127) + (xy 137.945836 84.572127) + (xy 137.941385 84.5721) + (xy 137.887011 84.587641) + (xy 137.879623 84.589275) + (xy 137.867187 84.591244) + (xy 137.862804 84.593477) + (xy 137.86187 84.593781) + (xy 137.852156 84.597603) + (xy 137.849821 84.59827) + (xy 137.827929 84.604526) + (xy 137.827927 84.604527) + (xy 137.823644 84.605751) + (xy 137.764119 84.643309) + (xy 137.762819 84.644129) + (xy 137.757842 84.646959) + (xy 137.751757 84.650059) + (xy 137.751756 84.65006) + (xy 137.747375 84.652292) + (xy 137.743897 84.65577) + (xy 137.742445 84.656825) + (xy 137.739058 84.65912) + (xy 137.72008 84.671095) + (xy 137.717137 84.674427) + (xy 137.717135 84.674429) + (xy 137.657156 84.742342) + (xy 137.656032 84.743538) + (xy 137.655775 84.743892) + (xy 137.655554 84.744113) + (xy 137.655405 84.744204) + (xy 137.654504 84.745163) + (xy 137.652292 84.747375) + (xy 137.651509 84.746592) + (xy 137.650362 84.747296) + (xy 137.651721 84.748496) + (xy 137.639018 84.762879) + (xy 137.637086 84.766994) + (xy 137.588868 84.869695) + (xy 137.588867 84.869698) + (xy 137.586976 84.873726) + (xy 137.568136 84.994724) + (xy 137.568713 84.999137) + (xy 137.568713 84.999138) + (xy 137.58251 85.104647) + (xy 137.584014 85.116145) + (xy 137.591185 85.132443) + (xy 137.591244 85.132813) + (xy 137.592007 85.134311) + (xy 137.594031 85.13891) + (xy 137.594031 85.138911) + (xy 137.62992 85.220473) + (xy 137.633333 85.22823) + (xy 137.647272 85.244813) + (xy 137.648029 85.245713) + (xy 137.650157 85.248434) + (xy 137.652292 85.252625) + (xy 137.660081 85.260414) + (xy 137.663729 85.264391) + (xy 137.712127 85.321968) + (xy 137.735399 85.337459) + (xy 137.741836 85.342733) + (xy 137.743899 85.344232) + (xy 137.747375 85.347708) + (xy 137.751753 85.349939) + (xy 137.751756 85.349941) + (xy 137.75873 85.353494) + (xy 137.764977 85.357148) + (xy 137.814064 85.389823) + (xy 137.818313 85.391151) + (xy 137.818314 85.391151) + (xy 137.850296 85.401143) + (xy 137.860027 85.405109) + (xy 137.862799 85.406521) + (xy 137.862803 85.406522) + (xy 137.867187 85.408756) + (xy 137.877852 85.410445) + (xy 137.886713 85.41252) + (xy 137.926696 85.425012) + (xy 137.926699 85.425012) + (xy 137.930948 85.42634) + (xy 137.980069 85.427241) + (xy 137.988683 85.427999) + (xy 137.99514 85.429022) + (xy 137.995144 85.429022) + (xy 138 85.429791) + (xy 138.006947 85.42869) + (xy 138.017853 85.427933) + (xy 138.053383 85.428584) + (xy 138.113532 85.412185) + (xy 138.12019 85.410755) + (xy 138.122147 85.410445) + (xy 138.132813 85.408756) + (xy 138.137196 85.406522) + (xy 138.141875 85.405002) + (xy 138.141878 85.40501) + (xy 138.146727 85.403136) + (xy 138.171527 85.396375) + (xy 138.176521 85.393309) + (xy 138.239709 85.354511) + (xy 138.244037 85.352085) + (xy 138.24824 85.349944) + (xy 138.248248 85.349938) + (xy 138.252625 85.347708) + (xy 138.255427 85.344906) + (xy 138.256264 85.344346) + (xy 138.261316 85.341244) + (xy 138.275881 85.332301) + (xy 138.358058 85.241513) + (xy 138.365454 85.226248) + (xy 138.401198 85.194458) + (xy 138.4217 85.191) + (xy 138.706768 85.191) + (xy 138.714107 85.19225) + (xy 138.71419 85.191523) + (xy 138.721181 85.19232) + (xy 138.727822 85.194652) + (xy 138.734815 85.193874) + (xy 138.734816 85.193874) + (xy 138.755939 85.191523) + (xy 138.757198 85.191383) + (xy 138.76411 85.191) + (xy 138.771533 85.191) + (xy 138.778465 85.189419) + (xy 138.785418 85.188243) + (xy 138.814429 85.185014) + (xy 138.820393 85.181281) + (xy 138.824341 85.179906) + (xy 138.8281 85.178097) + (xy 138.834959 85.176532) + (xy 138.840662 85.171988) + (xy 138.857773 85.158352) + (xy 138.863559 85.154256) + (xy 138.866715 85.15228) + (xy 138.866717 85.152279) + (xy 138.869711 85.150404) + (xy 138.874924 85.145191) + (xy 138.880167 85.140507) + (xy 138.897604 85.126612) + (xy 138.897604 85.126611) + (xy 138.903109 85.122225) + (xy 138.906167 85.115886) + (xy 138.910558 85.110385) + (xy 138.911092 85.110811) + (xy 138.915468 85.104647) + (xy 139.553768 84.466346) + (xy 139.597962 84.44804) + (xy 155.043505 84.44804) + (xy 155.087699 84.466346) + (xy 155.287174 84.665821) + (xy 155.30548 84.710015) + (xy 155.30548 85.539986) + (xy 155.287174 85.58418) + (xy 153.381433 87.489921) + (xy 153.375359 87.494226) + (xy 153.375815 87.494799) + (xy 153.370307 87.49918) + (xy 153.363962 87.502227) + (xy 153.359566 87.507724) + (xy 153.345502 87.52531) + (xy 153.340885 87.530469) + (xy 153.335636 87.535718) + (xy 153.331863 87.541721) + (xy 153.327758 87.547497) + (xy 153.309537 87.570282) + (xy 153.30796 87.577142) + (xy 153.306142 87.580902) + (xy 153.304764 87.584838) + (xy 153.301018 87.590797) + (xy 153.300227 87.597791) + (xy 153.300227 87.597792) + (xy 153.297741 87.619785) + (xy 153.296549 87.626762) + (xy 153.29492 87.633846) + (xy 153.29492 87.64122) + (xy 153.294524 87.648241) + (xy 153.291229 87.677387) + (xy 153.29355 87.684032) + (xy 153.294334 87.691026) + (xy 153.293656 87.691102) + (xy 153.29492 87.698554) + (xy 153.29492 89.659077) + (xy 153.276614 89.703271) + (xy 153.161953 89.817932) + (xy 153.117759 89.836238) + (xy 153.099851 89.833618) + (xy 153.083715 89.828793) + (xy 153.063838 89.822848) + (xy 153.019496 89.822577) + (xy 153.010103 89.821809) + (xy 153.004857 89.820978) + (xy 153.004856 89.820978) + (xy 153 89.820209) + (xy 152.991373 89.821576) + (xy 152.98122 89.822344) + (xy 152.962836 89.822231) + (xy 152.945838 89.822127) + (xy 152.945836 89.822127) + (xy 152.941385 89.8221) + (xy 152.887011 89.837641) + (xy 152.879623 89.839275) + (xy 152.867187 89.841244) + (xy 152.862804 89.843477) + (xy 152.86187 89.843781) + (xy 152.852155 89.847603) + (xy 152.827929 89.854526) + (xy 152.827927 89.854527) + (xy 152.823644 89.855751) + (xy 152.819875 89.858129) + (xy 152.808345 89.865404) + (xy 152.764119 89.893309) + (xy 152.762819 89.894129) + (xy 152.757842 89.896959) + (xy 152.751757 89.900059) + (xy 152.751756 89.90006) + (xy 152.747375 89.902292) + (xy 152.743897 89.90577) + (xy 152.742445 89.906825) + (xy 152.739058 89.90912) + (xy 152.72008 89.921095) + (xy 152.717137 89.924427) + (xy 152.717135 89.924429) + (xy 152.657156 89.992342) + (xy 152.656032 89.993538) + (xy 152.655775 89.993892) + (xy 152.655554 89.994113) + (xy 152.655405 89.994204) + (xy 152.654504 89.995163) + (xy 152.652292 89.997375) + (xy 152.651509 89.996592) + (xy 152.650362 89.997296) + (xy 152.651721 89.998496) + (xy 152.642377 90.009076) + (xy 152.639018 90.012879) + (xy 152.637127 90.016907) + (xy 152.588868 90.119695) + (xy 152.588867 90.119698) + (xy 152.586976 90.123726) + (xy 152.568136 90.244724) + (xy 152.568713 90.249137) + (xy 152.568713 90.249138) + (xy 152.582857 90.357301) + (xy 152.584014 90.366145) + (xy 152.591185 90.382443) + (xy 152.591244 90.382813) + (xy 152.592007 90.384311) + (xy 152.594031 90.38891) + (xy 152.594031 90.388911) + (xy 152.630204 90.471118) + (xy 152.633333 90.47823) + (xy 152.646094 90.493411) + (xy 152.648029 90.495713) + (xy 152.650157 90.498434) + (xy 152.652292 90.502625) + (xy 152.660081 90.510414) + (xy 152.66373 90.514392) + (xy 152.701743 90.559614) + (xy 152.712127 90.571968) + (xy 152.735399 90.587459) + (xy 152.741836 90.592733) + (xy 152.743899 90.594232) + (xy 152.747375 90.597708) + (xy 152.751753 90.599939) + (xy 152.751756 90.599941) + (xy 152.75873 90.603494) + (xy 152.764977 90.607148) + (xy 152.814064 90.639823) + (xy 152.818313 90.641151) + (xy 152.818314 90.641151) + (xy 152.850296 90.651143) + (xy 152.860027 90.655109) + (xy 152.862799 90.656521) + (xy 152.862803 90.656522) + (xy 152.867187 90.658756) + (xy 152.877852 90.660445) + (xy 152.886713 90.66252) + (xy 152.926696 90.675012) + (xy 152.926699 90.675012) + (xy 152.930948 90.67634) + (xy 152.980069 90.677241) + (xy 152.988683 90.677999) + (xy 152.99514 90.679022) + (xy 152.995144 90.679022) + (xy 153 90.679791) + (xy 153.006947 90.67869) + (xy 153.017853 90.677933) + (xy 153.053383 90.678584) + (xy 153.066704 90.674952) + (xy 153.114155 90.680988) + (xy 153.143442 90.71881) + (xy 153.136625 90.761755) + (xy 153.139018 90.762879) + (xy 153.088868 90.869695) + (xy 153.088867 90.869698) + (xy 153.086976 90.873726) + (xy 153.068136 90.994724) + (xy 153.068713 90.999137) + (xy 153.068713 90.999138) + (xy 153.074976 91.047029) + (xy 153.084014 91.116145) + (xy 153.091185 91.132443) + (xy 153.091244 91.132813) + (xy 153.092007 91.134311) + (xy 153.094031 91.13891) + (xy 153.094031 91.138911) + (xy 153.129309 91.219084) + (xy 153.133333 91.22823) + (xy 153.147272 91.244813) + (xy 153.148029 91.245713) + (xy 153.150157 91.248434) + (xy 153.152292 91.252625) + (xy 153.160081 91.260414) + (xy 153.163729 91.264391) + (xy 153.212127 91.321968) + (xy 153.235399 91.337459) + (xy 153.241836 91.342733) + (xy 153.243899 91.344232) + (xy 153.247375 91.347708) + (xy 153.251753 91.349939) + (xy 153.251756 91.349941) + (xy 153.25873 91.353494) + (xy 153.264977 91.357148) + (xy 153.314064 91.389823) + (xy 153.318313 91.391151) + (xy 153.318314 91.391151) + (xy 153.350296 91.401143) + (xy 153.360027 91.405109) + (xy 153.362799 91.406521) + (xy 153.362803 91.406522) + (xy 153.367187 91.408756) + (xy 153.377852 91.410445) + (xy 153.386713 91.41252) + (xy 153.426696 91.425012) + (xy 153.426699 91.425012) + (xy 153.430948 91.42634) + (xy 153.480069 91.427241) + (xy 153.488683 91.427999) + (xy 153.49514 91.429022) + (xy 153.495144 91.429022) + (xy 153.5 91.429791) + (xy 153.506947 91.42869) + (xy 153.517853 91.427933) + (xy 153.553383 91.428584) + (xy 153.613532 91.412185) + (xy 153.62019 91.410755) + (xy 153.622147 91.410445) + (xy 153.632813 91.408756) + (xy 153.637196 91.406522) + (xy 153.641875 91.405002) + (xy 153.641878 91.40501) + (xy 153.646727 91.403136) + (xy 153.671527 91.396375) + (xy 153.676521 91.393309) + (xy 153.739709 91.354511) + (xy 153.744037 91.352085) + (xy 153.74824 91.349944) + (xy 153.748248 91.349938) + (xy 153.752625 91.347708) + (xy 153.755427 91.344906) + (xy 153.756264 91.344346) + (xy 153.761316 91.341244) + (xy 153.775881 91.332301) + (xy 153.858058 91.241513) + (xy 153.911451 91.131311) + (xy 153.91298 91.122225) + (xy 153.920105 91.079873) + (xy 153.94549 91.039328) + (xy 153.992108 91.028608) + (xy 154.025933 91.046048) + (xy 154.790694 91.810809) + (xy 154.809 91.855003) + (xy 154.809 109.144997) + (xy 154.790694 109.189191) + (xy 153.161953 110.817932) + (xy 153.117759 110.836238) + (xy 153.099851 110.833618) + (xy 153.083715 110.828793) + (xy 153.063838 110.822848) + (xy 153.019496 110.822577) + (xy 153.010103 110.821809) + (xy 153.004857 110.820978) + (xy 153.004856 110.820978) + (xy 153 110.820209) + (xy 152.991373 110.821576) + (xy 152.98122 110.822344) + (xy 152.962836 110.822231) + (xy 152.945838 110.822127) + (xy 152.945836 110.822127) + (xy 152.941385 110.8221) + (xy 152.887011 110.837641) + (xy 152.879623 110.839275) + (xy 152.867187 110.841244) + (xy 152.862804 110.843477) + (xy 152.86187 110.843781) + (xy 152.852155 110.847603) + (xy 152.827929 110.854526) + (xy 152.827927 110.854527) + (xy 152.823644 110.855751) + (xy 152.780754 110.882813) + (xy 152.762819 110.894129) + (xy 152.757842 110.896959) + (xy 152.751757 110.900059) + (xy 152.751756 110.90006) + (xy 152.747375 110.902292) + (xy 152.743897 110.90577) + (xy 152.742445 110.906825) + (xy 152.739058 110.90912) + (xy 152.72008 110.921095) + (xy 152.717137 110.924427) + (xy 152.717135 110.924429) + (xy 152.657156 110.992342) + (xy 152.656032 110.993538) + (xy 152.655774 110.993893) + (xy 152.655554 110.994113) + (xy 152.655405 110.994204) + (xy 152.654504 110.995163) + (xy 152.652292 110.997375) + (xy 152.651509 110.996592) + (xy 152.650362 110.997296) + (xy 152.651721 110.998496) + (xy 152.639018 111.012879) + (xy 152.637127 111.016907) + (xy 152.588868 111.119695) + (xy 152.588867 111.119698) + (xy 152.586976 111.123726) + (xy 152.568136 111.244724) + (xy 152.568713 111.249137) + (xy 152.568713 111.249138) + (xy 152.58223 111.3525) + (xy 152.584014 111.366145) + (xy 152.591185 111.382443) + (xy 152.591244 111.382813) + (xy 152.592007 111.384311) + (xy 152.594031 111.38891) + (xy 152.594031 111.388911) + (xy 152.625922 111.461387) + (xy 152.633333 111.47823) + (xy 152.647272 111.494813) + (xy 152.648029 111.495713) + (xy 152.650157 111.498434) + (xy 152.652292 111.502625) + (xy 152.660081 111.510414) + (xy 152.663729 111.514391) + (xy 152.712127 111.571968) + (xy 152.735399 111.587459) + (xy 152.741836 111.592733) + (xy 152.743899 111.594232) + (xy 152.747375 111.597708) + (xy 152.751753 111.599939) + (xy 152.751756 111.599941) + (xy 152.75873 111.603494) + (xy 152.764977 111.607148) + (xy 152.814064 111.639823) + (xy 152.818313 111.641151) + (xy 152.818314 111.641151) + (xy 152.850296 111.651143) + (xy 152.860027 111.655109) + (xy 152.862799 111.656521) + (xy 152.862803 111.656522) + (xy 152.867187 111.658756) + (xy 152.877852 111.660445) + (xy 152.886713 111.66252) + (xy 152.926696 111.675012) + (xy 152.926699 111.675012) + (xy 152.930948 111.67634) + (xy 152.980069 111.677241) + (xy 152.988683 111.677999) + (xy 152.99514 111.679022) + (xy 152.995144 111.679022) + (xy 153 111.679791) + (xy 153.006947 111.67869) + (xy 153.017853 111.677933) + (xy 153.053383 111.678584) + (xy 153.113532 111.662185) + (xy 153.12019 111.660755) + (xy 153.122147 111.660445) + (xy 153.132813 111.658756) + (xy 153.137196 111.656522) + (xy 153.141875 111.655002) + (xy 153.141878 111.65501) + (xy 153.146727 111.653136) + (xy 153.171527 111.646375) + (xy 153.176521 111.643309) + (xy 153.239709 111.604511) + (xy 153.244037 111.602085) + (xy 153.24824 111.599944) + (xy 153.248248 111.599938) + (xy 153.252625 111.597708) + (xy 153.255427 111.594906) + (xy 153.256264 111.594346) + (xy 153.257681 111.593476) + (xy 153.275881 111.582301) + (xy 153.358058 111.491513) + (xy 153.411451 111.381311) + (xy 153.413317 111.370224) + (xy 153.427268 111.287296) + (xy 153.431767 111.260552) + (xy 153.431896 111.25) + (xy 153.416061 111.139432) + (xy 153.427917 111.093091) + (xy 153.433736 111.086379) + (xy 153.473478 111.046637) + (xy 153.517672 111.028331) + (xy 153.561866 111.046637) + (xy 153.579644 111.082727) + (xy 153.584014 111.116145) + (xy 153.585809 111.120224) + (xy 153.589286 111.128126) + (xy 153.591185 111.132443) + (xy 153.591244 111.132813) + (xy 153.592007 111.134311) + (xy 153.594031 111.13891) + (xy 153.594031 111.138911) + (xy 153.625922 111.211387) + (xy 153.633333 111.22823) + (xy 153.636197 111.231637) + (xy 153.648029 111.245713) + (xy 153.650157 111.248434) + (xy 153.652292 111.252625) + (xy 153.660081 111.260414) + (xy 153.66373 111.264392) + (xy 153.682983 111.287296) + (xy 153.712127 111.321968) + (xy 153.735399 111.337459) + (xy 153.741836 111.342733) + (xy 153.743899 111.344232) + (xy 153.747375 111.347708) + (xy 153.751753 111.349939) + (xy 153.751756 111.349941) + (xy 153.75873 111.353494) + (xy 153.764977 111.357148) + (xy 153.814064 111.389823) + (xy 153.818313 111.391151) + (xy 153.818314 111.391151) + (xy 153.850296 111.401143) + (xy 153.860027 111.405109) + (xy 153.862799 111.406521) + (xy 153.862803 111.406522) + (xy 153.867187 111.408756) + (xy 153.877852 111.410445) + (xy 153.886713 111.41252) + (xy 153.92576 111.424719) + (xy 153.962484 111.45537) + (xy 153.966778 111.503013) + (xy 153.951316 111.528569) + (xy 153.911953 111.567932) + (xy 153.867759 111.586238) + (xy 153.849851 111.583618) + (xy 153.833715 111.578793) + (xy 153.813838 111.572848) + (xy 153.769496 111.572577) + (xy 153.760103 111.571809) + (xy 153.754857 111.570978) + (xy 153.754856 111.570978) + (xy 153.75 111.570209) + (xy 153.741373 111.571576) + (xy 153.73122 111.572344) + (xy 153.712836 111.572231) + (xy 153.695838 111.572127) + (xy 153.695836 111.572127) + (xy 153.691385 111.5721) + (xy 153.637011 111.587641) + (xy 153.629623 111.589275) + (xy 153.617187 111.591244) + (xy 153.612804 111.593477) + (xy 153.61187 111.593781) + (xy 153.602156 111.597603) + (xy 153.599821 111.59827) + (xy 153.577929 111.604526) + (xy 153.577927 111.604527) + (xy 153.573644 111.605751) + (xy 153.514119 111.643309) + (xy 153.512819 111.644129) + (xy 153.507842 111.646959) + (xy 153.501757 111.650059) + (xy 153.501756 111.65006) + (xy 153.497375 111.652292) + (xy 153.493897 111.65577) + (xy 153.492445 111.656825) + (xy 153.489058 111.65912) + (xy 153.47008 111.671095) + (xy 153.467137 111.674427) + (xy 153.467135 111.674429) + (xy 153.407156 111.742342) + (xy 153.406032 111.743538) + (xy 153.405775 111.743892) + (xy 153.405554 111.744113) + (xy 153.405405 111.744204) + (xy 153.404504 111.745163) + (xy 153.402292 111.747375) + (xy 153.401509 111.746592) + (xy 153.400362 111.747296) + (xy 153.401721 111.748496) + (xy 153.389018 111.762879) + (xy 153.387127 111.766907) + (xy 153.338868 111.869695) + (xy 153.338867 111.869698) + (xy 153.336976 111.873726) + (xy 153.318136 111.994724) + (xy 153.318713 111.999137) + (xy 153.318713 111.999138) + (xy 153.321037 112.016907) + (xy 153.334014 112.116145) + (xy 153.335809 112.120224) + (xy 153.339286 112.128126) + (xy 153.341185 112.132443) + (xy 153.341244 112.132813) + (xy 153.342007 112.134311) + (xy 153.344031 112.13891) + (xy 153.344031 112.138911) + (xy 153.377244 112.214391) + (xy 153.383333 112.22823) + (xy 153.397198 112.244724) + (xy 153.398029 112.245713) + (xy 153.400157 112.248434) + (xy 153.402292 112.252625) + (xy 153.410081 112.260414) + (xy 153.413729 112.264391) + (xy 153.462127 112.321968) + (xy 153.485399 112.337459) + (xy 153.491836 112.342733) + (xy 153.493899 112.344232) + (xy 153.497375 112.347708) + (xy 153.501753 112.349939) + (xy 153.501756 112.349941) + (xy 153.50873 112.353494) + (xy 153.514977 112.357148) + (xy 153.564064 112.389823) + (xy 153.568313 112.391151) + (xy 153.568314 112.391151) + (xy 153.600296 112.401143) + (xy 153.610027 112.405109) + (xy 153.612799 112.406521) + (xy 153.612803 112.406522) + (xy 153.617187 112.408756) + (xy 153.627852 112.410445) + (xy 153.636713 112.41252) + (xy 153.676696 112.425012) + (xy 153.676699 112.425012) + (xy 153.680948 112.42634) + (xy 153.730069 112.427241) + (xy 153.738683 112.427999) + (xy 153.74514 112.429022) + (xy 153.745144 112.429022) + (xy 153.75 112.429791) + (xy 153.756947 112.42869) + (xy 153.767853 112.427933) + (xy 153.803383 112.428584) + (xy 153.863532 112.412185) + (xy 153.87019 112.410755) + (xy 153.872147 112.410445) + (xy 153.882813 112.408756) + (xy 153.887196 112.406522) + (xy 153.891875 112.405002) + (xy 153.891878 112.40501) + (xy 153.896727 112.403136) + (xy 153.921527 112.396375) + (xy 153.944218 112.382443) + (xy 153.989709 112.354511) + (xy 153.994037 112.352085) + (xy 153.99824 112.349944) + (xy 153.998248 112.349938) + (xy 154.002625 112.347708) + (xy 154.005427 112.344906) + (xy 154.006264 112.344346) + (xy 154.008891 112.342733) + (xy 154.025881 112.332301) + (xy 154.108058 112.241513) + (xy 154.161451 112.131311) + (xy 154.163317 112.120224) + (xy 154.181367 112.012928) + (xy 154.181367 112.012927) + (xy 154.181767 112.010552) + (xy 154.181896 112) + (xy 154.166061 111.889432) + (xy 154.177917 111.843091) + (xy 154.183736 111.836379) + (xy 155.611527 110.408588) + (xy 155.617601 110.404283) + (xy 155.617145 110.40371) + (xy 155.622653 110.399329) + (xy 155.628998 110.396282) + (xy 155.647458 110.373199) + (xy 155.652075 110.36804) + (xy 155.657324 110.362791) + (xy 155.661097 110.356788) + (xy 155.665205 110.351007) + (xy 155.679027 110.333724) + (xy 155.683423 110.328227) + (xy 155.685 110.321367) + (xy 155.686818 110.317607) + (xy 155.688196 110.313671) + (xy 155.691942 110.307712) + (xy 155.695219 110.278723) + (xy 155.696411 110.271747) + (xy 155.69804 110.264663) + (xy 155.69804 110.257289) + (xy 155.698436 110.250268) + (xy 155.70094 110.228115) + (xy 155.701731 110.221122) + (xy 155.69941 110.214477) + (xy 155.698626 110.207483) + (xy 155.699304 110.207407) + (xy 155.69804 110.199955) + (xy 155.69804 96.244724) + (xy 159.568136 96.244724) + (xy 159.568713 96.249137) + (xy 159.568713 96.249138) + (xy 159.582655 96.355751) + (xy 159.584014 96.366145) + (xy 159.591185 96.382443) + (xy 159.591244 96.382813) + (xy 159.592007 96.384311) + (xy 159.594031 96.38891) + (xy 159.594031 96.388911) + (xy 159.60966 96.424429) + (xy 159.633333 96.47823) + (xy 159.647272 96.494813) + (xy 159.648029 96.495713) + (xy 159.650157 96.498434) + (xy 159.652292 96.502625) + (xy 159.660081 96.510414) + (xy 159.663729 96.514391) + (xy 159.712127 96.571968) + (xy 159.735399 96.587459) + (xy 159.741836 96.592733) + (xy 159.743899 96.594232) + (xy 159.747375 96.597708) + (xy 159.751753 96.599939) + (xy 159.751756 96.599941) + (xy 159.75873 96.603494) + (xy 159.764977 96.607148) + (xy 159.814064 96.639823) + (xy 159.818313 96.641151) + (xy 159.818314 96.641151) + (xy 159.850296 96.651143) + (xy 159.860027 96.655109) + (xy 159.862799 96.656521) + (xy 159.862803 96.656522) + (xy 159.867187 96.658756) + (xy 159.877852 96.660445) + (xy 159.886713 96.66252) + (xy 159.926696 96.675012) + (xy 159.926699 96.675012) + (xy 159.930948 96.67634) + (xy 159.980069 96.677241) + (xy 159.988683 96.677999) + (xy 159.99514 96.679022) + (xy 159.995144 96.679022) + (xy 160 96.679791) + (xy 160.006947 96.67869) + (xy 160.017853 96.677933) + (xy 160.053383 96.678584) + (xy 160.113532 96.662185) + (xy 160.12019 96.660755) + (xy 160.122147 96.660445) + (xy 160.132813 96.658756) + (xy 160.137196 96.656522) + (xy 160.141875 96.655002) + (xy 160.141878 96.65501) + (xy 160.146727 96.653136) + (xy 160.171527 96.646375) + (xy 160.200182 96.628781) + (xy 160.239709 96.604511) + (xy 160.244037 96.602085) + (xy 160.24824 96.599944) + (xy 160.248248 96.599938) + (xy 160.252625 96.597708) + (xy 160.255427 96.594906) + (xy 160.256264 96.594346) + (xy 160.258891 96.592733) + (xy 160.275881 96.582301) + (xy 160.358058 96.491513) + (xy 160.411451 96.381311) + (xy 160.413317 96.370224) + (xy 160.431367 96.262928) + (xy 160.431767 96.260552) + (xy 160.431896 96.25) + (xy 160.414536 96.128781) + (xy 160.363852 96.017307) + (xy 160.349199 96.000302) + (xy 160.347708 95.997375) + (xy 160.3419 95.991567) + (xy 160.338746 95.98817) + (xy 160.286826 95.927914) + (xy 160.283918 95.924539) + (xy 160.263741 95.911461) + (xy 160.260155 95.908559) + (xy 160.260081 95.908661) + (xy 160.256106 95.905773) + (xy 160.252625 95.902292) + (xy 160.241343 95.896543) + (xy 160.235735 95.893308) + (xy 160.219505 95.882788) + (xy 160.192377 95.843389) + (xy 160.191 95.830342) + (xy 160.191 95.130003) + (xy 160.209306 95.085809) + (xy 160.424309 94.870806) + (xy 160.468503 94.8525) + (xy 160.725329 94.8525) + (xy 161.03341 94.852499) + (xy 161.102695 94.842301) + (xy 161.208036 94.790581) + (xy 161.211683 94.786928) + (xy 161.287296 94.711183) + (xy 161.287298 94.711181) + (xy 161.290944 94.707528) + (xy 161.333658 94.620146) + (xy 161.340349 94.606458) + (xy 161.340349 94.606457) + (xy 161.34248 94.602098) + (xy 161.3525 94.533411) + (xy 161.352499 94.01659) + (xy 161.352499 94.016589) + (xy 161.6475 94.016589) + (xy 161.647501 94.53341) + (xy 161.657699 94.602695) + (xy 161.709419 94.708036) + (xy 161.713071 94.711682) + (xy 161.713072 94.711683) + (xy 161.788817 94.787296) + (xy 161.788819 94.787298) + (xy 161.792472 94.790944) + (xy 161.845169 94.816703) + (xy 161.893158 94.840161) + (xy 161.897902 94.84248) + (xy 161.902705 94.843181) + (xy 161.902706 94.843181) + (xy 161.924659 94.846383) + (xy 161.966589 94.8525) + (xy 161.978574 94.8525) + (xy 162.2465 94.852499) + (xy 162.290694 94.870805) + (xy 162.309 94.914999) + (xy 162.309 95.830525) + (xy 162.290694 95.874719) + (xy 162.279855 95.88338) + (xy 162.26281 95.894135) + (xy 162.257842 95.896959) + (xy 162.251757 95.900059) + (xy 162.251756 95.90006) + (xy 162.247375 95.902292) + (xy 162.243897 95.90577) + (xy 162.242445 95.906825) + (xy 162.239058 95.90912) + (xy 162.22008 95.921095) + (xy 162.217137 95.924427) + (xy 162.217135 95.924429) + (xy 162.157156 95.992342) + (xy 162.156032 95.993538) + (xy 162.155775 95.993892) + (xy 162.155554 95.994113) + (xy 162.155405 95.994204) + (xy 162.154504 95.995163) + (xy 162.152292 95.997375) + (xy 162.151509 95.996592) + (xy 162.150362 95.997296) + (xy 162.151721 95.998496) + (xy 162.139018 96.012879) + (xy 162.128114 96.036104) + (xy 162.088868 96.119695) + (xy 162.088867 96.119698) + (xy 162.086976 96.123726) + (xy 162.068136 96.244724) + (xy 162.068713 96.249137) + (xy 162.068713 96.249138) + (xy 162.082655 96.355751) + (xy 162.084014 96.366145) + (xy 162.091185 96.382443) + (xy 162.091244 96.382813) + (xy 162.092007 96.384311) + (xy 162.094031 96.38891) + (xy 162.094031 96.388911) + (xy 162.10966 96.424429) + (xy 162.133333 96.47823) + (xy 162.147272 96.494813) + (xy 162.148029 96.495713) + (xy 162.150157 96.498434) + (xy 162.152292 96.502625) + (xy 162.160081 96.510414) + (xy 162.163729 96.514391) + (xy 162.212127 96.571968) + (xy 162.235399 96.587459) + (xy 162.241836 96.592733) + (xy 162.243899 96.594232) + (xy 162.247375 96.597708) + (xy 162.251753 96.599939) + (xy 162.251756 96.599941) + (xy 162.25873 96.603494) + (xy 162.264977 96.607148) + (xy 162.314064 96.639823) + (xy 162.318313 96.641151) + (xy 162.318314 96.641151) + (xy 162.350296 96.651143) + (xy 162.360027 96.655109) + (xy 162.362799 96.656521) + (xy 162.362803 96.656522) + (xy 162.367187 96.658756) + (xy 162.377852 96.660445) + (xy 162.386713 96.66252) + (xy 162.426696 96.675012) + (xy 162.426699 96.675012) + (xy 162.430948 96.67634) + (xy 162.480069 96.677241) + (xy 162.488683 96.677999) + (xy 162.49514 96.679022) + (xy 162.495144 96.679022) + (xy 162.5 96.679791) + (xy 162.506947 96.67869) + (xy 162.517853 96.677933) + (xy 162.553383 96.678584) + (xy 162.613532 96.662185) + (xy 162.62019 96.660755) + (xy 162.622147 96.660445) + (xy 162.632813 96.658756) + (xy 162.637196 96.656522) + (xy 162.641875 96.655002) + (xy 162.641878 96.65501) + (xy 162.646727 96.653136) + (xy 162.671527 96.646375) + (xy 162.700182 96.628781) + (xy 162.739709 96.604511) + (xy 162.744037 96.602085) + (xy 162.74824 96.599944) + (xy 162.748248 96.599938) + (xy 162.752625 96.597708) + (xy 162.755427 96.594906) + (xy 162.756264 96.594346) + (xy 162.758891 96.592733) + (xy 162.775881 96.582301) + (xy 162.858058 96.491513) + (xy 162.911451 96.381311) + (xy 162.913317 96.370224) + (xy 162.931367 96.262928) + (xy 162.931767 96.260552) + (xy 162.931896 96.25) + (xy 162.914536 96.128781) + (xy 162.863852 96.017307) + (xy 162.849199 96.000302) + (xy 162.847708 95.997375) + (xy 162.8419 95.991567) + (xy 162.838746 95.98817) + (xy 162.786826 95.927914) + (xy 162.783918 95.924539) + (xy 162.763741 95.911461) + (xy 162.760155 95.908559) + (xy 162.760081 95.908661) + (xy 162.756106 95.905773) + (xy 162.752625 95.902292) + (xy 162.741343 95.896543) + (xy 162.735735 95.893308) + (xy 162.719505 95.882788) + (xy 162.692377 95.843389) + (xy 162.691 95.830342) + (xy 162.691 94.833504) + (xy 162.709267 94.789348) + (xy 162.787296 94.711183) + (xy 162.787298 94.711181) + (xy 162.790944 94.707528) + (xy 162.833658 94.620146) + (xy 162.840349 94.606458) + (xy 162.840349 94.606457) + (xy 162.84248 94.602098) + (xy 162.8525 94.533411) + (xy 162.852499 94.01659) + (xy 162.842301 93.947305) + (xy 162.790581 93.841964) + (xy 162.776583 93.82799) + (xy 162.711183 93.762704) + (xy 162.711181 93.762702) + (xy 162.707528 93.759056) + (xy 162.622357 93.717423) + (xy 162.606458 93.709651) + (xy 162.606457 93.709651) + (xy 162.602098 93.70752) + (xy 162.597295 93.706819) + (xy 162.597294 93.706819) + (xy 162.575341 93.703617) + (xy 162.533411 93.6975) + (xy 162.250749 93.6975) + (xy 161.96659 93.697501) + (xy 161.897305 93.707699) + (xy 161.791964 93.759419) + (xy 161.788318 93.763071) + (xy 161.788317 93.763072) + (xy 161.712704 93.838817) + (xy 161.712702 93.838819) + (xy 161.709056 93.842472) + (xy 161.675836 93.910432) + (xy 161.659943 93.942946) + (xy 161.65752 93.947902) + (xy 161.656819 93.952705) + (xy 161.656819 93.952706) + (xy 161.654724 93.96707) + (xy 161.6475 94.016589) + (xy 161.352499 94.016589) + (xy 161.342301 93.947305) + (xy 161.290581 93.841964) + (xy 161.276583 93.82799) + (xy 161.211183 93.762704) + (xy 161.211181 93.762702) + (xy 161.207528 93.759056) + (xy 161.122357 93.717423) + (xy 161.106458 93.709651) + (xy 161.106457 93.709651) + (xy 161.102098 93.70752) + (xy 161.097295 93.706819) + (xy 161.097294 93.706819) + (xy 161.075341 93.703617) + (xy 161.033411 93.6975) + (xy 160.750749 93.6975) + (xy 160.46659 93.697501) + (xy 160.397305 93.707699) + (xy 160.291964 93.759419) + (xy 160.288318 93.763071) + (xy 160.288317 93.763072) + (xy 160.212704 93.838817) + (xy 160.212702 93.838819) + (xy 160.209056 93.842472) + (xy 160.175836 93.910432) + (xy 160.159943 93.942946) + (xy 160.15752 93.947902) + (xy 160.156819 93.952705) + (xy 160.156819 93.952706) + (xy 160.154724 93.96707) + (xy 160.1475 94.016589) + (xy 160.147501 94.53341) + (xy 160.147832 94.535656) + (xy 160.147833 94.535666) + (xy 160.152417 94.566814) + (xy 160.140741 94.613202) + (xy 160.134777 94.620108) + (xy 159.895513 94.859372) + (xy 159.889439 94.863677) + (xy 159.889895 94.86425) + (xy 159.884387 94.868631) + (xy 159.878042 94.871678) + (xy 159.873646 94.877175) + (xy 159.859582 94.894761) + (xy 159.854965 94.89992) + (xy 159.849716 94.905169) + (xy 159.847847 94.908143) + (xy 159.845943 94.911172) + (xy 159.841838 94.916948) + (xy 159.823617 94.939733) + (xy 159.82204 94.946593) + (xy 159.820222 94.950353) + (xy 159.818844 94.954289) + (xy 159.815098 94.960248) + (xy 159.814307 94.967242) + (xy 159.814307 94.967243) + (xy 159.811821 94.989236) + (xy 159.810629 94.996213) + (xy 159.809 95.003297) + (xy 159.809 95.010671) + (xy 159.808604 95.017692) + (xy 159.805309 95.046838) + (xy 159.80763 95.053483) + (xy 159.808414 95.060477) + (xy 159.807736 95.060553) + (xy 159.809 95.068005) + (xy 159.809 95.830525) + (xy 159.790694 95.874719) + (xy 159.779855 95.88338) + (xy 159.76281 95.894135) + (xy 159.757842 95.896959) + (xy 159.751757 95.900059) + (xy 159.751756 95.90006) + (xy 159.747375 95.902292) + (xy 159.743897 95.90577) + (xy 159.742445 95.906825) + (xy 159.739058 95.90912) + (xy 159.72008 95.921095) + (xy 159.717137 95.924427) + (xy 159.717135 95.924429) + (xy 159.657156 95.992342) + (xy 159.656032 95.993538) + (xy 159.655775 95.993892) + (xy 159.655554 95.994113) + (xy 159.655405 95.994204) + (xy 159.654504 95.995163) + (xy 159.652292 95.997375) + (xy 159.651509 95.996592) + (xy 159.650362 95.997296) + (xy 159.651721 95.998496) + (xy 159.639018 96.012879) + (xy 159.628114 96.036104) + (xy 159.588868 96.119695) + (xy 159.588867 96.119698) + (xy 159.586976 96.123726) + (xy 159.568136 96.244724) + (xy 155.69804 96.244724) + (xy 155.69804 92.981083) + (xy 160.148001 92.981083) + (xy 160.148333 92.985614) + (xy 160.157478 93.047743) + (xy 160.160326 93.05691) + (xy 160.207556 93.153105) + (xy 160.213484 93.161387) + (xy 160.289111 93.236883) + (xy 160.297406 93.242799) + (xy 160.393687 93.289863) + (xy 160.402851 93.292695) + (xy 160.464391 93.301672) + (xy 160.468904 93.302) + (xy 160.674069 93.302) + (xy 160.682859 93.298359) + (xy 160.6865 93.289569) + (xy 160.6865 93.289568) + (xy 160.8135 93.289568) + (xy 160.817141 93.298358) + (xy 160.825931 93.301999) + (xy 161.031083 93.301999) + (xy 161.035614 93.301667) + (xy 161.097743 93.292522) + (xy 161.10691 93.289674) + (xy 161.203105 93.242444) + (xy 161.211387 93.236516) + (xy 161.286883 93.160889) + (xy 161.292799 93.152594) + (xy 161.339863 93.056313) + (xy 161.342695 93.047149) + (xy 161.351672 92.985609) + (xy 161.352 92.981096) + (xy 161.352 92.981083) + (xy 161.648001 92.981083) + (xy 161.648333 92.985614) + (xy 161.657478 93.047743) + (xy 161.660326 93.05691) + (xy 161.707556 93.153105) + (xy 161.713484 93.161387) + (xy 161.789111 93.236883) + (xy 161.797406 93.242799) + (xy 161.893687 93.289863) + (xy 161.902851 93.292695) + (xy 161.964391 93.301672) + (xy 161.968904 93.302) + (xy 162.174069 93.302) + (xy 162.182859 93.298359) + (xy 162.1865 93.289569) + (xy 162.1865 93.289568) + (xy 162.3135 93.289568) + (xy 162.317141 93.298358) + (xy 162.325931 93.301999) + (xy 162.531083 93.301999) + (xy 162.535614 93.301667) + (xy 162.597743 93.292522) + (xy 162.60691 93.289674) + (xy 162.698461 93.244724) + (xy 168.568136 93.244724) + (xy 168.568713 93.249137) + (xy 168.568713 93.249138) + (xy 168.578974 93.327605) + (xy 168.584014 93.366145) + (xy 168.591185 93.382443) + (xy 168.591244 93.382813) + (xy 168.592007 93.384311) + (xy 168.594031 93.38891) + (xy 168.594031 93.388911) + (xy 168.626371 93.462407) + (xy 168.633333 93.47823) + (xy 168.645627 93.492856) + (xy 168.648029 93.495713) + (xy 168.650157 93.498434) + (xy 168.652292 93.502625) + (xy 168.660081 93.510414) + (xy 168.663729 93.514391) + (xy 168.712127 93.571968) + (xy 168.735399 93.587459) + (xy 168.741836 93.592733) + (xy 168.743899 93.594232) + (xy 168.747375 93.597708) + (xy 168.751753 93.599939) + (xy 168.751756 93.599941) + (xy 168.75873 93.603494) + (xy 168.764977 93.607148) + (xy 168.814064 93.639823) + (xy 168.818313 93.641151) + (xy 168.818314 93.641151) + (xy 168.850296 93.651143) + (xy 168.860027 93.655109) + (xy 168.862799 93.656521) + (xy 168.862803 93.656522) + (xy 168.867187 93.658756) + (xy 168.877852 93.660445) + (xy 168.886713 93.66252) + (xy 168.926696 93.675012) + (xy 168.926699 93.675012) + (xy 168.930948 93.67634) + (xy 168.980069 93.677241) + (xy 168.988683 93.677999) + (xy 168.99514 93.679022) + (xy 168.995144 93.679022) + (xy 169 93.679791) + (xy 169.006947 93.67869) + (xy 169.017853 93.677933) + (xy 169.053383 93.678584) + (xy 169.113532 93.662185) + (xy 169.12019 93.660755) + (xy 169.122147 93.660445) + (xy 169.132813 93.658756) + (xy 169.137196 93.656522) + (xy 169.141875 93.655002) + (xy 169.141878 93.65501) + (xy 169.146727 93.653136) + (xy 169.171527 93.646375) + (xy 169.18321 93.639202) + (xy 169.239709 93.604511) + (xy 169.244037 93.602085) + (xy 169.24824 93.599944) + (xy 169.248248 93.599938) + (xy 169.252625 93.597708) + (xy 169.255427 93.594906) + (xy 169.256264 93.594346) + (xy 169.258891 93.592733) + (xy 169.275881 93.582301) + (xy 169.358058 93.491513) + (xy 169.411451 93.381311) + (xy 169.413317 93.370224) + (xy 169.42485 93.301667) + (xy 169.431767 93.260552) + (xy 169.431896 93.25) + (xy 169.416061 93.139432) + (xy 169.427917 93.093091) + (xy 169.433736 93.086379) + (xy 170.679487 91.840628) + (xy 170.685561 91.836323) + (xy 170.685105 91.83575) + (xy 170.690613 91.831369) + (xy 170.696958 91.828322) + (xy 170.715418 91.805239) + (xy 170.720035 91.80008) + (xy 170.725283 91.794832) + (xy 170.729055 91.788831) + (xy 170.733159 91.783056) + (xy 170.746988 91.765764) + (xy 170.74699 91.76576) + (xy 170.751383 91.760267) + (xy 170.75296 91.75341) + (xy 170.754778 91.749648) + (xy 170.756157 91.74571) + (xy 170.759902 91.739752) + (xy 170.760692 91.732761) + (xy 170.760694 91.732756) + (xy 170.76318 91.710763) + (xy 170.764373 91.703778) + (xy 170.765208 91.700146) + (xy 170.766 91.696703) + (xy 170.766 91.689323) + (xy 170.766396 91.682303) + (xy 170.768899 91.660158) + (xy 170.76969 91.653162) + (xy 170.767369 91.646516) + (xy 170.766585 91.639522) + (xy 170.767264 91.639446) + (xy 170.766 91.631996) + (xy 170.766 88.663548) + (xy 170.784306 88.619354) + (xy 170.820343 88.601583) + (xy 170.856325 88.596847) + (xy 170.856333 88.596845) + (xy 170.861071 88.596221) + (xy 170.868198 88.592898) + (xy 170.960787 88.549723) + (xy 170.960789 88.549721) + (xy 170.965744 88.547411) + (xy 171.018431 88.494724) + (xy 193.118136 88.494724) + (xy 193.118713 88.499137) + (xy 193.118713 88.499138) + (xy 193.13223 88.6025) + (xy 193.134014 88.616145) + (xy 193.135809 88.620224) + (xy 193.139286 88.628126) + (xy 193.141185 88.632443) + (xy 193.141244 88.632813) + (xy 193.142007 88.634311) + (xy 193.144031 88.63891) + (xy 193.144031 88.638911) + (xy 193.177952 88.716) + (xy 193.183333 88.72823) + (xy 193.197198 88.744724) + (xy 193.198029 88.745713) + (xy 193.200157 88.748434) + (xy 193.202292 88.752625) + (xy 193.210081 88.760414) + (xy 193.213729 88.764391) + (xy 193.262127 88.821968) + (xy 193.285399 88.837459) + (xy 193.291836 88.842733) + (xy 193.293899 88.844232) + (xy 193.297375 88.847708) + (xy 193.301753 88.849939) + (xy 193.301756 88.849941) + (xy 193.30873 88.853494) + (xy 193.314977 88.857148) + (xy 193.364064 88.889823) + (xy 193.368313 88.891151) + (xy 193.368314 88.891151) + (xy 193.400296 88.901143) + (xy 193.410027 88.905109) + (xy 193.412799 88.906521) + (xy 193.412803 88.906522) + (xy 193.417187 88.908756) + (xy 193.427852 88.910445) + (xy 193.436713 88.91252) + (xy 193.476696 88.925012) + (xy 193.476699 88.925012) + (xy 193.480948 88.92634) + (xy 193.530069 88.927241) + (xy 193.538683 88.927999) + (xy 193.54514 88.929022) + (xy 193.545144 88.929022) + (xy 193.55 88.929791) + (xy 193.556947 88.92869) + (xy 193.567853 88.927933) + (xy 193.603383 88.928584) + (xy 193.663532 88.912185) + (xy 193.67019 88.910755) + (xy 193.672147 88.910445) + (xy 193.682813 88.908756) + (xy 193.687196 88.906522) + (xy 193.691875 88.905002) + (xy 193.691878 88.90501) + (xy 193.696727 88.903136) + (xy 193.721527 88.896375) + (xy 193.744218 88.882443) + (xy 193.789709 88.854511) + (xy 193.794037 88.852085) + (xy 193.79824 88.849944) + (xy 193.798248 88.849938) + (xy 193.802625 88.847708) + (xy 193.805427 88.844906) + (xy 193.806264 88.844346) + (xy 193.808891 88.842733) + (xy 193.825881 88.832301) + (xy 193.908058 88.741513) + (xy 193.915454 88.726248) + (xy 193.951198 88.694458) + (xy 193.9717 88.691) + (xy 195.894997 88.691) + (xy 195.939191 88.709306) + (xy 196.834372 89.604487) + (xy 196.838677 89.610561) + (xy 196.83925 89.610105) + (xy 196.843631 89.615613) + (xy 196.846678 89.621958) + (xy 196.852175 89.626354) + (xy 196.869761 89.640418) + (xy 196.87492 89.645035) + (xy 196.880169 89.650284) + (xy 196.883143 89.652153) + (xy 196.886172 89.654057) + (xy 196.891948 89.658162) + (xy 196.914733 89.676383) + (xy 196.921593 89.67796) + (xy 196.925353 89.679778) + (xy 196.929289 89.681156) + (xy 196.935248 89.684902) + (xy 196.942242 89.685693) + (xy 196.942243 89.685693) + (xy 196.95172 89.686764) + (xy 196.964242 89.68818) + (xy 196.971208 89.68937) + (xy 196.978297 89.691) + (xy 196.985671 89.691) + (xy 196.992692 89.691396) + (xy 197.021839 89.694691) + (xy 197.028484 89.69237) + (xy 197.035478 89.691586) + (xy 197.035554 89.692264) + (xy 197.043006 89.691) + (xy 197.335001 89.691) + (xy 197.379195 89.709306) + (xy 197.397501 89.7535) + (xy 197.397501 89.813374) + (xy 197.397766 89.815385) + (xy 197.397766 89.81539) + (xy 197.403079 89.855751) + (xy 197.403779 89.861071) + (xy 197.4058 89.865404) + (xy 197.4058 89.865405) + (xy 197.450277 89.960787) + (xy 197.450279 89.960789) + (xy 197.452589 89.965744) + (xy 197.534256 90.047411) + (xy 197.539211 90.049721) + (xy 197.539213 90.049723) + (xy 197.586076 90.071575) + (xy 197.638929 90.096221) + (xy 197.649427 90.097603) + (xy 197.684593 90.102233) + (xy 197.684601 90.102233) + (xy 197.686625 90.1025) + (xy 197.688672 90.1025) + (xy 197.925642 90.102499) + (xy 198.163374 90.102499) + (xy 198.165385 90.102234) + (xy 198.16539 90.102234) + (xy 198.206331 90.096845) + (xy 198.206332 90.096845) + (xy 198.211071 90.096221) + (xy 198.227241 90.088681) + (xy 198.310787 90.049723) + (xy 198.310789 90.049721) + (xy 198.315744 90.047411) + (xy 198.397411 89.965744) + (xy 198.399721 89.960789) + (xy 198.399723 89.960787) + (xy 198.42804 89.90006) + (xy 198.446221 89.861071) + (xy 198.449835 89.833618) + (xy 198.452233 89.815407) + (xy 198.452233 89.815399) + (xy 198.4525 89.813375) + (xy 198.452499 89.186626) + (xy 198.452232 89.184593) + (xy 198.446845 89.143669) + (xy 198.446845 89.143668) + (xy 198.446221 89.138929) + (xy 198.434676 89.11417) + (xy 198.399723 89.039213) + (xy 198.399721 89.039211) + (xy 198.397411 89.034256) + (xy 198.315744 88.952589) + (xy 198.310789 88.950279) + (xy 198.310787 88.950277) + (xy 198.250461 88.922147) + (xy 198.211071 88.903779) + (xy 198.191048 88.901143) + (xy 198.165407 88.897767) + (xy 198.165399 88.897767) + (xy 198.163375 88.8975) + (xy 198.161328 88.8975) + (xy 197.924358 88.897501) + (xy 197.686626 88.897501) + (xy 197.684615 88.897766) + (xy 197.68461 88.897766) + (xy 197.643669 88.903155) + (xy 197.643668 88.903155) + (xy 197.638929 88.903779) + (xy 197.634595 88.9058) + (xy 197.539213 88.950277) + (xy 197.539211 88.950279) + (xy 197.534256 88.952589) + (xy 197.452589 89.034256) + (xy 197.450279 89.039211) + (xy 197.450277 89.039213) + (xy 197.433854 89.074433) + (xy 197.403779 89.138929) + (xy 197.402799 89.146375) + (xy 197.397767 89.184593) + (xy 197.397767 89.184601) + (xy 197.3975 89.186625) + (xy 197.3975 89.2465) + (xy 197.379194 89.290694) + (xy 197.335 89.309) + (xy 197.105003 89.309) + (xy 197.060809 89.290694) + (xy 196.165628 88.395513) + (xy 196.161323 88.389439) + (xy 196.16075 88.389895) + (xy 196.156369 88.384387) + (xy 196.153322 88.378042) + (xy 196.130239 88.359582) + (xy 196.12508 88.354965) + (xy 196.119831 88.349716) + (xy 196.113825 88.345941) + (xy 196.108052 88.341838) + (xy 196.085267 88.323617) + (xy 196.078407 88.32204) + (xy 196.074647 88.320222) + (xy 196.070711 88.318844) + (xy 196.064752 88.315098) + (xy 196.057758 88.314307) + (xy 196.057757 88.314307) + (xy 196.035764 88.311821) + (xy 196.028787 88.310629) + (xy 196.021703 88.309) + (xy 196.014329 88.309) + (xy 196.007308 88.308604) + (xy 196.005627 88.308414) + (xy 195.978162 88.305309) + (xy 195.971517 88.30763) + (xy 195.964523 88.308414) + (xy 195.964447 88.307736) + (xy 195.956995 88.309) + (xy 193.973049 88.309) + (xy 193.928855 88.290694) + (xy 193.916156 88.272373) + (xy 193.915695 88.27136) + (xy 193.915694 88.271359) + (xy 193.913852 88.267307) + (xy 193.899199 88.250302) + (xy 193.897708 88.247375) + (xy 193.8919 88.241567) + (xy 193.888746 88.23817) + (xy 193.836826 88.177914) + (xy 193.833918 88.174539) + (xy 193.813741 88.161461) + (xy 193.810155 88.158559) + (xy 193.810081 88.158661) + (xy 193.806106 88.155773) + (xy 193.802625 88.152292) + (xy 193.791343 88.146543) + (xy 193.785736 88.143309) + (xy 193.734893 88.110354) + (xy 193.73489 88.110353) + (xy 193.73116 88.107935) + (xy 193.726901 88.106661) + (xy 193.726898 88.10666) + (xy 193.704429 88.099941) + (xy 193.698839 88.098269) + (xy 193.688377 88.094079) + (xy 193.682813 88.091244) + (xy 193.671067 88.089384) + (xy 193.662951 88.087536) + (xy 193.613838 88.072848) + (xy 193.569496 88.072577) + (xy 193.560103 88.071809) + (xy 193.554857 88.070978) + (xy 193.554856 88.070978) + (xy 193.55 88.070209) + (xy 193.541373 88.071576) + (xy 193.53122 88.072344) + (xy 193.512836 88.072231) + (xy 193.495838 88.072127) + (xy 193.495836 88.072127) + (xy 193.491385 88.0721) + (xy 193.437011 88.087641) + (xy 193.429623 88.089275) + (xy 193.417187 88.091244) + (xy 193.412804 88.093477) + (xy 193.41187 88.093781) + (xy 193.402156 88.097603) + (xy 193.399821 88.09827) + (xy 193.377929 88.104526) + (xy 193.377927 88.104527) + (xy 193.373644 88.105751) + (xy 193.369875 88.108129) + (xy 193.362079 88.113048) + (xy 193.314119 88.143309) + (xy 193.312819 88.144129) + (xy 193.307842 88.146959) + (xy 193.301757 88.150059) + (xy 193.301756 88.15006) + (xy 193.297375 88.152292) + (xy 193.293897 88.15577) + (xy 193.292445 88.156825) + (xy 193.289058 88.15912) + (xy 193.27008 88.171095) + (xy 193.267137 88.174427) + (xy 193.267135 88.174429) + (xy 193.207156 88.242342) + (xy 193.206032 88.243538) + (xy 193.205775 88.243892) + (xy 193.205554 88.244113) + (xy 193.205405 88.244204) + (xy 193.204504 88.245163) + (xy 193.202292 88.247375) + (xy 193.201509 88.246592) + (xy 193.200362 88.247296) + (xy 193.201721 88.248496) + (xy 193.195002 88.256104) + (xy 193.189018 88.262879) + (xy 193.184591 88.272308) + (xy 193.138868 88.369695) + (xy 193.138867 88.369698) + (xy 193.136976 88.373726) + (xy 193.118136 88.494724) + (xy 171.018431 88.494724) + (xy 171.047411 88.465744) + (xy 171.049721 88.460789) + (xy 171.049723 88.460787) + (xy 171.075732 88.40501) + (xy 171.096221 88.361071) + (xy 171.099501 88.336155) + (xy 171.102233 88.315407) + (xy 171.102233 88.315399) + (xy 171.1025 88.313375) + (xy 171.102499 87.744724) + (xy 192.068136 87.744724) + (xy 192.068713 87.749137) + (xy 192.068713 87.749138) + (xy 192.08185 87.849597) + (xy 192.084014 87.866145) + (xy 192.091185 87.882443) + (xy 192.091244 87.882813) + (xy 192.092007 87.884311) + (xy 192.094031 87.88891) + (xy 192.094031 87.888911) + (xy 192.125777 87.961057) + (xy 192.133333 87.97823) + (xy 192.147273 87.994814) + (xy 192.148029 87.995713) + (xy 192.150157 87.998434) + (xy 192.152292 88.002625) + (xy 192.160081 88.010414) + (xy 192.16373 88.014392) + (xy 192.198537 88.0558) + (xy 192.212127 88.071968) + (xy 192.235399 88.087459) + (xy 192.241836 88.092733) + (xy 192.243899 88.094232) + (xy 192.247375 88.097708) + (xy 192.251753 88.099939) + (xy 192.251756 88.099941) + (xy 192.25873 88.103494) + (xy 192.264977 88.107148) + (xy 192.314064 88.139823) + (xy 192.318313 88.141151) + (xy 192.318314 88.141151) + (xy 192.350296 88.151143) + (xy 192.360027 88.155109) + (xy 192.362799 88.156521) + (xy 192.362803 88.156522) + (xy 192.367187 88.158756) + (xy 192.377852 88.160445) + (xy 192.386713 88.16252) + (xy 192.426696 88.175012) + (xy 192.426699 88.175012) + (xy 192.430948 88.17634) + (xy 192.480069 88.177241) + (xy 192.488683 88.177999) + (xy 192.49514 88.179022) + (xy 192.495144 88.179022) + (xy 192.5 88.179791) + (xy 192.506947 88.17869) + (xy 192.517853 88.177933) + (xy 192.553383 88.178584) + (xy 192.613532 88.162185) + (xy 192.62019 88.160755) + (xy 192.622147 88.160445) + (xy 192.632813 88.158756) + (xy 192.637196 88.156522) + (xy 192.641875 88.155002) + (xy 192.641878 88.15501) + (xy 192.646727 88.153136) + (xy 192.671527 88.146375) + (xy 192.676521 88.143309) + (xy 192.739709 88.104511) + (xy 192.744037 88.102085) + (xy 192.74824 88.099944) + (xy 192.748248 88.099938) + (xy 192.752625 88.097708) + (xy 192.755427 88.094906) + (xy 192.756264 88.094346) + (xy 192.759316 88.092472) + (xy 192.775881 88.082301) + (xy 192.858058 87.991513) + (xy 192.860093 87.987314) + (xy 192.865454 87.976248) + (xy 192.901198 87.944458) + (xy 192.9217 87.941) + (xy 197.335001 87.941) + (xy 197.379195 87.959306) + (xy 197.397501 88.0035) + (xy 197.397501 88.063374) + (xy 197.397766 88.065385) + (xy 197.397766 88.06539) + (xy 197.402998 88.105139) + (xy 197.403779 88.111071) + (xy 197.4058 88.115404) + (xy 197.4058 88.115405) + (xy 197.450277 88.210787) + (xy 197.450279 88.210789) + (xy 197.452589 88.215744) + (xy 197.534256 88.297411) + (xy 197.539211 88.299721) + (xy 197.539213 88.299723) + (xy 197.585042 88.321093) + (xy 197.638929 88.346221) + (xy 197.65128 88.347847) + (xy 197.684593 88.352233) + (xy 197.684601 88.352233) + (xy 197.686625 88.3525) + (xy 197.688672 88.3525) + (xy 197.925642 88.352499) + (xy 198.163374 88.352499) + (xy 198.165385 88.352234) + (xy 198.16539 88.352234) + (xy 198.206331 88.346845) + (xy 198.206332 88.346845) + (xy 198.211071 88.346221) + (xy 198.229868 88.337456) + (xy 198.310787 88.299723) + (xy 198.310789 88.299721) + (xy 198.315744 88.297411) + (xy 198.397411 88.215744) + (xy 198.399721 88.210789) + (xy 198.399723 88.210787) + (xy 198.425732 88.15501) + (xy 198.446221 88.111071) + (xy 198.448635 88.092733) + (xy 198.452233 88.065407) + (xy 198.452233 88.065399) + (xy 198.4525 88.063375) + (xy 198.452499 87.436626) + (xy 198.452232 87.434593) + (xy 198.446845 87.393669) + (xy 198.446845 87.393668) + (xy 198.446221 87.388929) + (xy 198.430179 87.354526) + (xy 198.399723 87.289213) + (xy 198.399721 87.289211) + (xy 198.397411 87.284256) + (xy 198.315744 87.202589) + (xy 198.310789 87.200279) + (xy 198.310787 87.200277) + (xy 198.236007 87.165407) + (xy 198.211071 87.153779) + (xy 198.197705 87.152019) + (xy 198.165407 87.147767) + (xy 198.165399 87.147767) + (xy 198.163375 87.1475) + (xy 198.161328 87.1475) + (xy 197.924358 87.147501) + (xy 197.686626 87.147501) + (xy 197.684615 87.147766) + (xy 197.68461 87.147766) + (xy 197.643669 87.153155) + (xy 197.643668 87.153155) + (xy 197.638929 87.153779) + (xy 197.634595 87.1558) + (xy 197.539213 87.200277) + (xy 197.539211 87.200279) + (xy 197.534256 87.202589) + (xy 197.452589 87.284256) + (xy 197.450279 87.289211) + (xy 197.450277 87.289213) + (xy 197.434606 87.322821) + (xy 197.403779 87.388929) + (xy 197.40297 87.395073) + (xy 197.397767 87.434593) + (xy 197.397767 87.434601) + (xy 197.3975 87.436625) + (xy 197.3975 87.4965) + (xy 197.379194 87.540694) + (xy 197.335 87.559) + (xy 192.923049 87.559) + (xy 192.878855 87.540694) + (xy 192.866156 87.522373) + (xy 192.865695 87.52136) + (xy 192.865694 87.521359) + (xy 192.863852 87.517307) + (xy 192.849199 87.500302) + (xy 192.847708 87.497375) + (xy 192.8419 87.491567) + (xy 192.838746 87.48817) + (xy 192.80801 87.452499) + (xy 192.783918 87.424539) + (xy 192.763741 87.411461) + (xy 192.760155 87.408559) + (xy 192.760081 87.408661) + (xy 192.756106 87.405773) + (xy 192.752625 87.402292) + (xy 192.741343 87.396543) + (xy 192.735736 87.393309) + (xy 192.725676 87.386788) + (xy 192.717225 87.381311) + (xy 192.684893 87.360354) + (xy 192.68489 87.360353) + (xy 192.68116 87.357935) + (xy 192.676901 87.356661) + (xy 192.676898 87.35666) + (xy 192.658345 87.351112) + (xy 192.648839 87.348269) + (xy 192.638377 87.344079) + (xy 192.632813 87.341244) + (xy 192.621067 87.339384) + (xy 192.612951 87.337536) + (xy 192.601686 87.334167) + (xy 192.563838 87.322848) + (xy 192.519496 87.322577) + (xy 192.510103 87.321809) + (xy 192.504857 87.320978) + (xy 192.504856 87.320978) + (xy 192.5 87.320209) + (xy 192.491373 87.321576) + (xy 192.48122 87.322344) + (xy 192.462836 87.322231) + (xy 192.445838 87.322127) + (xy 192.445836 87.322127) + (xy 192.441385 87.3221) + (xy 192.387011 87.337641) + (xy 192.379623 87.339275) + (xy 192.367187 87.341244) + (xy 192.362804 87.343477) + (xy 192.36187 87.343781) + (xy 192.352155 87.347603) + (xy 192.327929 87.354526) + (xy 192.327927 87.354527) + (xy 192.323644 87.355751) + (xy 192.263748 87.393543) + (xy 192.262819 87.394129) + (xy 192.257842 87.396959) + (xy 192.251757 87.400059) + (xy 192.251756 87.40006) + (xy 192.247375 87.402292) + (xy 192.243897 87.40577) + (xy 192.242445 87.406825) + (xy 192.239058 87.40912) + (xy 192.22008 87.421095) + (xy 192.217137 87.424427) + (xy 192.217135 87.424429) + (xy 192.157156 87.492342) + (xy 192.156032 87.493538) + (xy 192.155775 87.493892) + (xy 192.155554 87.494113) + (xy 192.155405 87.494204) + (xy 192.154504 87.495163) + (xy 192.152292 87.497375) + (xy 192.151509 87.496592) + (xy 192.150362 87.497296) + (xy 192.151721 87.498496) + (xy 192.145002 87.506104) + (xy 192.139018 87.512879) + (xy 192.133182 87.52531) + (xy 192.088868 87.619695) + (xy 192.088867 87.619698) + (xy 192.086976 87.623726) + (xy 192.068136 87.744724) + (xy 171.102499 87.744724) + (xy 171.102499 87.686626) + (xy 171.102232 87.684593) + (xy 171.096845 87.643669) + (xy 171.096845 87.643668) + (xy 171.096221 87.638929) + (xy 171.086182 87.617401) + (xy 171.049723 87.539213) + (xy 171.049721 87.539211) + (xy 171.047411 87.534256) + (xy 170.965744 87.452589) + (xy 170.960789 87.450279) + (xy 170.960787 87.450277) + (xy 170.905355 87.424429) + (xy 170.861071 87.403779) + (xy 170.847705 87.402019) + (xy 170.815407 87.397767) + (xy 170.815399 87.397767) + (xy 170.813375 87.3975) + (xy 170.811328 87.3975) + (xy 170.574358 87.397501) + (xy 170.336626 87.397501) + (xy 170.334615 87.397766) + (xy 170.33461 87.397766) + (xy 170.293669 87.403155) + (xy 170.293668 87.403155) + (xy 170.288929 87.403779) + (xy 170.284595 87.4058) + (xy 170.189213 87.450277) + (xy 170.189211 87.450279) + (xy 170.184256 87.452589) + (xy 170.102589 87.534256) + (xy 170.100279 87.539211) + (xy 170.100277 87.539213) + (xy 170.080671 87.581259) + (xy 170.053779 87.638929) + (xy 170.053155 87.643669) + (xy 170.053155 87.64367) + (xy 170.047767 87.684593) + (xy 170.047767 87.684601) + (xy 170.0475 87.686625) + (xy 170.047501 88.313374) + (xy 170.047766 88.315385) + (xy 170.047766 88.31539) + (xy 170.052975 88.354965) + (xy 170.053779 88.361071) + (xy 170.0558 88.365404) + (xy 170.0558 88.365405) + (xy 170.100277 88.460787) + (xy 170.100279 88.460789) + (xy 170.102589 88.465744) + (xy 170.184256 88.547411) + (xy 170.189211 88.549721) + (xy 170.189213 88.549723) + (xy 170.238015 88.572479) + (xy 170.288929 88.596221) + (xy 170.309113 88.598878) + (xy 170.329658 88.601583) + (xy 170.371085 88.6255) + (xy 170.384 88.663548) + (xy 170.384 91.569998) + (xy 170.365694 91.614192) + (xy 169.161953 92.817932) + (xy 169.117759 92.836238) + (xy 169.099851 92.833618) + (xy 169.083008 92.828581) + (xy 169.063838 92.822848) + (xy 169.019496 92.822577) + (xy 169.010103 92.821809) + (xy 169.004857 92.820978) + (xy 169.004856 92.820978) + (xy 169 92.820209) + (xy 168.991373 92.821576) + (xy 168.98122 92.822344) + (xy 168.962836 92.822231) + (xy 168.945838 92.822127) + (xy 168.945836 92.822127) + (xy 168.941385 92.8221) + (xy 168.887011 92.837641) + (xy 168.879623 92.839275) + (xy 168.867187 92.841244) + (xy 168.862804 92.843477) + (xy 168.86187 92.843781) + (xy 168.852156 92.847603) + (xy 168.849821 92.84827) + (xy 168.827929 92.854526) + (xy 168.827927 92.854527) + (xy 168.823644 92.855751) + (xy 168.764119 92.893309) + (xy 168.762819 92.894129) + (xy 168.757842 92.896959) + (xy 168.751757 92.900059) + (xy 168.751756 92.90006) + (xy 168.747375 92.902292) + (xy 168.743897 92.90577) + (xy 168.742445 92.906825) + (xy 168.739058 92.90912) + (xy 168.72008 92.921095) + (xy 168.717137 92.924427) + (xy 168.717135 92.924429) + (xy 168.657156 92.992342) + (xy 168.656032 92.993538) + (xy 168.655775 92.993892) + (xy 168.655554 92.994113) + (xy 168.655405 92.994204) + (xy 168.654504 92.995163) + (xy 168.652292 92.997375) + (xy 168.651509 92.996592) + (xy 168.650362 92.997296) + (xy 168.651721 92.998496) + (xy 168.639018 93.012879) + (xy 168.634595 93.0223) + (xy 168.588868 93.119695) + (xy 168.588867 93.119698) + (xy 168.586976 93.123726) + (xy 168.568136 93.244724) + (xy 162.698461 93.244724) + (xy 162.703105 93.242444) + (xy 162.711387 93.236516) + (xy 162.786883 93.160889) + (xy 162.792799 93.152594) + (xy 162.839863 93.056313) + (xy 162.842695 93.047149) + (xy 162.851672 92.985609) + (xy 162.852 92.981096) + (xy 162.852 92.800931) + (xy 162.848359 92.792141) + (xy 162.839569 92.7885) + (xy 162.325931 92.7885) + (xy 162.317141 92.792141) + (xy 162.3135 92.800931) + (xy 162.3135 93.289568) + (xy 162.1865 93.289568) + (xy 162.1865 92.800931) + (xy 162.182859 92.792141) + (xy 162.174069 92.7885) + (xy 161.660432 92.7885) + (xy 161.651642 92.792141) + (xy 161.648001 92.800931) + (xy 161.648001 92.981083) + (xy 161.352 92.981083) + (xy 161.352 92.800931) + (xy 161.348359 92.792141) + (xy 161.339569 92.7885) + (xy 160.825931 92.7885) + (xy 160.817141 92.792141) + (xy 160.8135 92.800931) + (xy 160.8135 93.289568) + (xy 160.6865 93.289568) + (xy 160.6865 92.800931) + (xy 160.682859 92.792141) + (xy 160.674069 92.7885) + (xy 160.160432 92.7885) + (xy 160.151642 92.792141) + (xy 160.148001 92.800931) + (xy 160.148001 92.981083) + (xy 155.69804 92.981083) + (xy 155.69804 92.649069) + (xy 160.148 92.649069) + (xy 160.151641 92.657859) + (xy 160.160431 92.6615) + (xy 160.674069 92.6615) + (xy 160.682859 92.657859) + (xy 160.6865 92.649069) + (xy 160.8135 92.649069) + (xy 160.817141 92.657859) + (xy 160.825931 92.6615) + (xy 161.339568 92.6615) + (xy 161.348358 92.657859) + (xy 161.351999 92.649069) + (xy 161.648 92.649069) + (xy 161.651641 92.657859) + (xy 161.660431 92.6615) + (xy 162.174069 92.6615) + (xy 162.182859 92.657859) + (xy 162.1865 92.649069) + (xy 162.3135 92.649069) + (xy 162.317141 92.657859) + (xy 162.325931 92.6615) + (xy 162.839568 92.6615) + (xy 162.848358 92.657859) + (xy 162.851999 92.649069) + (xy 162.851999 92.468917) + (xy 162.851667 92.464386) + (xy 162.842522 92.402257) + (xy 162.839674 92.39309) + (xy 162.792444 92.296895) + (xy 162.786516 92.288613) + (xy 162.710889 92.213117) + (xy 162.702594 92.207201) + (xy 162.606313 92.160137) + (xy 162.597149 92.157305) + (xy 162.535609 92.148328) + (xy 162.531096 92.148) + (xy 162.325931 92.148) + (xy 162.317141 92.151641) + (xy 162.3135 92.160431) + (xy 162.3135 92.649069) + (xy 162.1865 92.649069) + (xy 162.1865 92.160432) + (xy 162.182859 92.151642) + (xy 162.174069 92.148001) + (xy 161.968917 92.148001) + (xy 161.964386 92.148333) + (xy 161.902257 92.157478) + (xy 161.89309 92.160326) + (xy 161.796895 92.207556) + (xy 161.788613 92.213484) + (xy 161.713117 92.289111) + (xy 161.707201 92.297406) + (xy 161.660137 92.393687) + (xy 161.657305 92.402851) + (xy 161.648328 92.464391) + (xy 161.648 92.468904) + (xy 161.648 92.649069) + (xy 161.351999 92.649069) + (xy 161.351999 92.468917) + (xy 161.351667 92.464386) + (xy 161.342522 92.402257) + (xy 161.339674 92.39309) + (xy 161.292444 92.296895) + (xy 161.286516 92.288613) + (xy 161.210889 92.213117) + (xy 161.202594 92.207201) + (xy 161.106313 92.160137) + (xy 161.097149 92.157305) + (xy 161.035609 92.148328) + (xy 161.031096 92.148) + (xy 160.825931 92.148) + (xy 160.817141 92.151641) + (xy 160.8135 92.160431) + (xy 160.8135 92.649069) + (xy 160.6865 92.649069) + (xy 160.6865 92.160432) + (xy 160.682859 92.151642) + (xy 160.674069 92.148001) + (xy 160.468917 92.148001) + (xy 160.464386 92.148333) + (xy 160.402257 92.157478) + (xy 160.39309 92.160326) + (xy 160.296895 92.207556) + (xy 160.288613 92.213484) + (xy 160.213117 92.289111) + (xy 160.207201 92.297406) + (xy 160.160137 92.393687) + (xy 160.157305 92.402851) + (xy 160.148328 92.464391) + (xy 160.148 92.468904) + (xy 160.148 92.649069) + (xy 155.69804 92.649069) + (xy 155.69804 91.793449) + (xy 155.716346 91.749255) + (xy 155.76054 91.730949) + (xy 155.804734 91.749255) + (xy 155.909966 91.854487) + (xy 155.914271 91.860561) + (xy 155.914844 91.860105) + (xy 155.919225 91.865613) + (xy 155.922272 91.871958) + (xy 155.937719 91.884311) + (xy 155.945355 91.890418) + (xy 155.950514 91.895035) + (xy 155.955762 91.900283) + (xy 155.958733 91.90215) + (xy 155.958734 91.902151) + (xy 155.961763 91.904055) + (xy 155.967538 91.908159) + (xy 155.98483 91.921988) + (xy 155.984834 91.92199) + (xy 155.990327 91.926383) + (xy 155.997184 91.92796) + (xy 156.000946 91.929778) + (xy 156.004884 91.931157) + (xy 156.010842 91.934902) + (xy 156.017833 91.935692) + (xy 156.017838 91.935694) + (xy 156.039831 91.93818) + (xy 156.046817 91.939373) + (xy 156.053891 91.941) + (xy 156.061271 91.941) + (xy 156.068292 91.941396) + (xy 156.097432 91.94469) + (xy 156.104078 91.942369) + (xy 156.111072 91.941585) + (xy 156.111148 91.942264) + (xy 156.118598 91.941) + (xy 157.956768 91.941) + (xy 157.964107 91.94225) + (xy 157.96419 91.941523) + (xy 157.971181 91.94232) + (xy 157.977822 91.944652) + (xy 157.984815 91.943874) + (xy 157.984816 91.943874) + (xy 158.005939 91.941523) + (xy 158.007198 91.941383) + (xy 158.01411 91.941) + (xy 158.021533 91.941) + (xy 158.028465 91.939419) + (xy 158.035418 91.938243) + (xy 158.064429 91.935014) + (xy 158.070393 91.931281) + (xy 158.074341 91.929906) + (xy 158.0781 91.928097) + (xy 158.084959 91.926532) + (xy 158.090662 91.921988) + (xy 158.107773 91.908352) + (xy 158.113559 91.904256) + (xy 158.116715 91.90228) + (xy 158.116717 91.902279) + (xy 158.119711 91.900404) + (xy 158.124924 91.895191) + (xy 158.130168 91.890506) + (xy 158.147604 91.876612) + (xy 158.147604 91.876611) + (xy 158.153109 91.872225) + (xy 158.156167 91.865886) + (xy 158.160558 91.860385) + (xy 158.161092 91.860811) + (xy 158.165468 91.854647) + (xy 159.310809 90.709306) + (xy 159.355003 90.691) + (xy 165.076187 90.691) + (xy 165.120381 90.709306) + (xy 165.129248 90.720473) + (xy 165.13154 90.724155) + (xy 165.133333 90.72823) + (xy 165.147272 90.744813) + (xy 165.148029 90.745713) + (xy 165.150157 90.748434) + (xy 165.152292 90.752625) + (xy 165.160081 90.760414) + (xy 165.163729 90.764391) + (xy 165.212127 90.821968) + (xy 165.235399 90.837459) + (xy 165.241836 90.842733) + (xy 165.243899 90.844232) + (xy 165.247375 90.847708) + (xy 165.251753 90.849939) + (xy 165.251756 90.849941) + (xy 165.25873 90.853494) + (xy 165.264977 90.857148) + (xy 165.314064 90.889823) + (xy 165.318313 90.891151) + (xy 165.318314 90.891151) + (xy 165.350296 90.901143) + (xy 165.360027 90.905109) + (xy 165.362799 90.906521) + (xy 165.362803 90.906522) + (xy 165.367187 90.908756) + (xy 165.377852 90.910445) + (xy 165.386713 90.91252) + (xy 165.426696 90.925012) + (xy 165.426699 90.925012) + (xy 165.430948 90.92634) + (xy 165.480069 90.927241) + (xy 165.488683 90.927999) + (xy 165.49514 90.929022) + (xy 165.495144 90.929022) + (xy 165.5 90.929791) + (xy 165.506947 90.92869) + (xy 165.517853 90.927933) + (xy 165.553383 90.928584) + (xy 165.613532 90.912185) + (xy 165.62019 90.910755) + (xy 165.622147 90.910445) + (xy 165.632813 90.908756) + (xy 165.637196 90.906522) + (xy 165.641875 90.905002) + (xy 165.641878 90.90501) + (xy 165.646727 90.903136) + (xy 165.671527 90.896375) + (xy 165.681223 90.890422) + (xy 165.739709 90.854511) + (xy 165.744037 90.852085) + (xy 165.74824 90.849944) + (xy 165.748248 90.849938) + (xy 165.752625 90.847708) + (xy 165.755427 90.844906) + (xy 165.756264 90.844346) + (xy 165.758891 90.842733) + (xy 165.775881 90.832301) + (xy 165.858058 90.741513) + (xy 165.911451 90.631311) + (xy 165.9125 90.62508) + (xy 165.926696 90.540694) + (xy 165.931767 90.510552) + (xy 165.931896 90.5) + (xy 165.914536 90.378781) + (xy 165.863852 90.267307) + (xy 165.849199 90.250302) + (xy 165.847708 90.247375) + (xy 165.8419 90.241567) + (xy 165.838746 90.23817) + (xy 165.786826 90.177914) + (xy 165.783918 90.174539) + (xy 165.763741 90.161461) + (xy 165.760155 90.158559) + (xy 165.760081 90.158661) + (xy 165.756106 90.155773) + (xy 165.752625 90.152292) + (xy 165.741343 90.146543) + (xy 165.735736 90.143309) + (xy 165.734632 90.142593) + (xy 165.713322 90.128781) + (xy 165.684893 90.110354) + (xy 165.68489 90.110353) + (xy 165.68116 90.107935) + (xy 165.676901 90.106661) + (xy 165.676898 90.10666) + (xy 165.658345 90.101112) + (xy 165.648839 90.098269) + (xy 165.638377 90.094079) + (xy 165.632813 90.091244) + (xy 165.621067 90.089384) + (xy 165.612951 90.087536) + (xy 165.609082 90.086379) + (xy 165.563838 90.072848) + (xy 165.519496 90.072577) + (xy 165.510103 90.071809) + (xy 165.504857 90.070978) + (xy 165.504856 90.070978) + (xy 165.5 90.070209) + (xy 165.491373 90.071576) + (xy 165.48122 90.072344) + (xy 165.462836 90.072231) + (xy 165.445838 90.072127) + (xy 165.445836 90.072127) + (xy 165.441385 90.0721) + (xy 165.387011 90.087641) + (xy 165.379623 90.089275) + (xy 165.367187 90.091244) + (xy 165.362804 90.093477) + (xy 165.36187 90.093781) + (xy 165.352155 90.097603) + (xy 165.327929 90.104526) + (xy 165.327927 90.104527) + (xy 165.323644 90.105751) + (xy 165.264119 90.143309) + (xy 165.262819 90.144129) + (xy 165.257842 90.146959) + (xy 165.251757 90.150059) + (xy 165.251756 90.15006) + (xy 165.247375 90.152292) + (xy 165.243897 90.15577) + (xy 165.242445 90.156825) + (xy 165.239058 90.15912) + (xy 165.22008 90.171095) + (xy 165.217137 90.174427) + (xy 165.217135 90.174429) + (xy 165.157156 90.242342) + (xy 165.156032 90.243538) + (xy 165.155775 90.243892) + (xy 165.155554 90.244113) + (xy 165.155405 90.244204) + (xy 165.154504 90.245163) + (xy 165.152292 90.247375) + (xy 165.151509 90.246592) + (xy 165.150362 90.247296) + (xy 165.151721 90.248496) + (xy 165.145002 90.256104) + (xy 165.139018 90.262879) + (xy 165.137127 90.266906) + (xy 165.137126 90.266908) + (xy 165.134237 90.273062) + (xy 165.098884 90.305287) + (xy 165.077662 90.309) + (xy 159.293232 90.309) + (xy 159.285893 90.30775) + (xy 159.28581 90.308477) + (xy 159.278819 90.30768) + (xy 159.272178 90.305348) + (xy 159.265185 90.306126) + (xy 159.265184 90.306126) + (xy 159.2428 90.308617) + (xy 159.235888 90.309) + (xy 159.228467 90.309) + (xy 159.225044 90.309781) + (xy 159.225043 90.309781) + (xy 159.221552 90.310577) + (xy 159.214569 90.311758) + (xy 159.192562 90.314207) + (xy 159.185571 90.314985) + (xy 159.179608 90.318718) + (xy 159.175675 90.320088) + (xy 159.171905 90.321902) + (xy 159.165041 90.323468) + (xy 159.151032 90.334631) + (xy 159.142229 90.341646) + (xy 159.136446 90.34574) + (xy 159.13329 90.347716) + (xy 159.133284 90.347721) + (xy 159.130289 90.349596) + (xy 159.125076 90.354809) + (xy 159.119832 90.359494) + (xy 159.111486 90.366145) + (xy 159.096891 90.377775) + (xy 159.093833 90.384114) + (xy 159.089442 90.389615) + (xy 159.088908 90.389189) + (xy 159.084532 90.395353) + (xy 157.939191 91.540694) + (xy 157.894997 91.559) + (xy 156.180597 91.559) + (xy 156.136403 91.540694) + (xy 155.270441 90.674732) + (xy 155.252135 90.630538) + (xy 155.270441 90.586344) + (xy 155.272803 90.584191) + (xy 155.275881 90.582301) + (xy 155.284523 90.572754) + (xy 155.355071 90.494813) + (xy 155.358058 90.491513) + (xy 155.411451 90.381311) + (xy 155.413317 90.370224) + (xy 155.427516 90.285821) + (xy 155.431767 90.260552) + (xy 155.431896 90.25) + (xy 155.414536 90.128781) + (xy 155.363852 90.017307) + (xy 155.349199 90.000302) + (xy 155.347708 89.997375) + (xy 155.3419 89.991567) + (xy 155.338746 89.98817) + (xy 155.286826 89.927914) + (xy 155.283918 89.924539) + (xy 155.263741 89.911461) + (xy 155.260155 89.908559) + (xy 155.260081 89.908661) + (xy 155.256106 89.905773) + (xy 155.252625 89.902292) + (xy 155.241343 89.896543) + (xy 155.235735 89.893308) + (xy 155.219505 89.882788) + (xy 155.192377 89.843389) + (xy 155.191 89.830342) + (xy 155.191 89.605003) + (xy 155.209306 89.560809) + (xy 156.535738 88.234377) + (xy 156.579932 88.216071) + (xy 156.624126 88.234377) + (xy 156.627774 88.238354) + (xy 156.633498 88.245163) + (xy 156.63396 88.245713) + (xy 156.636088 88.248434) + (xy 156.638223 88.252625) + (xy 156.646012 88.260414) + (xy 156.64966 88.264391) + (xy 156.660689 88.277512) + (xy 156.686825 88.308604) + (xy 156.698058 88.321968) + (xy 156.72133 88.337459) + (xy 156.727767 88.342733) + (xy 156.72983 88.344232) + (xy 156.733306 88.347708) + (xy 156.737684 88.349939) + (xy 156.737687 88.349941) + (xy 156.744661 88.353494) + (xy 156.750908 88.357148) + (xy 156.799995 88.389823) + (xy 156.804244 88.391151) + (xy 156.804245 88.391151) + (xy 156.836227 88.401143) + (xy 156.845958 88.405109) + (xy 156.84873 88.406521) + (xy 156.848734 88.406522) + (xy 156.853118 88.408756) + (xy 156.863783 88.410445) + (xy 156.872644 88.41252) + (xy 156.912627 88.425012) + (xy 156.91263 88.425012) + (xy 156.916879 88.42634) + (xy 156.966 88.427241) + (xy 156.974614 88.427999) + (xy 156.981071 88.429022) + (xy 156.981075 88.429022) + (xy 156.985931 88.429791) + (xy 156.992878 88.42869) + (xy 157.003784 88.427933) + (xy 157.039314 88.428584) + (xy 157.099463 88.412185) + (xy 157.106121 88.410755) + (xy 157.108078 88.410445) + (xy 157.118744 88.408756) + (xy 157.123127 88.406522) + (xy 157.127806 88.405002) + (xy 157.127809 88.40501) + (xy 157.132658 88.403136) + (xy 157.157458 88.396375) + (xy 157.162452 88.393309) + (xy 157.187316 88.378042) + (xy 157.18974 88.376554) + (xy 157.236979 88.369029) + (xy 157.275703 88.397112) + (xy 157.284942 88.429815) + (xy 157.284942 88.669055) + (xy 157.266636 88.713249) + (xy 156.161953 89.817932) + (xy 156.117759 89.836238) + (xy 156.099851 89.833618) + (xy 156.083715 89.828793) + (xy 156.063838 89.822848) + (xy 156.019496 89.822577) + (xy 156.010103 89.821809) + (xy 156.004857 89.820978) + (xy 156.004856 89.820978) + (xy 156 89.820209) + (xy 155.991373 89.821576) + (xy 155.98122 89.822344) + (xy 155.962836 89.822231) + (xy 155.945838 89.822127) + (xy 155.945836 89.822127) + (xy 155.941385 89.8221) + (xy 155.887011 89.837641) + (xy 155.879623 89.839275) + (xy 155.867187 89.841244) + (xy 155.862804 89.843477) + (xy 155.86187 89.843781) + (xy 155.852155 89.847603) + (xy 155.827929 89.854526) + (xy 155.827927 89.854527) + (xy 155.823644 89.855751) + (xy 155.819875 89.858129) + (xy 155.808345 89.865404) + (xy 155.764119 89.893309) + (xy 155.762819 89.894129) + (xy 155.757842 89.896959) + (xy 155.751757 89.900059) + (xy 155.751756 89.90006) + (xy 155.747375 89.902292) + (xy 155.743897 89.90577) + (xy 155.742445 89.906825) + (xy 155.739058 89.90912) + (xy 155.72008 89.921095) + (xy 155.717137 89.924427) + (xy 155.717135 89.924429) + (xy 155.657156 89.992342) + (xy 155.656032 89.993538) + (xy 155.655775 89.993892) + (xy 155.655554 89.994113) + (xy 155.655405 89.994204) + (xy 155.654504 89.995163) + (xy 155.652292 89.997375) + (xy 155.651509 89.996592) + (xy 155.650362 89.997296) + (xy 155.651721 89.998496) + (xy 155.642377 90.009076) + (xy 155.639018 90.012879) + (xy 155.637127 90.016907) + (xy 155.588868 90.119695) + (xy 155.588867 90.119698) + (xy 155.586976 90.123726) + (xy 155.568136 90.244724) + (xy 155.568713 90.249137) + (xy 155.568713 90.249138) + (xy 155.582857 90.357301) + (xy 155.584014 90.366145) + (xy 155.591185 90.382443) + (xy 155.591244 90.382813) + (xy 155.592007 90.384311) + (xy 155.594031 90.38891) + (xy 155.594031 90.388911) + (xy 155.630204 90.471118) + (xy 155.633333 90.47823) + (xy 155.646094 90.493411) + (xy 155.648029 90.495713) + (xy 155.650157 90.498434) + (xy 155.652292 90.502625) + (xy 155.660081 90.510414) + (xy 155.66373 90.514392) + (xy 155.701743 90.559614) + (xy 155.712127 90.571968) + (xy 155.735399 90.587459) + (xy 155.741836 90.592733) + (xy 155.743899 90.594232) + (xy 155.747375 90.597708) + (xy 155.751753 90.599939) + (xy 155.751756 90.599941) + (xy 155.75873 90.603494) + (xy 155.764977 90.607148) + (xy 155.814064 90.639823) + (xy 155.818313 90.641151) + (xy 155.818314 90.641151) + (xy 155.850296 90.651143) + (xy 155.860027 90.655109) + (xy 155.862799 90.656521) + (xy 155.862803 90.656522) + (xy 155.867187 90.658756) + (xy 155.877852 90.660445) + (xy 155.886713 90.66252) + (xy 155.926696 90.675012) + (xy 155.926699 90.675012) + (xy 155.930948 90.67634) + (xy 155.980069 90.677241) + (xy 155.988683 90.677999) + (xy 155.99514 90.679022) + (xy 155.995144 90.679022) + (xy 156 90.679791) + (xy 156.006947 90.67869) + (xy 156.017853 90.677933) + (xy 156.053383 90.678584) + (xy 156.066704 90.674952) + (xy 156.114155 90.680988) + (xy 156.143442 90.71881) + (xy 156.136625 90.761755) + (xy 156.139018 90.762879) + (xy 156.088868 90.869695) + (xy 156.088867 90.869698) + (xy 156.086976 90.873726) + (xy 156.068136 90.994724) + (xy 156.068713 90.999137) + (xy 156.068713 90.999138) + (xy 156.074976 91.047029) + (xy 156.084014 91.116145) + (xy 156.091185 91.132443) + (xy 156.091244 91.132813) + (xy 156.092007 91.134311) + (xy 156.094031 91.13891) + (xy 156.094031 91.138911) + (xy 156.129309 91.219084) + (xy 156.133333 91.22823) + (xy 156.147272 91.244813) + (xy 156.148029 91.245713) + (xy 156.150157 91.248434) + (xy 156.152292 91.252625) + (xy 156.160081 91.260414) + (xy 156.163729 91.264391) + (xy 156.212127 91.321968) + (xy 156.235399 91.337459) + (xy 156.241836 91.342733) + (xy 156.243899 91.344232) + (xy 156.247375 91.347708) + (xy 156.251753 91.349939) + (xy 156.251756 91.349941) + (xy 156.25873 91.353494) + (xy 156.264977 91.357148) + (xy 156.314064 91.389823) + (xy 156.318313 91.391151) + (xy 156.318314 91.391151) + (xy 156.350296 91.401143) + (xy 156.360027 91.405109) + (xy 156.362799 91.406521) + (xy 156.362803 91.406522) + (xy 156.367187 91.408756) + (xy 156.377852 91.410445) + (xy 156.386713 91.41252) + (xy 156.426696 91.425012) + (xy 156.426699 91.425012) + (xy 156.430948 91.42634) + (xy 156.480069 91.427241) + (xy 156.488683 91.427999) + (xy 156.49514 91.429022) + (xy 156.495144 91.429022) + (xy 156.5 91.429791) + (xy 156.506947 91.42869) + (xy 156.517853 91.427933) + (xy 156.553383 91.428584) + (xy 156.613532 91.412185) + (xy 156.62019 91.410755) + (xy 156.622147 91.410445) + (xy 156.632813 91.408756) + (xy 156.637196 91.406522) + (xy 156.641875 91.405002) + (xy 156.641878 91.40501) + (xy 156.646727 91.403136) + (xy 156.671527 91.396375) + (xy 156.676521 91.393309) + (xy 156.739709 91.354511) + (xy 156.744037 91.352085) + (xy 156.74824 91.349944) + (xy 156.748248 91.349938) + (xy 156.752625 91.347708) + (xy 156.755427 91.344906) + (xy 156.756264 91.344346) + (xy 156.761316 91.341244) + (xy 156.775881 91.332301) + (xy 156.858058 91.241513) + (xy 156.911451 91.131311) + (xy 156.913317 91.120224) + (xy 156.928891 91.027648) + (xy 156.931767 91.010552) + (xy 156.931896 91) + (xy 156.914536 90.878781) + (xy 156.863852 90.767307) + (xy 156.849199 90.750302) + (xy 156.847708 90.747375) + (xy 156.841906 90.741573) + (xy 156.838753 90.738177) + (xy 156.826492 90.723948) + (xy 156.811511 90.678519) + (xy 156.829645 90.638956) + (xy 157.212295 90.256306) + (xy 157.256489 90.238) + (xy 157.300683 90.256306) + (xy 157.318989 90.3005) + (xy 157.318989 90.574222) + (xy 157.300683 90.618416) + (xy 157.289841 90.627079) + (xy 157.267539 90.641151) + (xy 157.262819 90.644129) + (xy 157.257842 90.646959) + (xy 157.251757 90.650059) + (xy 157.251756 90.65006) + (xy 157.247375 90.652292) + (xy 157.243897 90.65577) + (xy 157.242445 90.656825) + (xy 157.239058 90.65912) + (xy 157.22008 90.671095) + (xy 157.217137 90.674427) + (xy 157.217135 90.674429) + (xy 157.157156 90.742342) + (xy 157.156032 90.743538) + (xy 157.155775 90.743892) + (xy 157.155554 90.744113) + (xy 157.155405 90.744204) + (xy 157.154504 90.745163) + (xy 157.152292 90.747375) + (xy 157.151509 90.746592) + (xy 157.150362 90.747296) + (xy 157.151721 90.748496) + (xy 157.145002 90.756104) + (xy 157.139018 90.762879) + (xy 157.137127 90.766907) + (xy 157.088868 90.869695) + (xy 157.088867 90.869698) + (xy 157.086976 90.873726) + (xy 157.068136 90.994724) + (xy 157.068713 90.999137) + (xy 157.068713 90.999138) + (xy 157.074976 91.047029) + (xy 157.084014 91.116145) + (xy 157.091185 91.132443) + (xy 157.091244 91.132813) + (xy 157.092007 91.134311) + (xy 157.094031 91.13891) + (xy 157.094031 91.138911) + (xy 157.129309 91.219084) + (xy 157.133333 91.22823) + (xy 157.147272 91.244813) + (xy 157.148029 91.245713) + (xy 157.150157 91.248434) + (xy 157.152292 91.252625) + (xy 157.160081 91.260414) + (xy 157.163729 91.264391) + (xy 157.212127 91.321968) + (xy 157.235399 91.337459) + (xy 157.241836 91.342733) + (xy 157.243899 91.344232) + (xy 157.247375 91.347708) + (xy 157.251753 91.349939) + (xy 157.251756 91.349941) + (xy 157.25873 91.353494) + (xy 157.264977 91.357148) + (xy 157.314064 91.389823) + (xy 157.318313 91.391151) + (xy 157.318314 91.391151) + (xy 157.350296 91.401143) + (xy 157.360027 91.405109) + (xy 157.362799 91.406521) + (xy 157.362803 91.406522) + (xy 157.367187 91.408756) + (xy 157.377852 91.410445) + (xy 157.386713 91.41252) + (xy 157.426696 91.425012) + (xy 157.426699 91.425012) + (xy 157.430948 91.42634) + (xy 157.480069 91.427241) + (xy 157.488683 91.427999) + (xy 157.49514 91.429022) + (xy 157.495144 91.429022) + (xy 157.5 91.429791) + (xy 157.506947 91.42869) + (xy 157.517853 91.427933) + (xy 157.553383 91.428584) + (xy 157.613532 91.412185) + (xy 157.62019 91.410755) + (xy 157.622147 91.410445) + (xy 157.632813 91.408756) + (xy 157.637196 91.406522) + (xy 157.641875 91.405002) + (xy 157.641878 91.40501) + (xy 157.646727 91.403136) + (xy 157.671527 91.396375) + (xy 157.676521 91.393309) + (xy 157.739709 91.354511) + (xy 157.744037 91.352085) + (xy 157.74824 91.349944) + (xy 157.748248 91.349938) + (xy 157.752625 91.347708) + (xy 157.755427 91.344906) + (xy 157.756264 91.344346) + (xy 157.761316 91.341244) + (xy 157.775881 91.332301) + (xy 157.858058 91.241513) + (xy 157.865454 91.226248) + (xy 157.901198 91.194458) + (xy 157.9217 91.191) + (xy 157.956768 91.191) + (xy 157.964107 91.19225) + (xy 157.96419 91.191523) + (xy 157.971181 91.19232) + (xy 157.977822 91.194652) + (xy 157.984815 91.193874) + (xy 157.984816 91.193874) + (xy 158.007197 91.191383) + (xy 158.01411 91.191) + (xy 158.021533 91.191) + (xy 158.028465 91.189419) + (xy 158.035418 91.188243) + (xy 158.064429 91.185014) + (xy 158.070393 91.181281) + (xy 158.074341 91.179906) + (xy 158.0781 91.178097) + (xy 158.084959 91.176532) + (xy 158.107774 91.158351) + (xy 158.113559 91.154256) + (xy 158.116715 91.15228) + (xy 158.116717 91.152279) + (xy 158.119711 91.150404) + (xy 158.124924 91.145191) + (xy 158.130168 91.140506) + (xy 158.147604 91.126612) + (xy 158.147604 91.126611) + (xy 158.153109 91.122225) + (xy 158.156167 91.115886) + (xy 158.160558 91.110385) + (xy 158.161092 91.110811) + (xy 158.165468 91.104647) + (xy 158.604487 90.665628) + (xy 158.610561 90.661323) + (xy 158.610105 90.66075) + (xy 158.615613 90.656369) + (xy 158.621958 90.653322) + (xy 158.640418 90.630239) + (xy 158.645035 90.62508) + (xy 158.650284 90.619831) + (xy 158.654057 90.613828) + (xy 158.658165 90.608047) + (xy 158.658879 90.607155) + (xy 158.676383 90.585267) + (xy 158.67796 90.578407) + (xy 158.679778 90.574647) + (xy 158.681156 90.570711) + (xy 158.684902 90.564752) + (xy 158.688179 90.535763) + (xy 158.689371 90.528787) + (xy 158.691 90.521703) + (xy 158.691 90.514329) + (xy 158.691396 90.507308) + (xy 158.6939 90.485158) + (xy 158.694691 90.478162) + (xy 158.693446 90.474596) + (xy 158.693451 90.467051) + (xy 158.693729 90.464606) + (xy 158.69452 90.461164) + (xy 158.69452 90.45379) + (xy 158.694916 90.446769) + (xy 158.696922 90.429021) + (xy 158.698211 90.417623) + (xy 158.69589 90.410978) + (xy 158.695106 90.403984) + (xy 158.695784 90.403908) + (xy 158.69452 90.396456) + (xy 158.69452 87.244724) + (xy 162.318136 87.244724) + (xy 162.318713 87.249137) + (xy 162.318713 87.249138) + (xy 162.332655 87.355751) + (xy 162.334014 87.366145) + (xy 162.341185 87.382443) + (xy 162.341244 87.382813) + (xy 162.342007 87.384311) + (xy 162.344031 87.38891) + (xy 162.344031 87.388911) + (xy 162.381336 87.473691) + (xy 162.383333 87.47823) + (xy 162.396779 87.494226) + (xy 162.398029 87.495713) + (xy 162.400157 87.498434) + (xy 162.402292 87.502625) + (xy 162.410081 87.510414) + (xy 162.413729 87.514391) + (xy 162.422907 87.52531) + (xy 162.452655 87.560699) + (xy 162.462127 87.571968) + (xy 162.485399 87.587459) + (xy 162.491836 87.592733) + (xy 162.493899 87.594232) + (xy 162.497375 87.597708) + (xy 162.501753 87.599939) + (xy 162.501756 87.599941) + (xy 162.50873 87.603494) + (xy 162.514977 87.607148) + (xy 162.564064 87.639823) + (xy 162.568313 87.641151) + (xy 162.568314 87.641151) + (xy 162.600296 87.651143) + (xy 162.610027 87.655109) + (xy 162.612799 87.656521) + (xy 162.612803 87.656522) + (xy 162.617187 87.658756) + (xy 162.627852 87.660445) + (xy 162.636713 87.66252) + (xy 162.676696 87.675012) + (xy 162.676699 87.675012) + (xy 162.680948 87.67634) + (xy 162.730069 87.677241) + (xy 162.738683 87.677999) + (xy 162.74514 87.679022) + (xy 162.745144 87.679022) + (xy 162.75 87.679791) + (xy 162.756947 87.67869) + (xy 162.767853 87.677933) + (xy 162.803383 87.678584) + (xy 162.808019 87.67732) + (xy 162.850968 87.665611) + (xy 162.898421 87.671648) + (xy 162.911601 87.681716) + (xy 163.334371 88.104485) + (xy 163.338678 88.11056) + (xy 163.33925 88.110105) + (xy 163.343631 88.115613) + (xy 163.346678 88.121958) + (xy 163.352175 88.126354) + (xy 163.369766 88.140422) + (xy 163.374925 88.145039) + (xy 163.380169 88.150283) + (xy 163.383132 88.152145) + (xy 163.383139 88.152151) + (xy 163.386174 88.154058) + (xy 163.39195 88.158163) + (xy 163.414733 88.176383) + (xy 163.421591 88.17796) + (xy 163.425356 88.17978) + (xy 163.429292 88.181158) + (xy 163.435248 88.184902) + (xy 163.442239 88.185692) + (xy 163.442244 88.185694) + (xy 163.464237 88.18818) + (xy 163.471223 88.189373) + (xy 163.478297 88.191) + (xy 163.485677 88.191) + (xy 163.492698 88.191396) + (xy 163.521838 88.19469) + (xy 163.528484 88.192369) + (xy 163.535478 88.191585) + (xy 163.535554 88.192264) + (xy 163.543004 88.191) + (xy 168.335001 88.191) + (xy 168.379195 88.209306) + (xy 168.397501 88.2535) + (xy 168.397501 88.313374) + (xy 168.397766 88.315385) + (xy 168.397766 88.31539) + (xy 168.402975 88.354965) + (xy 168.403779 88.361071) + (xy 168.4058 88.365404) + (xy 168.4058 88.365405) + (xy 168.450277 88.460787) + (xy 168.450279 88.460789) + (xy 168.452589 88.465744) + (xy 168.534256 88.547411) + (xy 168.539211 88.549721) + (xy 168.539213 88.549723) + (xy 168.588015 88.572479) + (xy 168.638929 88.596221) + (xy 168.652295 88.597981) + (xy 168.684593 88.602233) + (xy 168.684601 88.602233) + (xy 168.686625 88.6025) + (xy 168.688672 88.6025) + (xy 168.925642 88.602499) + (xy 169.163374 88.602499) + (xy 169.165385 88.602234) + (xy 169.16539 88.602234) + (xy 169.206331 88.596845) + (xy 169.206332 88.596845) + (xy 169.211071 88.596221) + (xy 169.218198 88.592898) + (xy 169.310787 88.549723) + (xy 169.310789 88.549721) + (xy 169.315744 88.547411) + (xy 169.397411 88.465744) + (xy 169.399721 88.460789) + (xy 169.399723 88.460787) + (xy 169.425732 88.40501) + (xy 169.446221 88.361071) + (xy 169.449501 88.336155) + (xy 169.452233 88.315407) + (xy 169.452233 88.315399) + (xy 169.4525 88.313375) + (xy 169.452499 87.686626) + (xy 169.452232 87.684593) + (xy 169.446845 87.643669) + (xy 169.446845 87.643668) + (xy 169.446221 87.638929) + (xy 169.436182 87.617401) + (xy 169.399723 87.539213) + (xy 169.399721 87.539211) + (xy 169.397411 87.534256) + (xy 169.315744 87.452589) + (xy 169.310789 87.450279) + (xy 169.310787 87.450277) + (xy 169.255355 87.424429) + (xy 169.211071 87.403779) + (xy 169.197705 87.402019) + (xy 169.165407 87.397767) + (xy 169.165399 87.397767) + (xy 169.163375 87.3975) + (xy 169.161328 87.3975) + (xy 168.924358 87.397501) + (xy 168.686626 87.397501) + (xy 168.684615 87.397766) + (xy 168.68461 87.397766) + (xy 168.643669 87.403155) + (xy 168.643668 87.403155) + (xy 168.638929 87.403779) + (xy 168.634595 87.4058) + (xy 168.539213 87.450277) + (xy 168.539211 87.450279) + (xy 168.534256 87.452589) + (xy 168.452589 87.534256) + (xy 168.450279 87.539211) + (xy 168.450277 87.539213) + (xy 168.430671 87.581259) + (xy 168.403779 87.638929) + (xy 168.403155 87.643669) + (xy 168.403155 87.64367) + (xy 168.397767 87.684593) + (xy 168.397767 87.684601) + (xy 168.3975 87.686625) + (xy 168.3975 87.7465) + (xy 168.379194 87.790694) + (xy 168.335 87.809) + (xy 163.605003 87.809) + (xy 163.560809 87.790694) + (xy 163.182793 87.412678) + (xy 163.164487 87.368484) + (xy 163.165353 87.358115) + (xy 163.181767 87.260552) + (xy 163.181896 87.25) + (xy 163.164536 87.128781) + (xy 163.113852 87.017307) + (xy 163.099199 87.000302) + (xy 163.097708 86.997375) + (xy 163.0919 86.991567) + (xy 163.088746 86.98817) + (xy 163.04175 86.933629) + (xy 163.033918 86.924539) + (xy 163.013741 86.911461) + (xy 163.010155 86.908559) + (xy 163.010081 86.908661) + (xy 163.006106 86.905773) + (xy 163.002625 86.902292) + (xy 162.991343 86.896543) + (xy 162.985735 86.893308) + (xy 162.969505 86.882788) + (xy 162.942377 86.843389) + (xy 162.941 86.830342) + (xy 162.941 86.169384) + (xy 162.959306 86.12519) + (xy 162.970797 86.116123) + (xy 162.989712 86.104509) + (xy 162.994034 86.102085) + (xy 163.002625 86.097708) + (xy 163.005427 86.094906) + (xy 163.006264 86.094346) + (xy 163.008891 86.092733) + (xy 163.025881 86.082301) + (xy 163.108058 85.991513) + (xy 163.161451 85.881311) + (xy 163.162501 85.875075) + (xy 163.176945 85.789213) + (xy 163.181767 85.760552) + (xy 163.181896 85.75) + (xy 163.164536 85.628781) + (xy 163.113852 85.517307) + (xy 163.099199 85.500302) + (xy 163.097708 85.497375) + (xy 163.0919 85.491567) + (xy 163.088746 85.48817) + (xy 163.053542 85.447314) + (xy 163.033918 85.424539) + (xy 163.013741 85.411461) + (xy 163.010155 85.408559) + (xy 163.010081 85.408661) + (xy 163.006106 85.405773) + (xy 163.002625 85.402292) + (xy 162.991343 85.396543) + (xy 162.985736 85.393309) + (xy 162.934893 85.360354) + (xy 162.93489 85.360353) + (xy 162.93116 85.357935) + (xy 162.926901 85.356661) + (xy 162.926898 85.35666) + (xy 162.904429 85.349941) + (xy 162.898839 85.348269) + (xy 162.888377 85.344079) + (xy 162.882813 85.341244) + (xy 162.871067 85.339384) + (xy 162.862951 85.337536) + (xy 162.813838 85.322848) + (xy 162.769496 85.322577) + (xy 162.760103 85.321809) + (xy 162.754857 85.320978) + (xy 162.754856 85.320978) + (xy 162.75 85.320209) + (xy 162.741373 85.321576) + (xy 162.73122 85.322344) + (xy 162.712836 85.322231) + (xy 162.695838 85.322127) + (xy 162.695836 85.322127) + (xy 162.691385 85.3221) + (xy 162.637011 85.337641) + (xy 162.629623 85.339275) + (xy 162.617187 85.341244) + (xy 162.612804 85.343477) + (xy 162.61187 85.343781) + (xy 162.602156 85.347603) + (xy 162.599821 85.34827) + (xy 162.577929 85.354526) + (xy 162.577927 85.354527) + (xy 162.573644 85.355751) + (xy 162.514119 85.393309) + (xy 162.512819 85.394129) + (xy 162.507842 85.396959) + (xy 162.501757 85.400059) + (xy 162.501756 85.40006) + (xy 162.497375 85.402292) + (xy 162.493897 85.40577) + (xy 162.492445 85.406825) + (xy 162.489058 85.40912) + (xy 162.47008 85.421095) + (xy 162.467137 85.424427) + (xy 162.467135 85.424429) + (xy 162.407156 85.492342) + (xy 162.406032 85.493538) + (xy 162.405775 85.493892) + (xy 162.405554 85.494113) + (xy 162.405405 85.494204) + (xy 162.404504 85.495163) + (xy 162.402292 85.497375) + (xy 162.401509 85.496592) + (xy 162.400362 85.497296) + (xy 162.401721 85.498496) + (xy 162.392861 85.508528) + (xy 162.389018 85.512879) + (xy 162.387127 85.516907) + (xy 162.338868 85.619695) + (xy 162.338867 85.619698) + (xy 162.336976 85.623726) + (xy 162.318136 85.744724) + (xy 162.318713 85.749137) + (xy 162.318713 85.749138) + (xy 162.327293 85.814752) + (xy 162.334014 85.866145) + (xy 162.341185 85.882443) + (xy 162.341244 85.882813) + (xy 162.342007 85.884311) + (xy 162.344031 85.88891) + (xy 162.344031 85.888911) + (xy 162.365951 85.938726) + (xy 162.383333 85.97823) + (xy 162.397272 85.994813) + (xy 162.398029 85.995713) + (xy 162.400157 85.998434) + (xy 162.402292 86.002625) + (xy 162.410081 86.010414) + (xy 162.41373 86.014392) + (xy 162.452655 86.060699) + (xy 162.462127 86.071968) + (xy 162.485399 86.087459) + (xy 162.491836 86.092733) + (xy 162.493899 86.094232) + (xy 162.497375 86.097708) + (xy 162.501753 86.099939) + (xy 162.501756 86.099941) + (xy 162.50873 86.103494) + (xy 162.514983 86.107152) + (xy 162.524557 86.113525) + (xy 162.531134 86.117903) + (xy 162.557778 86.157631) + (xy 162.559 86.169929) + (xy 162.559 86.830525) + (xy 162.540694 86.874719) + (xy 162.529855 86.88338) + (xy 162.51281 86.894135) + (xy 162.507845 86.896957) + (xy 162.50669 86.897546) + (xy 162.501757 86.900059) + (xy 162.501756 86.90006) + (xy 162.497375 86.902292) + (xy 162.493897 86.90577) + (xy 162.492445 86.906825) + (xy 162.489058 86.90912) + (xy 162.47008 86.921095) + (xy 162.467137 86.924427) + (xy 162.467135 86.924429) + (xy 162.407156 86.992342) + (xy 162.406032 86.993538) + (xy 162.405775 86.993892) + (xy 162.405554 86.994113) + (xy 162.405405 86.994204) + (xy 162.404504 86.995163) + (xy 162.402292 86.997375) + (xy 162.401509 86.996592) + (xy 162.400362 86.997296) + (xy 162.401721 86.998496) + (xy 162.389018 87.012879) + (xy 162.381146 87.029647) + (xy 162.338868 87.119695) + (xy 162.338867 87.119698) + (xy 162.336976 87.123726) + (xy 162.318136 87.244724) + (xy 158.69452 87.244724) + (xy 158.69452 83.960015) + (xy 158.712826 83.915821) + (xy 159.16934 83.459306) + (xy 159.213534 83.441) + (xy 161.536466 83.441) + (xy 161.58066 83.459306) + (xy 162.490839 84.369485) + (xy 162.495146 84.37556) + (xy 162.495718 84.375105) + (xy 162.500099 84.380613) + (xy 162.503146 84.386958) + (xy 162.508643 84.391354) + (xy 162.526234 84.405422) + (xy 162.531393 84.410039) + (xy 162.536637 84.415283) + (xy 162.5396 84.417145) + (xy 162.539607 84.417151) + (xy 162.542642 84.419058) + (xy 162.548418 84.423163) + (xy 162.564209 84.435791) + (xy 162.571201 84.441383) + (xy 162.578061 84.44296) + (xy 162.581821 84.444778) + (xy 162.585757 84.446156) + (xy 162.591716 84.449902) + (xy 162.593885 84.450147) + (xy 162.628446 84.482123) + (xy 162.633333 84.49323) + (xy 162.636198 84.496638) + (xy 162.636199 84.49664) + (xy 162.648029 84.510713) + (xy 162.650157 84.513434) + (xy 162.652292 84.517625) + (xy 162.660081 84.525414) + (xy 162.66373 84.529392) + (xy 162.698948 84.571289) + (xy 162.712127 84.586968) + (xy 162.735399 84.602459) + (xy 162.741836 84.607733) + (xy 162.743899 84.609232) + (xy 162.747375 84.612708) + (xy 162.751753 84.614939) + (xy 162.751756 84.614941) + (xy 162.75873 84.618494) + (xy 162.764977 84.622148) + (xy 162.814064 84.654823) + (xy 162.818313 84.656151) + (xy 162.818314 84.656151) + (xy 162.850296 84.666143) + (xy 162.860027 84.670109) + (xy 162.862799 84.671521) + (xy 162.862803 84.671522) + (xy 162.867187 84.673756) + (xy 162.877852 84.675445) + (xy 162.886713 84.67752) + (xy 162.926696 84.690012) + (xy 162.926699 84.690012) + (xy 162.930948 84.69134) + (xy 162.980069 84.692241) + (xy 162.988683 84.692999) + (xy 162.99514 84.694022) + (xy 162.995144 84.694022) + (xy 163 84.694791) + (xy 163.006947 84.69369) + (xy 163.017853 84.692933) + (xy 163.053383 84.693584) + (xy 163.113532 84.677185) + (xy 163.12019 84.675755) + (xy 163.122147 84.675445) + (xy 163.132813 84.673756) + (xy 163.137196 84.671522) + (xy 163.141875 84.670002) + (xy 163.141878 84.67001) + (xy 163.146727 84.668136) + (xy 163.171527 84.661375) + (xy 163.175793 84.658756) + (xy 163.239709 84.619511) + (xy 163.244037 84.617085) + (xy 163.24824 84.614944) + (xy 163.248248 84.614938) + (xy 163.252625 84.612708) + (xy 163.255427 84.609906) + (xy 163.256264 84.609346) + (xy 163.258891 84.607733) + (xy 163.275881 84.597301) + (xy 163.358058 84.506513) + (xy 163.411451 84.396311) + (xy 163.412428 84.390507) + (xy 163.428925 84.292444) + (xy 163.431767 84.275552) + (xy 163.431896 84.265) + (xy 163.418999 84.174944) + (xy 163.417973 84.16778) + (xy 163.429829 84.121437) + (xy 163.470982 84.097051) + (xy 163.508225 84.103237) + (xy 163.508739 84.103499) + (xy 163.514977 84.107148) + (xy 163.564064 84.139823) + (xy 163.568313 84.141151) + (xy 163.568314 84.141151) + (xy 163.600296 84.151143) + (xy 163.610027 84.155109) + (xy 163.612799 84.156521) + (xy 163.612803 84.156522) + (xy 163.617187 84.158756) + (xy 163.627852 84.160445) + (xy 163.636713 84.16252) + (xy 163.676696 84.175012) + (xy 163.676699 84.175012) + (xy 163.680948 84.17634) + (xy 163.730069 84.177241) + (xy 163.738683 84.177999) + (xy 163.74514 84.179022) + (xy 163.745144 84.179022) + (xy 163.75 84.179791) + (xy 163.756947 84.17869) + (xy 163.767853 84.177933) + (xy 163.803383 84.178584) + (xy 163.863532 84.162185) + (xy 163.87019 84.160755) + (xy 163.872147 84.160445) + (xy 163.882813 84.158756) + (xy 163.887196 84.156522) + (xy 163.891875 84.155002) + (xy 163.891878 84.15501) + (xy 163.896727 84.153136) + (xy 163.921527 84.146375) + (xy 163.958415 84.123726) + (xy 163.989709 84.104511) + (xy 163.994037 84.102085) + (xy 163.99824 84.099944) + (xy 163.998248 84.099938) + (xy 164.002625 84.097708) + (xy 164.005427 84.094906) + (xy 164.006264 84.094346) + (xy 164.025881 84.082301) + (xy 164.108058 83.991513) + (xy 164.161451 83.881311) + (xy 164.164873 83.860975) + (xy 164.175628 83.797044) + (xy 164.181767 83.760552) + (xy 164.181896 83.75) + (xy 164.164536 83.628781) + (xy 164.145378 83.586645) + (xy 164.14375 83.538837) + (xy 164.176404 83.503881) + (xy 164.224212 83.502253) + (xy 164.246467 83.516582) + (xy 167.084372 86.354487) + (xy 167.088677 86.360561) + (xy 167.08925 86.360105) + (xy 167.093631 86.365613) + (xy 167.096678 86.371958) + (xy 167.102175 86.376354) + (xy 167.119761 86.390418) + (xy 167.12492 86.395035) + (xy 167.130168 86.400283) + (xy 167.133139 86.40215) + (xy 167.13314 86.402151) + (xy 167.136169 86.404055) + (xy 167.141944 86.408159) + (xy 167.159236 86.421988) + (xy 167.15924 86.42199) + (xy 167.164733 86.426383) + (xy 167.17159 86.42796) + (xy 167.175352 86.429778) + (xy 167.17929 86.431157) + (xy 167.185248 86.434902) + (xy 167.192239 86.435692) + (xy 167.192244 86.435694) + (xy 167.214237 86.43818) + (xy 167.221223 86.439373) + (xy 167.228297 86.441) + (xy 167.235677 86.441) + (xy 167.242698 86.441396) + (xy 167.271838 86.44469) + (xy 167.278482 86.44237) + (xy 167.285478 86.441585) + (xy 167.285554 86.442264) + (xy 167.293003 86.441) + (xy 168.335001 86.441) + (xy 168.379195 86.459306) + (xy 168.397501 86.5035) + (xy 168.397501 86.563374) + (xy 168.397766 86.565385) + (xy 168.397766 86.56539) + (xy 168.402494 86.601308) + (xy 168.403779 86.611071) + (xy 168.4058 86.615404) + (xy 168.4058 86.615405) + (xy 168.450277 86.710787) + (xy 168.450279 86.710789) + (xy 168.452589 86.715744) + (xy 168.534256 86.797411) + (xy 168.539211 86.799721) + (xy 168.539213 86.799723) + (xy 168.570236 86.814189) + (xy 168.638929 86.846221) + (xy 168.652295 86.847981) + (xy 168.684593 86.852233) + (xy 168.684601 86.852233) + (xy 168.686625 86.8525) + (xy 168.688672 86.8525) + (xy 168.925642 86.852499) + (xy 169.163374 86.852499) + (xy 169.165385 86.852234) + (xy 169.16539 86.852234) + (xy 169.206331 86.846845) + (xy 169.206332 86.846845) + (xy 169.211071 86.846221) + (xy 169.227133 86.838731) + (xy 169.310787 86.799723) + (xy 169.310789 86.799721) + (xy 169.315744 86.797411) + (xy 169.397411 86.715744) + (xy 169.399721 86.710789) + (xy 169.399723 86.710787) + (xy 169.426739 86.652851) + (xy 169.446221 86.611071) + (xy 169.447981 86.597705) + (xy 169.452233 86.565407) + (xy 169.452233 86.565399) + (xy 169.4525 86.563375) + (xy 169.4525 86.561284) + (xy 170.048001 86.561284) + (xy 170.048266 86.565335) + (xy 170.053645 86.6062) + (xy 170.05629 86.615274) + (xy 170.100693 86.710495) + (xy 170.106873 86.719321) + (xy 170.180679 86.793127) + (xy 170.189505 86.799307) + (xy 170.284727 86.84371) + (xy 170.293801 86.846355) + (xy 170.334647 86.851733) + (xy 170.338726 86.852) + (xy 170.499069 86.852) + (xy 170.507859 86.848359) + (xy 170.5115 86.839569) + (xy 170.5115 86.839568) + (xy 170.6385 86.839568) + (xy 170.642141 86.848358) + (xy 170.650931 86.851999) + (xy 170.811284 86.851999) + (xy 170.815335 86.851734) + (xy 170.8562 86.846355) + (xy 170.865274 86.84371) + (xy 170.960495 86.799307) + (xy 170.969321 86.793127) + (xy 171.043127 86.719321) + (xy 171.049307 86.710495) + (xy 171.09371 86.615273) + (xy 171.096355 86.606199) + (xy 171.101733 86.565353) + (xy 171.102 86.561274) + (xy 171.102 86.325931) + (xy 171.098359 86.317141) + (xy 171.089569 86.3135) + (xy 170.650931 86.3135) + (xy 170.642141 86.317141) + (xy 170.6385 86.325931) + (xy 170.6385 86.839568) + (xy 170.5115 86.839568) + (xy 170.5115 86.325931) + (xy 170.507859 86.317141) + (xy 170.499069 86.3135) + (xy 170.060432 86.3135) + (xy 170.051642 86.317141) + (xy 170.048001 86.325931) + (xy 170.048001 86.561284) + (xy 169.4525 86.561284) + (xy 169.452499 86.174069) + (xy 170.048 86.174069) + (xy 170.051641 86.182859) + (xy 170.060431 86.1865) + (xy 170.499069 86.1865) + (xy 170.507859 86.182859) + (xy 170.5115 86.174069) + (xy 170.6385 86.174069) + (xy 170.642141 86.182859) + (xy 170.650931 86.1865) + (xy 171.089568 86.1865) + (xy 171.098358 86.182859) + (xy 171.101999 86.174069) + (xy 171.101999 85.938716) + (xy 171.101734 85.934665) + (xy 171.096355 85.8938) + (xy 171.09371 85.884726) + (xy 171.049307 85.789505) + (xy 171.043127 85.780679) + (xy 170.969321 85.706873) + (xy 170.960495 85.700693) + (xy 170.865273 85.65629) + (xy 170.856199 85.653645) + (xy 170.815353 85.648267) + (xy 170.811274 85.648) + (xy 170.650931 85.648) + (xy 170.642141 85.651641) + (xy 170.6385 85.660431) + (xy 170.6385 86.174069) + (xy 170.5115 86.174069) + (xy 170.5115 85.660432) + (xy 170.507859 85.651642) + (xy 170.499069 85.648001) + (xy 170.338716 85.648001) + (xy 170.334665 85.648266) + (xy 170.2938 85.653645) + (xy 170.284726 85.65629) + (xy 170.189505 85.700693) + (xy 170.180679 85.706873) + (xy 170.106873 85.780679) + (xy 170.100693 85.789505) + (xy 170.05629 85.884727) + (xy 170.053645 85.893801) + (xy 170.048267 85.934647) + (xy 170.048 85.938726) + (xy 170.048 86.174069) + (xy 169.452499 86.174069) + (xy 169.452499 85.936626) + (xy 169.452232 85.934593) + (xy 169.446845 85.893669) + (xy 169.446845 85.893668) + (xy 169.446221 85.888929) + (xy 169.437315 85.869831) + (xy 169.399723 85.789213) + (xy 169.399721 85.789211) + (xy 169.397411 85.784256) + (xy 169.315744 85.702589) + (xy 169.310789 85.700279) + (xy 169.310787 85.700277) + (xy 169.251156 85.672471) + (xy 169.211071 85.653779) + (xy 169.194831 85.651641) + (xy 169.165407 85.647767) + (xy 169.165399 85.647767) + (xy 169.163375 85.6475) + (xy 169.161328 85.6475) + (xy 168.924358 85.647501) + (xy 168.686626 85.647501) + (xy 168.684615 85.647766) + (xy 168.68461 85.647766) + (xy 168.643669 85.653155) + (xy 168.643668 85.653155) + (xy 168.638929 85.653779) + (xy 168.634595 85.6558) + (xy 168.539213 85.700277) + (xy 168.539211 85.700279) + (xy 168.534256 85.702589) + (xy 168.452589 85.784256) + (xy 168.450279 85.789211) + (xy 168.450277 85.789213) + (xy 168.438368 85.814752) + (xy 168.403779 85.888929) + (xy 168.403155 85.893669) + (xy 168.403155 85.89367) + (xy 168.397767 85.934593) + (xy 168.397767 85.934601) + (xy 168.3975 85.936625) + (xy 168.3975 85.9965) + (xy 168.379194 86.040694) + (xy 168.335 86.059) + (xy 167.355002 86.059) + (xy 167.310808 86.040694) + (xy 164.182793 82.912678) + (xy 164.164487 82.868484) + (xy 164.165353 82.858115) + (xy 164.181767 82.760552) + (xy 164.181896 82.75) + (xy 164.164536 82.628781) + (xy 164.13149 82.5561) + (xy 164.115696 82.521362) + (xy 164.115695 82.52136) + (xy 164.113852 82.517307) + (xy 164.112178 82.515365) + (xy 164.103912 82.46896) + (xy 164.13128 82.429727) + (xy 164.164954 82.41988) + (xy 164.373877 82.41988) + (xy 164.418071 82.438186) + (xy 167.084372 85.104487) + (xy 167.088677 85.110561) + (xy 167.08925 85.110105) + (xy 167.093631 85.115613) + (xy 167.096678 85.121958) + (xy 167.110998 85.13341) + (xy 167.119761 85.140418) + (xy 167.12492 85.145035) + (xy 167.130168 85.150283) + (xy 167.133139 85.15215) + (xy 167.13314 85.152151) + (xy 167.136169 85.154055) + (xy 167.141944 85.158159) + (xy 167.159236 85.171988) + (xy 167.15924 85.17199) + (xy 167.164733 85.176383) + (xy 167.17159 85.17796) + (xy 167.175352 85.179778) + (xy 167.17929 85.181157) + (xy 167.185248 85.184902) + (xy 167.192239 85.185692) + (xy 167.192244 85.185694) + (xy 167.214237 85.18818) + (xy 167.221223 85.189373) + (xy 167.228297 85.191) + (xy 167.235677 85.191) + (xy 167.242698 85.191396) + (xy 167.271838 85.19469) + (xy 167.278482 85.19237) + (xy 167.285478 85.191585) + (xy 167.285554 85.192264) + (xy 167.293003 85.191) + (xy 171.826187 85.191) + (xy 171.870381 85.209306) + (xy 171.879248 85.220473) + (xy 171.88154 85.224155) + (xy 171.883333 85.22823) + (xy 171.897272 85.244813) + (xy 171.898029 85.245713) + (xy 171.900157 85.248434) + (xy 171.902292 85.252625) + (xy 171.910081 85.260414) + (xy 171.913729 85.264391) + (xy 171.962127 85.321968) + (xy 171.985399 85.337459) + (xy 171.991836 85.342733) + (xy 171.993899 85.344232) + (xy 171.997375 85.347708) + (xy 172.001753 85.349939) + (xy 172.001756 85.349941) + (xy 172.00873 85.353494) + (xy 172.014977 85.357148) + (xy 172.064064 85.389823) + (xy 172.068313 85.391151) + (xy 172.068314 85.391151) + (xy 172.100296 85.401143) + (xy 172.110027 85.405109) + (xy 172.112799 85.406521) + (xy 172.112803 85.406522) + (xy 172.117187 85.408756) + (xy 172.127852 85.410445) + (xy 172.136713 85.41252) + (xy 172.176696 85.425012) + (xy 172.176699 85.425012) + (xy 172.180948 85.42634) + (xy 172.230069 85.427241) + (xy 172.238683 85.427999) + (xy 172.24514 85.429022) + (xy 172.245144 85.429022) + (xy 172.25 85.429791) + (xy 172.256947 85.42869) + (xy 172.267853 85.427933) + (xy 172.303383 85.428584) + (xy 172.363532 85.412185) + (xy 172.37019 85.410755) + (xy 172.372147 85.410445) + (xy 172.382813 85.408756) + (xy 172.387196 85.406522) + (xy 172.391875 85.405002) + (xy 172.391878 85.40501) + (xy 172.396727 85.403136) + (xy 172.421527 85.396375) + (xy 172.426521 85.393309) + (xy 172.489709 85.354511) + (xy 172.494037 85.352085) + (xy 172.49824 85.349944) + (xy 172.498248 85.349938) + (xy 172.502625 85.347708) + (xy 172.505427 85.344906) + (xy 172.506264 85.344346) + (xy 172.511316 85.341244) + (xy 172.525881 85.332301) + (xy 172.608058 85.241513) + (xy 172.661451 85.131311) + (xy 172.662247 85.126583) + (xy 172.678323 85.031022) + (xy 172.681767 85.010552) + (xy 172.681896 85) + (xy 172.664536 84.878781) + (xy 172.613852 84.767307) + (xy 172.599199 84.750302) + (xy 172.597708 84.747375) + (xy 172.5919 84.741567) + (xy 172.588746 84.73817) + (xy 172.542279 84.684242) + (xy 172.533918 84.674539) + (xy 172.513741 84.661461) + (xy 172.510155 84.658559) + (xy 172.510081 84.658661) + (xy 172.506106 84.655773) + (xy 172.502625 84.652292) + (xy 172.491343 84.646543) + (xy 172.485736 84.643309) + (xy 172.434893 84.610354) + (xy 172.43489 84.610353) + (xy 172.43116 84.607935) + (xy 172.426901 84.606661) + (xy 172.426898 84.60666) + (xy 172.404429 84.599941) + (xy 172.398839 84.598269) + (xy 172.388377 84.594079) + (xy 172.382813 84.591244) + (xy 172.371067 84.589384) + (xy 172.362951 84.587536) + (xy 172.313838 84.572848) + (xy 172.269496 84.572577) + (xy 172.260103 84.571809) + (xy 172.260053 84.571801) + (xy 172.25682 84.571289) + (xy 172.254857 84.570978) + (xy 172.254856 84.570978) + (xy 172.25 84.570209) + (xy 172.241373 84.571576) + (xy 172.23122 84.572344) + (xy 172.212836 84.572231) + (xy 172.195838 84.572127) + (xy 172.195836 84.572127) + (xy 172.191385 84.5721) + (xy 172.137011 84.587641) + (xy 172.129623 84.589275) + (xy 172.117187 84.591244) + (xy 172.112804 84.593477) + (xy 172.11187 84.593781) + (xy 172.102156 84.597603) + (xy 172.099821 84.59827) + (xy 172.077929 84.604526) + (xy 172.077927 84.604527) + (xy 172.073644 84.605751) + (xy 172.014119 84.643309) + (xy 172.012819 84.644129) + (xy 172.007842 84.646959) + (xy 172.001757 84.650059) + (xy 172.001756 84.65006) + (xy 171.997375 84.652292) + (xy 171.993897 84.65577) + (xy 171.992445 84.656825) + (xy 171.989058 84.65912) + (xy 171.97008 84.671095) + (xy 171.967137 84.674427) + (xy 171.967135 84.674429) + (xy 171.907156 84.742342) + (xy 171.906032 84.743538) + (xy 171.905775 84.743892) + (xy 171.905554 84.744113) + (xy 171.905405 84.744204) + (xy 171.904504 84.745163) + (xy 171.902292 84.747375) + (xy 171.901509 84.746592) + (xy 171.900362 84.747296) + (xy 171.901721 84.748496) + (xy 171.889018 84.762879) + (xy 171.887127 84.766906) + (xy 171.887126 84.766908) + (xy 171.884237 84.773062) + (xy 171.848884 84.805287) + (xy 171.827662 84.809) + (xy 167.355002 84.809) + (xy 167.310808 84.790694) + (xy 167.064831 84.544717) + (xy 197.398001 84.544717) + (xy 197.398269 84.548797) + (xy 197.404005 84.592377) + (xy 197.406649 84.601449) + (xy 197.453847 84.702667) + (xy 197.460027 84.711492) + (xy 197.538508 84.789973) + (xy 197.547333 84.796153) + (xy 197.648549 84.84335) + (xy 197.657624 84.845995) + (xy 197.701204 84.851733) + (xy 197.705282 84.852) + (xy 197.886569 84.852) + (xy 197.895359 84.848359) + (xy 197.899 84.839569) + (xy 197.899 84.839568) + (xy 198.026 84.839568) + (xy 198.029641 84.848358) + (xy 198.038431 84.851999) + (xy 198.219717 84.851999) + (xy 198.223797 84.851731) + (xy 198.267377 84.845995) + (xy 198.276449 84.843351) + (xy 198.377667 84.796153) + (xy 198.386492 84.789973) + (xy 198.464973 84.711492) + (xy 198.471153 84.702667) + (xy 198.51835 84.601451) + (xy 198.520995 84.592376) + (xy 198.526733 84.548796) + (xy 198.527 84.544718) + (xy 198.527 84.325931) + (xy 198.523359 84.317141) + (xy 198.514569 84.3135) + (xy 198.038431 84.3135) + (xy 198.029641 84.317141) + (xy 198.026 84.325931) + (xy 198.026 84.839568) + (xy 197.899 84.839568) + (xy 197.899 84.325931) + (xy 197.895359 84.317141) + (xy 197.886569 84.3135) + (xy 197.410432 84.3135) + (xy 197.401642 84.317141) + (xy 197.398001 84.325931) + (xy 197.398001 84.544717) + (xy 167.064831 84.544717) + (xy 166.551198 84.031083) + (xy 177.898001 84.031083) + (xy 177.898333 84.035614) + (xy 177.907478 84.097743) + (xy 177.910326 84.10691) + (xy 177.957556 84.203105) + (xy 177.963484 84.211387) + (xy 178.039111 84.286883) + (xy 178.047406 84.292799) + (xy 178.143687 84.339863) + (xy 178.152851 84.342695) + (xy 178.214391 84.351672) + (xy 178.218904 84.352) + (xy 178.399069 84.352) + (xy 178.407859 84.348359) + (xy 178.4115 84.339569) + (xy 178.4115 84.339568) + (xy 178.5385 84.339568) + (xy 178.542141 84.348358) + (xy 178.550931 84.351999) + (xy 178.731083 84.351999) + (xy 178.735614 84.351667) + (xy 178.797743 84.342522) + (xy 178.80691 84.339674) + (xy 178.903105 84.292444) + (xy 178.911387 84.286516) + (xy 178.986883 84.210889) + (xy 178.992799 84.202594) + (xy 179.039863 84.106313) + (xy 179.042695 84.097149) + (xy 179.051672 84.035609) + (xy 179.052 84.031096) + (xy 179.052 83.825931) + (xy 179.048359 83.817141) + (xy 179.039569 83.8135) + (xy 178.550931 83.8135) + (xy 178.542141 83.817141) + (xy 178.5385 83.825931) + (xy 178.5385 84.339568) + (xy 178.4115 84.339568) + (xy 178.4115 83.825931) + (xy 178.407859 83.817141) + (xy 178.399069 83.8135) + (xy 177.910432 83.8135) + (xy 177.901642 83.817141) + (xy 177.898001 83.825931) + (xy 177.898001 84.031083) + (xy 166.551198 84.031083) + (xy 166.194184 83.674069) + (xy 177.898 83.674069) + (xy 177.901641 83.682859) + (xy 177.910431 83.6865) + (xy 178.399069 83.6865) + (xy 178.407859 83.682859) + (xy 178.4115 83.674069) + (xy 178.5385 83.674069) + (xy 178.542141 83.682859) + (xy 178.550931 83.6865) + (xy 179.039568 83.6865) + (xy 179.048358 83.682859) + (xy 179.051999 83.674069) + (xy 179.051999 83.468917) + (xy 179.051667 83.464386) + (xy 179.042522 83.402257) + (xy 179.039674 83.39309) + (xy 178.992444 83.296895) + (xy 178.986516 83.288613) + (xy 178.910889 83.213117) + (xy 178.902594 83.207201) + (xy 178.806313 83.160137) + (xy 178.797149 83.157305) + (xy 178.735609 83.148328) + (xy 178.731096 83.148) + (xy 178.550931 83.148) + (xy 178.542141 83.151641) + (xy 178.5385 83.160431) + (xy 178.5385 83.674069) + (xy 178.4115 83.674069) + (xy 178.4115 83.160432) + (xy 178.407859 83.151642) + (xy 178.399069 83.148001) + (xy 178.218917 83.148001) + (xy 178.214386 83.148333) + (xy 178.152257 83.157478) + (xy 178.14309 83.160326) + (xy 178.046895 83.207556) + (xy 178.038613 83.213484) + (xy 177.963117 83.289111) + (xy 177.957201 83.297406) + (xy 177.910137 83.393687) + (xy 177.907305 83.402851) + (xy 177.898328 83.464391) + (xy 177.898 83.468904) + (xy 177.898 83.674069) + (xy 166.194184 83.674069) + (xy 165.982276 83.462161) + (xy 164.644508 82.124393) + (xy 164.640203 82.118319) + (xy 164.63963 82.118775) + (xy 164.635249 82.113267) + (xy 164.632202 82.106922) + (xy 164.609119 82.088462) + (xy 164.60396 82.083845) + (xy 164.598711 82.078596) + (xy 164.592708 82.074823) + (xy 164.586932 82.070718) + (xy 164.564147 82.052497) + (xy 164.557287 82.05092) + (xy 164.553527 82.049102) + (xy 164.549591 82.047724) + (xy 164.543632 82.043978) + (xy 164.536638 82.043187) + (xy 164.536637 82.043187) + (xy 164.514644 82.040701) + (xy 164.507667 82.039509) + (xy 164.500583 82.03788) + (xy 164.493209 82.03788) + (xy 164.486188 82.037484) + (xy 164.484507 82.037294) + (xy 164.457042 82.034189) + (xy 164.450397 82.03651) + (xy 164.443403 82.037294) + (xy 164.443327 82.036616) + (xy 164.435875 82.03788) + (xy 140.518008 82.03788) + (xy 140.473814 82.019574) + (xy 139.585674 81.131433) + (xy 139.581369 81.125359) + (xy 139.580796 81.125815) + (xy 139.576415 81.120307) + (xy 139.573368 81.113962) + (xy 139.557414 81.101203) + (xy 139.550285 81.095502) + (xy 139.545126 81.090885) + (xy 139.539877 81.085636) + (xy 139.533874 81.081863) + (xy 139.528098 81.077758) + (xy 139.505313 81.059537) + (xy 139.498453 81.05796) + (xy 139.494693 81.056142) + (xy 139.490757 81.054764) + (xy 139.484798 81.051018) + (xy 139.477804 81.050227) + (xy 139.477803 81.050227) + (xy 139.45581 81.047741) + (xy 139.448833 81.046549) + (xy 139.441749 81.04492) + (xy 139.434375 81.04492) + (xy 139.427354 81.044524) + (xy 139.398208 81.041229) + (xy 139.391563 81.04355) + (xy 139.384569 81.044334) + (xy 139.384493 81.043656) + (xy 139.377041 81.04492) + (xy 137.623188 81.04492) + (xy 137.615849 81.04367) + (xy 137.615766 81.044397) + (xy 137.608775 81.0436) + (xy 137.602134 81.041268) + (xy 137.595141 81.042046) + (xy 137.59514 81.042046) + (xy 137.575187 81.044267) + (xy 137.572876 81.044524) + (xy 137.572759 81.044537) + (xy 137.565846 81.04492) + (xy 137.558423 81.04492) + (xy 137.551491 81.046501) + (xy 137.544538 81.047677) + (xy 137.515527 81.050906) + (xy 137.509563 81.054639) + (xy 137.505615 81.056014) + (xy 137.501856 81.057823) + (xy 137.494997 81.059388) + (xy 137.473944 81.076165) + (xy 137.472183 81.077568) + (xy 137.466397 81.081664) + (xy 137.463241 81.08364) + (xy 137.460245 81.085516) + (xy 137.455032 81.090729) + (xy 137.449788 81.095414) + (xy 137.441304 81.102175) + (xy 137.426847 81.113695) + (xy 137.423789 81.120034) + (xy 137.419398 81.125535) + (xy 137.418864 81.125109) + (xy 137.414488 81.131273) + (xy 136.019147 82.526614) + (xy 135.974953 82.54492) + (xy 129.105003 82.54492) + (xy 129.060809 82.526614) + (xy 128.950721 82.416526) + (xy 128.932415 82.372332) + (xy 128.950721 82.328138) + (xy 128.994915 82.309832) + (xy 129.011617 82.312105) + (xy 129.18641 82.36058) + (xy 129.186418 82.360582) + (xy 129.188879 82.361264) + (xy 129.246886 82.367463) + (xy 129.380798 82.381774) + (xy 129.380802 82.381774) + (xy 129.382447 82.38195) + (xy 129.52011 82.38195) + (xy 129.696315 82.367463) + (xy 129.772726 82.34827) + (xy 129.923797 82.310324) + (xy 129.9238 82.310323) + (xy 129.926284 82.309699) + (xy 129.975039 82.2885) + (xy 129.993695 82.280388) + (xy 130.14373 82.215151) + (xy 130.342814 82.086358) + (xy 130.51819 81.926778) + (xy 130.537442 81.902401) + (xy 130.663557 81.742712) + (xy 130.66356 81.742708) + (xy 130.665147 81.740698) + (xy 130.682792 81.708735) + (xy 130.778498 81.535362) + (xy 130.779739 81.533114) + (xy 130.806326 81.458036) + (xy 130.858032 81.312023) + (xy 130.858033 81.312019) + (xy 130.858889 81.309602) + (xy 130.85934 81.307072) + (xy 130.90002 81.0787) + (xy 130.900021 81.078693) + (xy 130.900471 81.076165) + (xy 130.901636 80.980824) + (xy 131.246201 80.980824) + (xy 131.27581 81.153141) + (xy 131.344267 81.314024) + (xy 131.447898 81.454843) + (xy 131.450664 81.457193) + (xy 131.450666 81.457195) + (xy 131.455949 81.461683) + (xy 131.581146 81.568045) + (xy 131.736862 81.647558) + (xy 131.906693 81.689115) + (xy 131.914268 81.689585) + (xy 131.916765 81.68974) + (xy 131.916769 81.68974) + (xy 131.917734 81.6898) + (xy 132.043779 81.6898) + (xy 132.045569 81.689591) + (xy 132.045574 81.689591) + (xy 132.095216 81.683803) + (xy 132.173665 81.674657) + (xy 132.17708 81.673418) + (xy 132.177082 81.673417) + (xy 132.245944 81.648421) + (xy 132.338015 81.615001) + (xy 132.357583 81.602172) + (xy 132.407118 81.569695) + (xy 132.484233 81.519136) + (xy 132.604475 81.392205) + (xy 132.606297 81.389067) + (xy 132.6063 81.389064) + (xy 132.690473 81.244149) + (xy 132.690474 81.244148) + (xy 132.692292 81.241017) + (xy 132.717895 81.156482) + (xy 132.74192 81.077159) + (xy 132.74192 81.077158) + (xy 132.742973 81.073682) + (xy 132.745005 81.04093) + (xy 133.096633 81.04093) + (xy 133.097021 81.043465) + (xy 133.097021 81.043466) + (xy 133.098177 81.051018) + (xy 133.132498 81.275314) + (xy 133.133296 81.277754) + (xy 133.133296 81.277756) + (xy 133.194741 81.465744) + (xy 133.206164 81.500693) + (xy 133.207349 81.50297) + (xy 133.207351 81.502974) + (xy 133.314463 81.708735) + (xy 133.314467 81.708741) + (xy 133.31565 81.711014) + (xy 133.458017 81.90063) + (xy 133.629441 82.064446) + (xy 133.631561 82.065892) + (xy 133.631562 82.065893) + (xy 133.771717 82.1615) + (xy 133.825319 82.198065) + (xy 133.827651 82.199148) + (xy 133.827652 82.199148) + (xy 134.027989 82.292141) + (xy 134.040391 82.297898) + (xy 134.042858 82.298582) + (xy 134.042863 82.298584) + (xy 134.19669 82.341244) + (xy 134.268879 82.361264) + (xy 134.326886 82.367463) + (xy 134.460798 82.381774) + (xy 134.460802 82.381774) + (xy 134.462447 82.38195) + (xy 134.60011 82.38195) + (xy 134.776315 82.367463) + (xy 134.852726 82.34827) + (xy 135.003797 82.310324) + (xy 135.0038 82.310323) + (xy 135.006284 82.309699) + (xy 135.055039 82.2885) + (xy 135.073695 82.280388) + (xy 135.22373 82.215151) + (xy 135.422814 82.086358) + (xy 135.59819 81.926778) + (xy 135.617442 81.902401) + (xy 135.743557 81.742712) + (xy 135.74356 81.742708) + (xy 135.745147 81.740698) + (xy 135.762792 81.708735) + (xy 135.858498 81.535362) + (xy 135.859739 81.533114) + (xy 135.886326 81.458036) + (xy 135.938032 81.312023) + (xy 135.938033 81.312019) + (xy 135.938889 81.309602) + (xy 135.93934 81.307072) + (xy 135.98002 81.0787) + (xy 135.980021 81.078693) + (xy 135.980471 81.076165) + (xy 135.981466 80.994724) + (xy 151.818136 80.994724) + (xy 151.818713 80.999137) + (xy 151.818713 80.999138) + (xy 151.831468 81.096678) + (xy 151.834014 81.116145) + (xy 151.835809 81.120224) + (xy 151.840741 81.131433) + (xy 151.841185 81.132443) + (xy 151.841244 81.132813) + (xy 151.842007 81.134311) + (xy 151.844031 81.13891) + (xy 151.844031 81.138911) + (xy 151.880251 81.221225) + (xy 151.883333 81.22823) + (xy 151.89549 81.242692) + (xy 151.898029 81.245713) + (xy 151.900157 81.248434) + (xy 151.902292 81.252625) + (xy 151.910081 81.260414) + (xy 151.91373 81.264392) + (xy 151.931454 81.285477) + (xy 151.962127 81.321968) + (xy 151.985399 81.337459) + (xy 151.991836 81.342733) + (xy 151.993899 81.344232) + (xy 151.997375 81.347708) + (xy 152.001753 81.349939) + (xy 152.001756 81.349941) + (xy 152.00873 81.353494) + (xy 152.014977 81.357148) + (xy 152.064064 81.389823) + (xy 152.068313 81.391151) + (xy 152.068314 81.391151) + (xy 152.100296 81.401143) + (xy 152.110027 81.405109) + (xy 152.112799 81.406521) + (xy 152.112803 81.406522) + (xy 152.117187 81.408756) + (xy 152.127852 81.410445) + (xy 152.136713 81.41252) + (xy 152.176696 81.425012) + (xy 152.176699 81.425012) + (xy 152.180948 81.42634) + (xy 152.230069 81.427241) + (xy 152.238683 81.427999) + (xy 152.24514 81.429022) + (xy 152.245144 81.429022) + (xy 152.25 81.429791) + (xy 152.256947 81.42869) + (xy 152.267853 81.427933) + (xy 152.303383 81.428584) + (xy 152.363532 81.412185) + (xy 152.37019 81.410755) + (xy 152.372147 81.410445) + (xy 152.382813 81.408756) + (xy 152.387196 81.406522) + (xy 152.391875 81.405002) + (xy 152.391878 81.40501) + (xy 152.396727 81.403136) + (xy 152.421527 81.396375) + (xy 152.428319 81.392205) + (xy 152.489709 81.354511) + (xy 152.494037 81.352085) + (xy 152.49824 81.349944) + (xy 152.498248 81.349938) + (xy 152.502625 81.347708) + (xy 152.505427 81.344906) + (xy 152.506264 81.344346) + (xy 152.508891 81.342733) + (xy 152.525881 81.332301) + (xy 152.608058 81.241513) + (xy 152.615454 81.226248) + (xy 152.651198 81.194458) + (xy 152.6717 81.191) + (xy 152.835001 81.191) + (xy 152.879195 81.209306) + (xy 152.897501 81.2535) + (xy 152.897501 81.28341) + (xy 152.907699 81.352695) + (xy 152.959419 81.458036) + (xy 152.963071 81.461682) + (xy 152.963072 81.461683) + (xy 153.038817 81.537296) + (xy 153.038819 81.537298) + (xy 153.042472 81.540944) + (xy 153.115762 81.576769) + (xy 153.143158 81.590161) + (xy 153.147902 81.59248) + (xy 153.152705 81.593181) + (xy 153.152706 81.593181) + (xy 153.174659 81.596383) + (xy 153.216589 81.6025) + (xy 153.474317 81.6025) + (xy 153.73341 81.602499) + (xy 153.802695 81.592301) + (xy 153.80826 81.589569) + (xy 153.826223 81.580749) + (xy 153.908036 81.540581) + (xy 153.932074 81.516501) + (xy 153.987296 81.461183) + (xy 153.987298 81.461181) + (xy 153.990944 81.457528) + (xy 154.040008 81.357155) + (xy 154.040349 81.356458) + (xy 154.040349 81.356457) + (xy 154.04248 81.352098) + (xy 154.043218 81.347043) + (xy 154.0511 81.293005) + (xy 154.0525 81.283411) + (xy 154.0525 81.281083) + (xy 154.448001 81.281083) + (xy 154.448333 81.285614) + (xy 154.457478 81.347743) + (xy 154.460326 81.35691) + (xy 154.507556 81.453105) + (xy 154.513484 81.461387) + (xy 154.589111 81.536883) + (xy 154.597406 81.542799) + (xy 154.693687 81.589863) + (xy 154.702851 81.592695) + (xy 154.764391 81.601672) + (xy 154.768904 81.602) + (xy 154.949069 81.602) + (xy 154.957859 81.598359) + (xy 154.9615 81.589569) + (xy 154.9615 81.589568) + (xy 155.0885 81.589568) + (xy 155.092141 81.598358) + (xy 155.100931 81.601999) + (xy 155.281083 81.601999) + (xy 155.285614 81.601667) + (xy 155.347743 81.592522) + (xy 155.35691 81.589674) + (xy 155.453105 81.542444) + (xy 155.461387 81.536516) + (xy 155.536883 81.460889) + (xy 155.542799 81.452594) + (xy 155.589863 81.356313) + (xy 155.592695 81.347149) + (xy 155.601672 81.285609) + (xy 155.602 81.281096) + (xy 155.602 81.075931) + (xy 155.598359 81.067141) + (xy 155.589569 81.0635) + (xy 155.100931 81.0635) + (xy 155.092141 81.067141) + (xy 155.0885 81.075931) + (xy 155.0885 81.589568) + (xy 154.9615 81.589568) + (xy 154.9615 81.075931) + (xy 154.957859 81.067141) + (xy 154.949069 81.0635) + (xy 154.460432 81.0635) + (xy 154.451642 81.067141) + (xy 154.448001 81.075931) + (xy 154.448001 81.281083) + (xy 154.0525 81.281083) + (xy 154.0525 81) + (xy 154.0525 80.924069) + (xy 154.448 80.924069) + (xy 154.451641 80.932859) + (xy 154.460431 80.9365) + (xy 154.949069 80.9365) + (xy 154.957859 80.932859) + (xy 154.9615 80.924069) + (xy 155.0885 80.924069) + (xy 155.092141 80.932859) + (xy 155.100931 80.9365) + (xy 155.589568 80.9365) + (xy 155.598358 80.932859) + (xy 155.601999 80.924069) + (xy 155.601999 80.718917) + (xy 155.601667 80.714386) + (xy 155.592522 80.652257) + (xy 155.589674 80.64309) + (xy 155.542444 80.546895) + (xy 155.536516 80.538613) + (xy 155.460889 80.463117) + (xy 155.452594 80.457201) + (xy 155.356313 80.410137) + (xy 155.347149 80.407305) + (xy 155.285609 80.398328) + (xy 155.281096 80.398) + (xy 155.100931 80.398) + (xy 155.092141 80.401641) + (xy 155.0885 80.410431) + (xy 155.0885 80.924069) + (xy 154.9615 80.924069) + (xy 154.9615 80.410432) + (xy 154.957859 80.401642) + (xy 154.949069 80.398001) + (xy 154.768917 80.398001) + (xy 154.764386 80.398333) + (xy 154.702257 80.407478) + (xy 154.69309 80.410326) + (xy 154.596895 80.457556) + (xy 154.588613 80.463484) + (xy 154.513117 80.539111) + (xy 154.507201 80.547406) + (xy 154.460137 80.643687) + (xy 154.457305 80.652851) + (xy 154.448328 80.714391) + (xy 154.448 80.718904) + (xy 154.448 80.924069) + (xy 154.0525 80.924069) + (xy 154.052499 80.718504) + (xy 154.070805 80.67431) + (xy 154.539329 80.205786) + (xy 154.583523 80.18748) + (xy 156.576028 80.18748) + (xy 156.620222 80.205786) + (xy 156.638528 80.24998) + (xy 156.632603 80.276541) + (xy 156.588869 80.369692) + (xy 156.588867 80.369698) + (xy 156.586976 80.373726) + (xy 156.568136 80.494724) + (xy 156.568713 80.499137) + (xy 156.568713 80.499138) + (xy 156.582655 80.605751) + (xy 156.584014 80.616145) + (xy 156.591185 80.632443) + (xy 156.591244 80.632813) + (xy 156.592007 80.634311) + (xy 156.594029 80.638907) + (xy 156.59403 80.638909) + (xy 156.63126 80.723518) + (xy 156.633333 80.72823) + (xy 156.647273 80.744814) + (xy 156.648029 80.745713) + (xy 156.650157 80.748434) + (xy 156.652292 80.752625) + (xy 156.660081 80.760414) + (xy 156.66373 80.764392) + (xy 156.702022 80.809946) + (xy 156.712127 80.821968) + (xy 156.735399 80.837459) + (xy 156.741836 80.842733) + (xy 156.743899 80.844232) + (xy 156.747375 80.847708) + (xy 156.751753 80.849939) + (xy 156.751756 80.849941) + (xy 156.75873 80.853494) + (xy 156.764977 80.857148) + (xy 156.814064 80.889823) + (xy 156.818313 80.891151) + (xy 156.818314 80.891151) + (xy 156.850296 80.901143) + (xy 156.860027 80.905109) + (xy 156.862799 80.906521) + (xy 156.862803 80.906522) + (xy 156.867187 80.908756) + (xy 156.877852 80.910445) + (xy 156.886713 80.91252) + (xy 156.926696 80.925012) + (xy 156.926699 80.925012) + (xy 156.930948 80.92634) + (xy 156.980069 80.927241) + (xy 156.988683 80.927999) + (xy 156.99514 80.929022) + (xy 156.995144 80.929022) + (xy 157 80.929791) + (xy 157.006947 80.92869) + (xy 157.017853 80.927933) + (xy 157.053383 80.928584) + (xy 157.113532 80.912185) + (xy 157.12019 80.910755) + (xy 157.122147 80.910445) + (xy 157.132813 80.908756) + (xy 157.137196 80.906522) + (xy 157.141875 80.905002) + (xy 157.141878 80.90501) + (xy 157.146727 80.903136) + (xy 157.171527 80.896375) + (xy 157.182832 80.889434) + (xy 157.239709 80.854511) + (xy 157.244037 80.852085) + (xy 157.24824 80.849944) + (xy 157.248248 80.849938) + (xy 157.252625 80.847708) + (xy 157.255427 80.844906) + (xy 157.256264 80.844346) + (xy 157.258891 80.842733) + (xy 157.275881 80.832301) + (xy 157.358058 80.741513) + (xy 157.365454 80.726248) + (xy 157.401198 80.694458) + (xy 157.4217 80.691) + (xy 158.074376 80.691) + (xy 158.11857 80.709306) + (xy 158.136876 80.7535) + (xy 158.130951 80.780061) + (xy 158.11692 80.809946) + (xy 158.088868 80.869695) + (xy 158.088867 80.869698) + (xy 158.086976 80.873726) + (xy 158.068136 80.994724) + (xy 158.068713 80.999137) + (xy 158.068713 80.999138) + (xy 158.081468 81.096678) + (xy 158.084014 81.116145) + (xy 158.085809 81.120224) + (xy 158.090741 81.131433) + (xy 158.091185 81.132443) + (xy 158.091244 81.132813) + (xy 158.092007 81.134311) + (xy 158.094031 81.13891) + (xy 158.094031 81.138911) + (xy 158.130251 81.221225) + (xy 158.133333 81.22823) + (xy 158.14549 81.242692) + (xy 158.148029 81.245713) + (xy 158.150157 81.248434) + (xy 158.152292 81.252625) + (xy 158.160081 81.260414) + (xy 158.16373 81.264392) + (xy 158.181454 81.285477) + (xy 158.212127 81.321968) + (xy 158.235399 81.337459) + (xy 158.241836 81.342733) + (xy 158.243899 81.344232) + (xy 158.247375 81.347708) + (xy 158.251753 81.349939) + (xy 158.251756 81.349941) + (xy 158.25873 81.353494) + (xy 158.264977 81.357148) + (xy 158.314064 81.389823) + (xy 158.318313 81.391151) + (xy 158.318314 81.391151) + (xy 158.350296 81.401143) + (xy 158.360027 81.405109) + (xy 158.362799 81.406521) + (xy 158.362803 81.406522) + (xy 158.367187 81.408756) + (xy 158.377852 81.410445) + (xy 158.386713 81.41252) + (xy 158.426696 81.425012) + (xy 158.426699 81.425012) + (xy 158.430948 81.42634) + (xy 158.480069 81.427241) + (xy 158.488683 81.427999) + (xy 158.49514 81.429022) + (xy 158.495144 81.429022) + (xy 158.5 81.429791) + (xy 158.506947 81.42869) + (xy 158.517853 81.427933) + (xy 158.553383 81.428584) + (xy 158.613532 81.412185) + (xy 158.62019 81.410755) + (xy 158.622147 81.410445) + (xy 158.632813 81.408756) + (xy 158.637196 81.406522) + (xy 158.641875 81.405002) + (xy 158.641878 81.40501) + (xy 158.646727 81.403136) + (xy 158.671527 81.396375) + (xy 158.678319 81.392205) + (xy 158.739709 81.354511) + (xy 158.744037 81.352085) + (xy 158.74824 81.349944) + (xy 158.748248 81.349938) + (xy 158.752625 81.347708) + (xy 158.755427 81.344906) + (xy 158.756264 81.344346) + (xy 158.758891 81.342733) + (xy 158.775881 81.332301) + (xy 158.858058 81.241513) + (xy 158.865454 81.226248) + (xy 158.901198 81.194458) + (xy 158.9217 81.191) + (xy 160.326187 81.191) + (xy 160.370381 81.209306) + (xy 160.379248 81.220473) + (xy 160.38154 81.224155) + (xy 160.383333 81.22823) + (xy 160.39549 81.242692) + (xy 160.398029 81.245713) + (xy 160.400157 81.248434) + (xy 160.402292 81.252625) + (xy 160.410081 81.260414) + (xy 160.41373 81.264392) + (xy 160.431454 81.285477) + (xy 160.462127 81.321968) + (xy 160.485399 81.337459) + (xy 160.491836 81.342733) + (xy 160.493899 81.344232) + (xy 160.497375 81.347708) + (xy 160.501753 81.349939) + (xy 160.501756 81.349941) + (xy 160.50873 81.353494) + (xy 160.514977 81.357148) + (xy 160.564064 81.389823) + (xy 160.568313 81.391151) + (xy 160.568314 81.391151) + (xy 160.600296 81.401143) + (xy 160.610027 81.405109) + (xy 160.612799 81.406521) + (xy 160.612803 81.406522) + (xy 160.617187 81.408756) + (xy 160.627852 81.410445) + (xy 160.636713 81.41252) + (xy 160.676696 81.425012) + (xy 160.676699 81.425012) + (xy 160.680948 81.42634) + (xy 160.730069 81.427241) + (xy 160.738683 81.427999) + (xy 160.74514 81.429022) + (xy 160.745144 81.429022) + (xy 160.75 81.429791) + (xy 160.756947 81.42869) + (xy 160.767853 81.427933) + (xy 160.803383 81.428584) + (xy 160.863532 81.412185) + (xy 160.87019 81.410755) + (xy 160.872147 81.410445) + (xy 160.882813 81.408756) + (xy 160.887196 81.406522) + (xy 160.891875 81.405002) + (xy 160.891878 81.40501) + (xy 160.896727 81.403136) + (xy 160.921527 81.396375) + (xy 160.928319 81.392205) + (xy 160.989709 81.354511) + (xy 160.994037 81.352085) + (xy 160.99824 81.349944) + (xy 160.998248 81.349938) + (xy 161.002625 81.347708) + (xy 161.005427 81.344906) + (xy 161.006264 81.344346) + (xy 161.008891 81.342733) + (xy 161.025881 81.332301) + (xy 161.108058 81.241513) + (xy 161.161451 81.131311) + (xy 161.162453 81.125359) + (xy 161.175985 81.04492) + (xy 161.181767 81.010552) + (xy 161.181896 81) + (xy 161.166061 80.889432) + (xy 161.177917 80.843091) + (xy 161.183736 80.836379) + (xy 161.307289 80.712826) + (xy 161.351483 80.69452) + (xy 165.648517 80.69452) + (xy 165.692711 80.712826) + (xy 167.834372 82.854487) + (xy 167.838677 82.860561) + (xy 167.83925 82.860105) + (xy 167.843631 82.865613) + (xy 167.846678 82.871958) + (xy 167.862125 82.884311) + (xy 167.869761 82.890418) + (xy 167.87492 82.895035) + (xy 167.880168 82.900283) + (xy 167.883139 82.90215) + (xy 167.88314 82.902151) + (xy 167.886169 82.904055) + (xy 167.891944 82.908159) + (xy 167.909236 82.921988) + (xy 167.90924 82.92199) + (xy 167.914733 82.926383) + (xy 167.92159 82.92796) + (xy 167.925352 82.929778) + (xy 167.92929 82.931157) + (xy 167.935248 82.934902) + (xy 167.942239 82.935692) + (xy 167.942244 82.935694) + (xy 167.964237 82.93818) + (xy 167.971223 82.939373) + (xy 167.978297 82.941) + (xy 167.985677 82.941) + (xy 167.992698 82.941396) + (xy 168.021838 82.94469) + (xy 168.028482 82.94237) + (xy 168.035478 82.941585) + (xy 168.035554 82.942264) + (xy 168.043003 82.941) + (xy 168.335001 82.941) + (xy 168.379195 82.959306) + (xy 168.397501 83.0035) + (xy 168.397501 83.063374) + (xy 168.397766 83.065385) + (xy 168.397766 83.06539) + (xy 168.402998 83.105139) + (xy 168.403779 83.111071) + (xy 168.4058 83.115404) + (xy 168.4058 83.115405) + (xy 168.450277 83.210787) + (xy 168.450279 83.210789) + (xy 168.452589 83.215744) + (xy 168.534256 83.297411) + (xy 168.539211 83.299721) + (xy 168.539213 83.299723) + (xy 168.585042 83.321093) + (xy 168.638929 83.346221) + (xy 168.649427 83.347603) + (xy 168.684593 83.352233) + (xy 168.684601 83.352233) + (xy 168.686625 83.3525) + (xy 168.688672 83.3525) + (xy 168.925642 83.352499) + (xy 169.163374 83.352499) + (xy 169.165385 83.352234) + (xy 169.16539 83.352234) + (xy 169.206331 83.346845) + (xy 169.206332 83.346845) + (xy 169.211071 83.346221) + (xy 169.258458 83.324124) + (xy 169.310787 83.299723) + (xy 169.310789 83.299721) + (xy 169.315744 83.297411) + (xy 169.397411 83.215744) + (xy 169.399721 83.210789) + (xy 169.399723 83.210787) + (xy 169.423197 83.160445) + (xy 169.446221 83.111071) + (xy 169.449727 83.084438) + (xy 169.452233 83.065407) + (xy 169.452233 83.065399) + (xy 169.4525 83.063375) + (xy 169.4525 82.75) + (xy 169.452499 82.438662) + (xy 169.452499 82.436626) + (xy 169.452232 82.434593) + (xy 169.446845 82.393669) + (xy 169.446845 82.393668) + (xy 169.446221 82.388929) + (xy 169.430179 82.354526) + (xy 169.399723 82.289213) + (xy 169.399721 82.289211) + (xy 169.397411 82.284256) + (xy 169.315744 82.202589) + (xy 169.310789 82.200279) + (xy 169.310787 82.200277) + (xy 169.245938 82.170038) + (xy 169.211071 82.153779) + (xy 169.197705 82.152019) + (xy 169.165407 82.147767) + (xy 169.165399 82.147767) + (xy 169.163375 82.1475) + (xy 169.161328 82.1475) + (xy 168.924358 82.147501) + (xy 168.686626 82.147501) + (xy 168.684615 82.147766) + (xy 168.68461 82.147766) + (xy 168.643669 82.153155) + (xy 168.643668 82.153155) + (xy 168.638929 82.153779) + (xy 168.634595 82.1558) + (xy 168.539213 82.200277) + (xy 168.539211 82.200279) + (xy 168.534256 82.202589) + (xy 168.452589 82.284256) + (xy 168.450279 82.289211) + (xy 168.450277 82.289213) + (xy 168.434606 82.322821) + (xy 168.403779 82.388929) + (xy 168.40297 82.395073) + (xy 168.397767 82.434593) + (xy 168.397767 82.434601) + (xy 168.3975 82.436625) + (xy 168.3975 82.4965) + (xy 168.379194 82.540694) + (xy 168.335 82.559) + (xy 168.105002 82.559) + (xy 168.060808 82.540694) + (xy 166.067809 80.547694) + (xy 166.049503 80.5035) + (xy 166.067809 80.459306) + (xy 166.112003 80.441) + (xy 167.144997 80.441) + (xy 167.189191 80.459306) + (xy 167.834371 81.104485) + (xy 167.838678 81.11056) + (xy 167.83925 81.110105) + (xy 167.843631 81.115613) + (xy 167.846678 81.121958) + (xy 167.860664 81.133143) + (xy 167.869766 81.140422) + (xy 167.874925 81.145039) + (xy 167.880169 81.150283) + (xy 167.883132 81.152145) + (xy 167.883139 81.152151) + (xy 167.886174 81.154058) + (xy 167.89195 81.158163) + (xy 167.914733 81.176383) + (xy 167.921591 81.17796) + (xy 167.925356 81.17978) + (xy 167.929292 81.181158) + (xy 167.935248 81.184902) + (xy 167.942239 81.185692) + (xy 167.942244 81.185694) + (xy 167.964237 81.18818) + (xy 167.971223 81.189373) + (xy 167.978297 81.191) + (xy 167.985677 81.191) + (xy 167.992698 81.191396) + (xy 168.021838 81.19469) + (xy 168.028484 81.192369) + (xy 168.035478 81.191585) + (xy 168.035554 81.192264) + (xy 168.043004 81.191) + (xy 168.335001 81.191) + (xy 168.379195 81.209306) + (xy 168.397501 81.2535) + (xy 168.397501 81.313374) + (xy 168.397766 81.315385) + (xy 168.397766 81.31539) + (xy 168.40298 81.355003) + (xy 168.403779 81.361071) + (xy 168.4058 81.365404) + (xy 168.4058 81.365405) + (xy 168.450277 81.460787) + (xy 168.450279 81.460789) + (xy 168.452589 81.465744) + (xy 168.534256 81.547411) + (xy 168.539211 81.549721) + (xy 168.539213 81.549723) + (xy 168.582044 81.569695) + (xy 168.638929 81.596221) + (xy 168.652295 81.597981) + (xy 168.684593 81.602233) + (xy 168.684601 81.602233) + (xy 168.686625 81.6025) + (xy 168.688672 81.6025) + (xy 168.925642 81.602499) + (xy 169.163374 81.602499) + (xy 169.165385 81.602234) + (xy 169.16539 81.602234) + (xy 169.206331 81.596845) + (xy 169.206332 81.596845) + (xy 169.211071 81.596221) + (xy 169.219477 81.592301) + (xy 169.310787 81.549723) + (xy 169.310789 81.549721) + (xy 169.315744 81.547411) + (xy 169.397411 81.465744) + (xy 169.399721 81.460789) + (xy 169.399723 81.460787) + (xy 169.425732 81.40501) + (xy 169.446221 81.361071) + (xy 169.449702 81.334631) + (xy 169.452233 81.315407) + (xy 169.452233 81.315399) + (xy 169.4525 81.313375) + (xy 169.4525 81) + (xy 169.452499 80.688662) + (xy 169.452499 80.686626) + (xy 169.452232 80.684593) + (xy 169.446845 80.643669) + (xy 169.446845 80.643668) + (xy 169.446221 80.638929) + (xy 169.430179 80.604526) + (xy 169.399723 80.539213) + (xy 169.399721 80.539211) + (xy 169.397411 80.534256) + (xy 169.315744 80.452589) + (xy 169.310789 80.450279) + (xy 169.310787 80.450277) + (xy 169.225338 80.410432) + (xy 169.211071 80.403779) + (xy 169.194831 80.401641) + (xy 169.165407 80.397767) + (xy 169.165399 80.397767) + (xy 169.163375 80.3975) + (xy 169.161328 80.3975) + (xy 168.924358 80.397501) + (xy 168.686626 80.397501) + (xy 168.684615 80.397766) + (xy 168.68461 80.397766) + (xy 168.643669 80.403155) + (xy 168.643668 80.403155) + (xy 168.638929 80.403779) + (xy 168.634595 80.4058) + (xy 168.539213 80.450277) + (xy 168.539211 80.450279) + (xy 168.534256 80.452589) + (xy 168.452589 80.534256) + (xy 168.450279 80.539211) + (xy 168.450277 80.539213) + (xy 168.434606 80.572821) + (xy 168.403779 80.638929) + (xy 168.40297 80.645073) + (xy 168.397767 80.684593) + (xy 168.397767 80.684601) + (xy 168.3975 80.686625) + (xy 168.3975 80.7465) + (xy 168.379194 80.790694) + (xy 168.335 80.809) + (xy 168.105003 80.809) + (xy 168.060809 80.790694) + (xy 167.564289 80.294174) + (xy 167.545983 80.24998) + (xy 167.564289 80.205786) + (xy 167.608483 80.18748) + (xy 169.891477 80.18748) + (xy 169.935671 80.205786) + (xy 170.139171 80.409286) + (xy 170.157477 80.45348) + (xy 170.139171 80.497674) + (xy 170.102589 80.534256) + (xy 170.100279 80.539211) + (xy 170.100277 80.539213) + (xy 170.084606 80.572821) + (xy 170.053779 80.638929) + (xy 170.05297 80.645073) + (xy 170.047767 80.684593) + (xy 170.047767 80.684601) + (xy 170.0475 80.686625) + (xy 170.0475 80.688672) + (xy 170.047501 81.000845) + (xy 170.047501 81.313374) + (xy 170.047766 81.315385) + (xy 170.047766 81.31539) + (xy 170.05298 81.355003) + (xy 170.053779 81.361071) + (xy 170.0558 81.365404) + (xy 170.0558 81.365405) + (xy 170.100277 81.460787) + (xy 170.100279 81.460789) + (xy 170.102589 81.465744) + (xy 170.184256 81.547411) + (xy 170.189211 81.549721) + (xy 170.189213 81.549723) + (xy 170.232044 81.569695) + (xy 170.288929 81.596221) + (xy 170.309113 81.598878) + (xy 170.329658 81.601583) + (xy 170.371085 81.6255) + (xy 170.384 81.663548) + (xy 170.384 82.086452) + (xy 170.365694 82.130646) + (xy 170.329657 82.148417) + (xy 170.293675 82.153153) + (xy 170.293667 82.153155) + (xy 170.288929 82.153779) + (xy 170.284595 82.1558) + (xy 170.189213 82.200277) + (xy 170.189211 82.200279) + (xy 170.184256 82.202589) + (xy 170.102589 82.284256) + (xy 170.100279 82.289211) + (xy 170.100277 82.289213) + (xy 170.084606 82.322821) + (xy 170.053779 82.388929) + (xy 170.05297 82.395073) + (xy 170.047767 82.434593) + (xy 170.047767 82.434601) + (xy 170.0475 82.436625) + (xy 170.0475 82.438672) + (xy 170.047501 82.750845) + (xy 170.047501 83.063374) + (xy 170.047766 83.065385) + (xy 170.047766 83.06539) + (xy 170.052998 83.105139) + (xy 170.053779 83.111071) + (xy 170.0558 83.115404) + (xy 170.0558 83.115405) + (xy 170.100277 83.210787) + (xy 170.100279 83.210789) + (xy 170.102589 83.215744) + (xy 170.184256 83.297411) + (xy 170.189211 83.299721) + (xy 170.189213 83.299723) + (xy 170.235042 83.321093) + (xy 170.288929 83.346221) + (xy 170.299427 83.347603) + (xy 170.334593 83.352233) + (xy 170.334601 83.352233) + (xy 170.336625 83.3525) + (xy 170.338672 83.3525) + (xy 170.575642 83.352499) + (xy 170.813374 83.352499) + (xy 170.815385 83.352234) + (xy 170.81539 83.352234) + (xy 170.856331 83.346845) + (xy 170.856332 83.346845) + (xy 170.861071 83.346221) + (xy 170.908458 83.324124) + (xy 170.960787 83.299723) + (xy 170.960789 83.299721) + (xy 170.965744 83.297411) + (xy 171.047411 83.215744) + (xy 171.049721 83.210789) + (xy 171.049723 83.210787) + (xy 171.073197 83.160445) + (xy 171.096221 83.111071) + (xy 171.099727 83.084438) + (xy 171.102233 83.065407) + (xy 171.102233 83.065399) + (xy 171.1025 83.063375) + (xy 171.1025 83.0035) + (xy 171.120806 82.959306) + (xy 171.165 82.941) + (xy 178.919997 82.941) + (xy 178.964191 82.959306) + (xy 179.429194 83.424309) + (xy 179.4475 83.468503) + (xy 179.447501 84.03341) + (xy 179.457699 84.102695) + (xy 179.509419 84.208036) + (xy 179.513071 84.211682) + (xy 179.513072 84.211683) + (xy 179.588817 84.287296) + (xy 179.588819 84.287298) + (xy 179.592472 84.290944) + (xy 179.646065 84.317141) + (xy 179.693158 84.340161) + (xy 179.697902 84.34248) + (xy 179.702705 84.343181) + (xy 179.702706 84.343181) + (xy 179.724659 84.346383) + (xy 179.766589 84.3525) + (xy 180.024317 84.3525) + (xy 180.28341 84.352499) + (xy 180.352695 84.342301) + (xy 180.35826 84.339569) + (xy 180.386037 84.325931) + (xy 180.458036 84.290581) + (xy 180.481162 84.267415) + (xy 180.537296 84.211183) + (xy 180.537298 84.211181) + (xy 180.540944 84.207528) + (xy 180.590008 84.107155) + (xy 180.590349 84.106458) + (xy 180.590349 84.106457) + (xy 180.59248 84.102098) + (xy 180.593218 84.097043) + (xy 180.599609 84.05323) + (xy 180.6025 84.033411) + (xy 180.6025 84.0035) + (xy 180.620806 83.959306) + (xy 180.665 83.941) + (xy 181.293168 83.941) + (xy 181.337362 83.959306) + (xy 181.350374 83.978327) + (xy 181.357771 83.995136) + (xy 181.379561 84.044657) + (xy 181.383333 84.05323) + (xy 181.397272 84.069813) + (xy 181.398029 84.070713) + (xy 181.400157 84.073434) + (xy 181.402292 84.077625) + (xy 181.410081 84.085414) + (xy 181.413729 84.089391) + (xy 181.462127 84.146968) + (xy 181.485399 84.162459) + (xy 181.491836 84.167733) + (xy 181.493899 84.169232) + (xy 181.497375 84.172708) + (xy 181.501753 84.174939) + (xy 181.501756 84.174941) + (xy 181.50873 84.178494) + (xy 181.514977 84.182148) + (xy 181.564064 84.214823) + (xy 181.568313 84.216151) + (xy 181.568314 84.216151) + (xy 181.600296 84.226143) + (xy 181.610027 84.230109) + (xy 181.612799 84.231521) + (xy 181.612803 84.231522) + (xy 181.617187 84.233756) + (xy 181.627852 84.235445) + (xy 181.636713 84.23752) + (xy 181.676696 84.250012) + (xy 181.676699 84.250012) + (xy 181.680948 84.25134) + (xy 181.730069 84.252241) + (xy 181.738683 84.252999) + (xy 181.74514 84.254022) + (xy 181.745144 84.254022) + (xy 181.75 84.254791) + (xy 181.756947 84.25369) + (xy 181.767853 84.252933) + (xy 181.803383 84.253584) + (xy 181.863532 84.237185) + (xy 181.87019 84.235755) + (xy 181.872147 84.235445) + (xy 181.882813 84.233756) + (xy 181.887196 84.231522) + (xy 181.891875 84.230002) + (xy 181.891878 84.23001) + (xy 181.896727 84.228136) + (xy 181.921527 84.221375) + (xy 181.935451 84.212826) + (xy 181.989709 84.179511) + (xy 181.994037 84.177085) + (xy 181.99824 84.174944) + (xy 181.998248 84.174938) + (xy 181.999954 84.174069) + (xy 197.398 84.174069) + (xy 197.401641 84.182859) + (xy 197.410431 84.1865) + (xy 197.886569 84.1865) + (xy 197.895359 84.182859) + (xy 197.899 84.174069) + (xy 198.026 84.174069) + (xy 198.029641 84.182859) + (xy 198.038431 84.1865) + (xy 198.514568 84.1865) + (xy 198.523358 84.182859) + (xy 198.526999 84.174069) + (xy 198.526999 83.955283) + (xy 198.526995 83.955228) + (xy 198.9725 83.955228) + (xy 198.972501 84.250012) + (xy 198.972501 84.546818) + (xy 198.972766 84.54883) + (xy 198.972766 84.548833) + (xy 198.978104 84.589387) + (xy 198.979139 84.597248) + (xy 198.98116 84.601581) + (xy 198.98116 84.601582) + (xy 199.024266 84.694021) + (xy 199.030744 84.707914) + (xy 199.117086 84.794256) + (xy 199.227752 84.845861) + (xy 199.241873 84.84772) + (xy 199.27615 84.852233) + (xy 199.276156 84.852233) + (xy 199.278181 84.8525) + (xy 199.3215 84.8525) + (xy 199.365694 84.870806) + (xy 199.384 84.915) + (xy 199.384 87.086452) + (xy 199.365694 87.130646) + (xy 199.329657 87.148417) + (xy 199.293675 87.153153) + (xy 199.293667 87.153155) + (xy 199.288929 87.153779) + (xy 199.284595 87.1558) + (xy 199.189213 87.200277) + (xy 199.189211 87.200279) + (xy 199.184256 87.202589) + (xy 199.102589 87.284256) + (xy 199.100279 87.289211) + (xy 199.100277 87.289213) + (xy 199.084606 87.322821) + (xy 199.053779 87.388929) + (xy 199.05297 87.395073) + (xy 199.047767 87.434593) + (xy 199.047767 87.434601) + (xy 199.0475 87.436625) + (xy 199.047501 88.063374) + (xy 199.047766 88.065385) + (xy 199.047766 88.06539) + (xy 199.052998 88.105139) + (xy 199.053779 88.111071) + (xy 199.0558 88.115404) + (xy 199.0558 88.115405) + (xy 199.100277 88.210787) + (xy 199.100279 88.210789) + (xy 199.102589 88.215744) + (xy 199.184256 88.297411) + (xy 199.189211 88.299721) + (xy 199.189213 88.299723) + (xy 199.235042 88.321093) + (xy 199.288929 88.346221) + (xy 199.30128 88.347847) + (xy 199.334593 88.352233) + (xy 199.334601 88.352233) + (xy 199.336625 88.3525) + (xy 199.338672 88.3525) + (xy 199.575642 88.352499) + (xy 199.813374 88.352499) + (xy 199.815385 88.352234) + (xy 199.81539 88.352234) + (xy 199.856331 88.346845) + (xy 199.856332 88.346845) + (xy 199.861071 88.346221) + (xy 199.879868 88.337456) + (xy 199.960787 88.299723) + (xy 199.960789 88.299721) + (xy 199.965744 88.297411) + (xy 200.047411 88.215744) + (xy 200.049721 88.210789) + (xy 200.049723 88.210787) + (xy 200.075732 88.15501) + (xy 200.096221 88.111071) + (xy 200.098635 88.092733) + (xy 200.102233 88.065407) + (xy 200.102233 88.065399) + (xy 200.1025 88.063375) + (xy 200.102499 87.436626) + (xy 200.102232 87.434593) + (xy 200.096845 87.393669) + (xy 200.096845 87.393668) + (xy 200.096221 87.388929) + (xy 200.080179 87.354526) + (xy 200.049723 87.289213) + (xy 200.049721 87.289211) + (xy 200.047411 87.284256) + (xy 199.965744 87.202589) + (xy 199.960789 87.200279) + (xy 199.960787 87.200277) + (xy 199.886007 87.165407) + (xy 199.861071 87.153779) + (xy 199.840887 87.151122) + (xy 199.820342 87.148417) + (xy 199.778915 87.1245) + (xy 199.766 87.086452) + (xy 199.766 84.911368) + (xy 199.784306 84.867174) + (xy 199.820343 84.849402) + (xy 199.837927 84.847088) + (xy 199.842508 84.846485) + (xy 199.842509 84.846485) + (xy 199.847248 84.845861) + (xy 199.957914 84.794256) + (xy 200.044256 84.707914) + (xy 200.095861 84.597248) + (xy 200.099319 84.570979) + (xy 200.102233 84.54885) + (xy 200.102233 84.548844) + (xy 200.1025 84.546819) + (xy 200.1025 84.25) + (xy 200.102499 83.955218) + (xy 200.102499 83.953182) + (xy 200.100987 83.941691) + (xy 200.096485 83.907492) + (xy 200.096485 83.907491) + (xy 200.095861 83.902752) + (xy 200.081626 83.872225) + (xy 200.046568 83.797044) + (xy 200.044256 83.792086) + (xy 199.957914 83.705744) + (xy 199.847248 83.654139) + (xy 199.828274 83.651641) + (xy 199.79885 83.647767) + (xy 199.798844 83.647767) + (xy 199.796819 83.6475) + (xy 199.794772 83.6475) + (xy 199.536802 83.647501) + (xy 199.278182 83.647501) + (xy 199.27617 83.647766) + (xy 199.276167 83.647766) + (xy 199.232492 83.653515) + (xy 199.232491 83.653515) + (xy 199.227752 83.654139) + (xy 199.117086 83.705744) + (xy 199.030744 83.792086) + (xy 198.979139 83.902752) + (xy 198.977037 83.918721) + (xy 198.973575 83.945017) + (xy 198.9725 83.953181) + (xy 198.9725 83.955228) + (xy 198.526995 83.955228) + (xy 198.526731 83.951203) + (xy 198.520995 83.907623) + (xy 198.518351 83.898551) + (xy 198.471153 83.797333) + (xy 198.464973 83.788508) + (xy 198.386492 83.710027) + (xy 198.377667 83.703847) + (xy 198.276451 83.65665) + (xy 198.267376 83.654005) + (xy 198.223796 83.648267) + (xy 198.219718 83.648) + (xy 198.038431 83.648) + (xy 198.029641 83.651641) + (xy 198.026 83.660431) + (xy 198.026 84.174069) + (xy 197.899 84.174069) + (xy 197.899 83.660432) + (xy 197.895359 83.651642) + (xy 197.886569 83.648001) + (xy 197.705283 83.648001) + (xy 197.701203 83.648269) + (xy 197.657623 83.654005) + (xy 197.648551 83.656649) + (xy 197.547333 83.703847) + (xy 197.538508 83.710027) + (xy 197.460027 83.788508) + (xy 197.453847 83.797333) + (xy 197.40665 83.898549) + (xy 197.404005 83.907624) + (xy 197.398267 83.951204) + (xy 197.398 83.955282) + (xy 197.398 84.174069) + (xy 181.999954 84.174069) + (xy 182.002625 84.172708) + (xy 182.005427 84.169906) + (xy 182.006264 84.169346) + (xy 182.025881 84.157301) + (xy 182.108058 84.066513) + (xy 182.161451 83.956311) + (xy 182.162311 83.951204) + (xy 182.17749 83.860975) + (xy 182.181767 83.835552) + (xy 182.181896 83.825) + (xy 182.164536 83.703781) + (xy 182.113852 83.592307) + (xy 182.099199 83.575302) + (xy 182.097708 83.572375) + (xy 182.0919 83.566567) + (xy 182.088746 83.56317) + (xy 182.036826 83.502914) + (xy 182.033918 83.499539) + (xy 182.013741 83.486461) + (xy 182.010155 83.483559) + (xy 182.010081 83.483661) + (xy 182.006106 83.480773) + (xy 182.002625 83.477292) + (xy 181.991343 83.471543) + (xy 181.985736 83.468309) + (xy 181.983083 83.466589) + (xy 181.963655 83.453997) + (xy 181.934893 83.435354) + (xy 181.93489 83.435353) + (xy 181.93116 83.432935) + (xy 181.926901 83.431661) + (xy 181.926898 83.43166) + (xy 181.902316 83.424309) + (xy 181.898839 83.423269) + (xy 181.888377 83.419079) + (xy 181.882813 83.416244) + (xy 181.871067 83.414384) + (xy 181.862951 83.412536) + (xy 181.813838 83.397848) + (xy 181.769496 83.397577) + (xy 181.760103 83.396809) + (xy 181.754857 83.395978) + (xy 181.754856 83.395978) + (xy 181.75 83.395209) + (xy 181.741373 83.396576) + (xy 181.73122 83.397344) + (xy 181.712836 83.397231) + (xy 181.695838 83.397127) + (xy 181.695836 83.397127) + (xy 181.691385 83.3971) + (xy 181.637011 83.412641) + (xy 181.629623 83.414275) + (xy 181.617187 83.416244) + (xy 181.612804 83.418477) + (xy 181.61187 83.418781) + (xy 181.602155 83.422603) + (xy 181.577929 83.429526) + (xy 181.577927 83.429527) + (xy 181.573644 83.430751) + (xy 181.513176 83.468904) + (xy 181.512819 83.469129) + (xy 181.507842 83.471959) + (xy 181.501757 83.475059) + (xy 181.501756 83.47506) + (xy 181.497375 83.477292) + (xy 181.493897 83.48077) + (xy 181.492445 83.481825) + (xy 181.489059 83.48412) + (xy 181.479222 83.490327) + (xy 181.47008 83.496095) + (xy 181.467131 83.499434) + (xy 181.46713 83.499435) + (xy 181.433182 83.537873) + (xy 181.386337 83.559) + (xy 180.664999 83.559) + (xy 180.620805 83.540694) + (xy 180.602499 83.4965) + (xy 180.602499 83.46659) + (xy 180.592301 83.397305) + (xy 180.58844 83.38944) + (xy 180.577002 83.366145) + (xy 180.540581 83.291964) + (xy 180.535783 83.287174) + (xy 180.461183 83.212704) + (xy 180.461181 83.212702) + (xy 180.457528 83.209056) + (xy 180.379869 83.171095) + (xy 180.356458 83.159651) + (xy 180.356457 83.159651) + (xy 180.352098 83.15752) + (xy 180.347295 83.156819) + (xy 180.347294 83.156819) + (xy 180.319173 83.152717) + (xy 180.283411 83.1475) + (xy 180.025683 83.1475) + (xy 179.76659 83.147501) + (xy 179.764335 83.147833) + (xy 179.764334 83.147833) + (xy 179.733186 83.152417) + (xy 179.686798 83.140741) + (xy 179.679892 83.134777) + (xy 179.190628 82.645513) + (xy 179.186323 82.639439) + (xy 179.18575 82.639895) + (xy 179.181369 82.634387) + (xy 179.178322 82.628042) + (xy 179.155239 82.609582) + (xy 179.15008 82.604965) + (xy 179.144831 82.599716) + (xy 179.138828 82.595943) + (xy 179.133052 82.591838) + (xy 179.110267 82.573617) + (xy 179.103407 82.57204) + (xy 179.099647 82.570222) + (xy 179.095711 82.568844) + (xy 179.089752 82.565098) + (xy 179.082758 82.564307) + (xy 179.082757 82.564307) + (xy 179.060764 82.561821) + (xy 179.053787 82.560629) + (xy 179.046703 82.559) + (xy 179.039329 82.559) + (xy 179.032308 82.558604) + (xy 179.030627 82.558414) + (xy 179.003162 82.555309) + (xy 178.996517 82.55763) + (xy 178.989523 82.558414) + (xy 178.989447 82.557736) + (xy 178.981995 82.559) + (xy 171.164999 82.559) + (xy 171.120805 82.540694) + (xy 171.102499 82.4965) + (xy 171.102499 82.436626) + (xy 171.102232 82.434593) + (xy 171.096845 82.393669) + (xy 171.096845 82.393668) + (xy 171.096221 82.388929) + (xy 171.080179 82.354526) + (xy 171.049723 82.289213) + (xy 171.049721 82.289211) + (xy 171.047411 82.284256) + (xy 170.965744 82.202589) + (xy 170.960789 82.200279) + (xy 170.960787 82.200277) + (xy 170.895938 82.170038) + (xy 170.861071 82.153779) + (xy 170.840887 82.151122) + (xy 170.820342 82.148417) + (xy 170.778915 82.1245) + (xy 170.766 82.086452) + (xy 170.766 81.663548) + (xy 170.784306 81.619354) + (xy 170.820343 81.601583) + (xy 170.856325 81.596847) + (xy 170.856333 81.596845) + (xy 170.861071 81.596221) + (xy 170.869477 81.592301) + (xy 170.960787 81.549723) + (xy 170.960789 81.549721) + (xy 170.965744 81.547411) + (xy 171.047411 81.465744) + (xy 171.049721 81.460789) + (xy 171.049723 81.460787) + (xy 171.075732 81.40501) + (xy 171.096221 81.361071) + (xy 171.099702 81.334631) + (xy 171.102233 81.315407) + (xy 171.102233 81.315399) + (xy 171.1025 81.313375) + (xy 171.1025 81) + (xy 171.102499 80.688662) + (xy 171.102499 80.686626) + (xy 171.102232 80.684593) + (xy 171.096845 80.643669) + (xy 171.096845 80.643668) + (xy 171.096221 80.638929) + (xy 171.080179 80.604526) + (xy 171.049723 80.539213) + (xy 171.049721 80.539211) + (xy 171.047411 80.534256) + (xy 170.965744 80.452589) + (xy 170.960789 80.450279) + (xy 170.960787 80.450277) + (xy 170.875338 80.410432) + (xy 170.861071 80.403779) + (xy 170.844831 80.401641) + (xy 170.815407 80.397767) + (xy 170.815399 80.397767) + (xy 170.813375 80.3975) + (xy 170.693503 80.3975) + (xy 170.649309 80.379194) + (xy 170.162108 79.891993) + (xy 170.157803 79.885919) + (xy 170.15723 79.886375) + (xy 170.152849 79.880867) + (xy 170.149802 79.874522) + (xy 170.133848 79.861763) + (xy 170.126719 79.856062) + (xy 170.12156 79.851445) + (xy 170.116311 79.846196) + (xy 170.110308 79.842423) + (xy 170.104532 79.838318) + (xy 170.081747 79.820097) + (xy 170.074887 79.81852) + (xy 170.071127 79.816702) + (xy 170.067191 79.815324) + (xy 170.061232 79.811578) + (xy 170.054238 79.810787) + (xy 170.054237 79.810787) + (xy 170.032244 79.808301) + (xy 170.025267 79.807109) + (xy 170.018183 79.80548) + (xy 170.010809 79.80548) + (xy 170.003788 79.805084) + (xy 170.002107 79.804894) + (xy 169.974642 79.801789) + (xy 169.967997 79.80411) + (xy 169.961003 79.804894) + (xy 169.960927 79.804216) + (xy 169.953475 79.80548) + (xy 154.521754 79.80548) + (xy 154.514413 79.804227) + (xy 154.51433 79.804956) + (xy 154.507339 79.804159) + (xy 154.500698 79.801827) + (xy 154.493706 79.802605) + (xy 154.493704 79.802605) + (xy 154.474184 79.804778) + (xy 154.471432 79.805084) + (xy 154.471315 79.805097) + (xy 154.464402 79.80548) + (xy 154.456987 79.80548) + (xy 154.450058 79.80706) + (xy 154.443115 79.808235) + (xy 154.414092 79.811465) + (xy 154.408126 79.8152) + (xy 154.404187 79.816572) + (xy 154.400424 79.818383) + (xy 154.393561 79.819948) + (xy 154.383639 79.827855) + (xy 154.370747 79.838128) + (xy 154.364961 79.842224) + (xy 154.36235 79.843859) + (xy 154.358809 79.846076) + (xy 154.353596 79.851289) + (xy 154.348352 79.855974) + (xy 154.325411 79.874255) + (xy 154.322353 79.880594) + (xy 154.317962 79.886095) + (xy 154.317428 79.885669) + (xy 154.313052 79.891833) + (xy 153.820146 80.384739) + (xy 153.775952 80.403045) + (xy 153.766937 80.402391) + (xy 153.733411 80.3975) + (xy 153.475683 80.3975) + (xy 153.21659 80.397501) + (xy 153.147305 80.407699) + (xy 153.142947 80.409839) + (xy 153.142946 80.409839) + (xy 153.123777 80.419251) + (xy 153.041964 80.459419) + (xy 153.038318 80.463071) + (xy 153.038317 80.463072) + (xy 152.962704 80.538817) + (xy 152.962702 80.538819) + (xy 152.959056 80.542472) + (xy 152.928723 80.604526) + (xy 152.912306 80.638112) + (xy 152.90752 80.647902) + (xy 152.906819 80.652705) + (xy 152.906819 80.652706) + (xy 152.905542 80.661461) + (xy 152.8975 80.716589) + (xy 152.8975 80.7465) + (xy 152.879194 80.790694) + (xy 152.835 80.809) + (xy 152.673049 80.809) + (xy 152.628855 80.790694) + (xy 152.616156 80.772373) + (xy 152.615695 80.77136) + (xy 152.615694 80.771359) + (xy 152.613852 80.767307) + (xy 152.599199 80.750302) + (xy 152.597708 80.747375) + (xy 152.5919 80.741567) + (xy 152.588746 80.73817) + (xy 152.536826 80.677914) + (xy 152.533918 80.674539) + (xy 152.513741 80.661461) + (xy 152.510155 80.658559) + (xy 152.510081 80.658661) + (xy 152.506106 80.655773) + (xy 152.502625 80.652292) + (xy 152.491343 80.646543) + (xy 152.485736 80.643309) + (xy 152.485399 80.64309) + (xy 152.467225 80.631311) + (xy 152.434893 80.610354) + (xy 152.43489 80.610353) + (xy 152.43116 80.607935) + (xy 152.426901 80.606661) + (xy 152.426898 80.60666) + (xy 152.408345 80.601112) + (xy 152.398839 80.598269) + (xy 152.388377 80.594079) + (xy 152.382813 80.591244) + (xy 152.371067 80.589384) + (xy 152.362951 80.587536) + (xy 152.34985 80.583618) + (xy 152.313838 80.572848) + (xy 152.269496 80.572577) + (xy 152.260103 80.571809) + (xy 152.254857 80.570978) + (xy 152.254856 80.570978) + (xy 152.25 80.570209) + (xy 152.241373 80.571576) + (xy 152.23122 80.572344) + (xy 152.212836 80.572231) + (xy 152.195838 80.572127) + (xy 152.195836 80.572127) + (xy 152.191385 80.5721) + (xy 152.137011 80.587641) + (xy 152.129623 80.589275) + (xy 152.117187 80.591244) + (xy 152.112804 80.593477) + (xy 152.11187 80.593781) + (xy 152.102155 80.597603) + (xy 152.077929 80.604526) + (xy 152.077927 80.604527) + (xy 152.073644 80.605751) + (xy 152.01352 80.643687) + (xy 152.012819 80.644129) + (xy 152.007842 80.646959) + (xy 152.001757 80.650059) + (xy 152.001756 80.65006) + (xy 151.997375 80.652292) + (xy 151.993897 80.65577) + (xy 151.992445 80.656825) + (xy 151.989058 80.65912) + (xy 151.97008 80.671095) + (xy 151.967137 80.674427) + (xy 151.967135 80.674429) + (xy 151.907156 80.742342) + (xy 151.906032 80.743538) + (xy 151.905775 80.743892) + (xy 151.905554 80.744113) + (xy 151.905405 80.744204) + (xy 151.904504 80.745163) + (xy 151.902292 80.747375) + (xy 151.901509 80.746592) + (xy 151.900362 80.747296) + (xy 151.901721 80.748496) + (xy 151.895002 80.756104) + (xy 151.889018 80.762879) + (xy 151.886939 80.767307) + (xy 151.838868 80.869695) + (xy 151.838867 80.869698) + (xy 151.836976 80.873726) + (xy 151.818136 80.994724) + (xy 135.981466 80.994724) + (xy 135.982485 80.911288) + (xy 135.983336 80.841638) + (xy 135.983336 80.841634) + (xy 135.983367 80.83907) + (xy 135.947502 80.604686) + (xy 135.943752 80.593211) + (xy 135.874635 80.381751) + (xy 135.874634 80.381749) + (xy 135.873836 80.379307) + (xy 135.865607 80.363499) + (xy 135.765537 80.171265) + (xy 135.765533 80.171259) + (xy 135.76435 80.168986) + (xy 135.621983 79.97937) + (xy 135.450559 79.815554) + (xy 135.444994 79.811758) + (xy 135.256807 79.683385) + (xy 135.256805 79.683384) + (xy 135.254681 79.681935) + (xy 135.039609 79.582102) + (xy 135.037142 79.581418) + (xy 135.037137 79.581416) + (xy 134.813587 79.51942) + (xy 134.813588 79.51942) + (xy 134.811121 79.518736) + (xy 134.721582 79.509167) + (xy 134.619202 79.498226) + (xy 134.619198 79.498226) + (xy 134.617553 79.49805) + (xy 134.47989 79.49805) + (xy 134.303685 79.512537) + (xy 134.301192 79.513163) + (xy 134.301193 79.513163) + (xy 134.076203 79.569676) + (xy 134.0762 79.569677) + (xy 134.073716 79.570301) + (xy 133.85627 79.664849) + (xy 133.657186 79.793642) + (xy 133.63373 79.814985) + (xy 133.551907 79.889439) + (xy 133.48181 79.953222) + (xy 133.480222 79.955233) + (xy 133.480219 79.955236) + (xy 133.336443 80.137288) + (xy 133.33644 80.137292) + (xy 133.334853 80.139302) + (xy 133.333614 80.141547) + (xy 133.333613 80.141548) + (xy 133.298152 80.205786) + (xy 133.220261 80.346886) + (xy 133.219403 80.349309) + (xy 133.143714 80.563048) + (xy 133.141111 80.570398) + (xy 133.140661 80.572924) + (xy 133.14066 80.572928) + (xy 133.09998 80.8013) + (xy 133.099979 80.801307) + (xy 133.099529 80.803835) + (xy 133.09806 80.924069) + (xy 133.097034 81.00814) + (xy 133.096633 81.04093) + (xy 132.745005 81.04093) + (xy 132.753799 80.899176) + (xy 132.72419 80.726859) + (xy 132.655733 80.565976) + (xy 132.552102 80.425157) + (xy 132.53477 80.410432) + (xy 132.495448 80.377026) + (xy 132.418854 80.311955) + (xy 132.263138 80.232442) + (xy 132.093307 80.190885) + (xy 132.085635 80.190409) + (xy 132.083235 80.19026) + (xy 132.083231 80.19026) + (xy 132.082266 80.1902) + (xy 131.956221 80.1902) + (xy 131.954431 80.190409) + (xy 131.954426 80.190409) + (xy 131.904784 80.196197) + (xy 131.826335 80.205343) + (xy 131.82292 80.206582) + (xy 131.822918 80.206583) + (xy 131.768431 80.226361) + (xy 131.661985 80.264999) + (xy 131.658949 80.26699) + (xy 131.658948 80.26699) + (xy 131.626297 80.288397) + (xy 131.515767 80.360864) + (xy 131.395525 80.487795) + (xy 131.393703 80.490933) + (xy 131.3937 80.490936) + (xy 131.310257 80.634595) + (xy 131.307708 80.638983) + (xy 131.306658 80.64245) + (xy 131.274329 80.749193) + (xy 131.257027 80.806318) + (xy 131.246201 80.980824) + (xy 130.901636 80.980824) + (xy 130.902485 80.911288) + (xy 130.903336 80.841638) + (xy 130.903336 80.841634) + (xy 130.903367 80.83907) + (xy 130.867502 80.604686) + (xy 130.863752 80.593211) + (xy 130.794635 80.381751) + (xy 130.794634 80.381749) + (xy 130.793836 80.379307) + (xy 130.785607 80.363499) + (xy 130.685537 80.171265) + (xy 130.685533 80.171259) + (xy 130.68435 80.168986) + (xy 130.541983 79.97937) + (xy 130.370559 79.815554) + (xy 130.364994 79.811758) + (xy 130.176807 79.683385) + (xy 130.176805 79.683384) + (xy 130.174681 79.681935) + (xy 129.959609 79.582102) + (xy 129.957142 79.581418) + (xy 129.957137 79.581416) + (xy 129.733587 79.51942) + (xy 129.733588 79.51942) + (xy 129.731121 79.518736) + (xy 129.641582 79.509167) + (xy 129.539202 79.498226) + (xy 129.539198 79.498226) + (xy 129.537553 79.49805) + (xy 129.39989 79.49805) + (xy 129.223685 79.512537) + (xy 129.221192 79.513163) + (xy 129.221193 79.513163) + (xy 128.996203 79.569676) + (xy 128.9962 79.569677) + (xy 128.993716 79.570301) + (xy 128.77627 79.664849) + (xy 128.577186 79.793642) + (xy 128.55373 79.814985) + (xy 128.471907 79.889439) + (xy 128.40181 79.953222) + (xy 128.400222 79.955233) + (xy 128.400219 79.955236) + (xy 128.256443 80.137288) + (xy 128.25644 80.137292) + (xy 128.254853 80.139302) + (xy 128.253614 80.141547) + (xy 128.253613 80.141548) + (xy 128.218152 80.205786) + (xy 128.140261 80.346886) + (xy 128.139403 80.349309) + (xy 128.063714 80.563048) + (xy 128.061111 80.570398) + (xy 128.060661 80.572924) + (xy 128.06066 80.572928) + (xy 128.01998 80.8013) + (xy 128.019979 80.801307) + (xy 128.019529 80.803835) + (xy 128.01806 80.924069) + (xy 128.017034 81.00814) + (xy 128.016633 81.04093) + (xy 128.017021 81.043465) + (xy 128.017021 81.043466) + (xy 128.018177 81.051018) + (xy 128.052498 81.275314) + (xy 128.053296 81.277754) + (xy 128.053296 81.277756) + (xy 128.089292 81.387885) + (xy 128.085622 81.435579) + (xy 128.049302 81.466709) + (xy 128.001608 81.463039) + (xy 127.985691 81.451496) + (xy 126.429708 79.895513) + (xy 126.425403 79.889439) + (xy 126.42483 79.889895) + (xy 126.420449 79.884387) + (xy 126.417402 79.878042) + (xy 126.394319 79.859582) + (xy 126.38916 79.854965) + (xy 126.383911 79.849716) + (xy 126.377908 79.845943) + (xy 126.372132 79.841838) + (xy 126.349347 79.823617) + (xy 126.342487 79.82204) + (xy 126.338727 79.820222) + (xy 126.334791 79.818844) + (xy 126.328832 79.815098) + (xy 126.321838 79.814307) + (xy 126.321837 79.814307) + (xy 126.299844 79.811821) + (xy 126.292867 79.810629) + (xy 126.285783 79.809) + (xy 126.278409 79.809) + (xy 126.271388 79.808604) + (xy 126.242242 79.805309) + (xy 126.235597 79.80763) + (xy 126.228603 79.808414) + (xy 126.228527 79.807736) + (xy 126.221075 79.809) + (xy 116.293232 79.809) + (xy 116.285893 79.80775) + (xy 116.28581 79.808477) + (xy 116.278819 79.80768) + (xy 116.272178 79.805348) + (xy 116.265185 79.806126) + (xy 116.265184 79.806126) + (xy 116.2428 79.808617) + (xy 116.235888 79.809) + (xy 116.228467 79.809) + (xy 116.225044 79.809781) + (xy 116.225043 79.809781) + (xy 116.221552 79.810577) + (xy 116.214569 79.811758) + (xy 116.192562 79.814207) + (xy 116.185571 79.814985) + (xy 116.179608 79.818718) + (xy 116.175675 79.820088) + (xy 116.171905 79.821902) + (xy 116.165041 79.823468) + (xy 116.147248 79.837647) + (xy 116.142229 79.841646) + (xy 116.136446 79.84574) + (xy 116.13329 79.847716) + (xy 116.133284 79.847721) + (xy 116.130289 79.849596) + (xy 116.125076 79.854809) + (xy 116.119832 79.859494) + (xy 116.106814 79.869868) + (xy 116.096891 79.877775) + (xy 116.093833 79.884114) + (xy 116.089442 79.889615) + (xy 116.088908 79.889189) + (xy 116.084532 79.895353) + (xy 114.895513 81.084372) + (xy 114.889439 81.088677) + (xy 114.889895 81.08925) + (xy 114.884387 81.093631) + (xy 114.878042 81.096678) + (xy 114.873646 81.102175) + (xy 114.859582 81.119761) + (xy 114.854965 81.12492) + (xy 114.849716 81.130169) + (xy 114.847847 81.133143) + (xy 114.845943 81.136172) + (xy 114.841838 81.141948) + (xy 114.823617 81.164733) + (xy 114.82204 81.171593) + (xy 114.820222 81.175353) + (xy 114.818844 81.179289) + (xy 114.815098 81.185248) + (xy 114.814307 81.192242) + (xy 114.814307 81.192243) + (xy 114.811821 81.214236) + (xy 114.810629 81.221213) + (xy 114.809 81.228297) + (xy 114.809 81.235671) + (xy 114.808604 81.242692) + (xy 114.805309 81.271838) + (xy 114.80763 81.278483) + (xy 114.808414 81.285477) + (xy 114.807736 81.285553) + (xy 114.809 81.293005) + (xy 114.809 83.86) + (xy 114.790694 83.904194) + (xy 114.7465 83.9225) + (xy 113.187442 83.9225) + (xy 113.184431 83.923099) + (xy 113.156287 83.928697) + (xy 113.156285 83.928698) + (xy 113.150252 83.929898) + (xy 113.108078 83.958078) + (xy 113.079898 84.000252) + (xy 113.078698 84.006285) + (xy 113.078697 84.006287) + (xy 113.07485 84.02563) + (xy 113.0725 84.037442) + (xy 113.0725 86.662558) + (xy 99.3 86.662558) + (xy 99.3 77.9625) + (xy 99.318306 77.918306) + (xy 99.3625 77.9) + (xy 200.6375 77.9) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 154.360284 120.649806) + (xy 159.58153 125.871052) + (xy 159.594543 125.890074) + (xy 159.613207 125.932491) + (xy 159.6185 125.957663) + (xy 159.6185 126.9375) + (xy 159.600194 126.981694) + (xy 159.556 127) + (xy 157.7535 127) + (xy 157.709306 126.981694) + (xy 157.691 126.9375) + (xy 157.691 126.293232) + (xy 157.69225 126.285893) + (xy 157.691523 126.28581) + (xy 157.69232 126.278819) + (xy 157.694652 126.272178) + (xy 157.691383 126.2428) + (xy 157.691 126.235888) + (xy 157.691 126.228467) + (xy 157.689423 126.221552) + (xy 157.688242 126.214569) + (xy 157.685793 126.192562) + (xy 157.685015 126.185571) + (xy 157.681282 126.179608) + (xy 157.679912 126.175675) + (xy 157.678098 126.171905) + (xy 157.676532 126.165041) + (xy 157.658354 126.142229) + (xy 157.65426 126.136446) + (xy 157.652284 126.13329) + (xy 157.652279 126.133284) + (xy 157.650404 126.130289) + (xy 157.645191 126.125076) + (xy 157.640506 126.119832) + (xy 157.626612 126.102396) + (xy 157.626611 126.102396) + (xy 157.622225 126.096891) + (xy 157.615886 126.093833) + (xy 157.610385 126.089442) + (xy 157.610811 126.088908) + (xy 157.604647 126.084532) + (xy 156.682793 125.162678) + (xy 156.664487 125.118484) + (xy 156.665353 125.108115) + (xy 156.681767 125.010552) + (xy 156.681896 125) + (xy 156.664536 124.878781) + (xy 156.613852 124.767307) + (xy 156.599199 124.750302) + (xy 156.597708 124.747375) + (xy 156.5919 124.741567) + (xy 156.588746 124.73817) + (xy 156.536826 124.677914) + (xy 156.533918 124.674539) + (xy 156.513741 124.661461) + (xy 156.510155 124.658559) + (xy 156.510081 124.658661) + (xy 156.506106 124.655773) + (xy 156.502625 124.652292) + (xy 156.491343 124.646543) + (xy 156.485736 124.643309) + (xy 156.434893 124.610354) + (xy 156.43489 124.610353) + (xy 156.43116 124.607935) + (xy 156.426901 124.606661) + (xy 156.426898 124.60666) + (xy 156.408345 124.601112) + (xy 156.398839 124.598269) + (xy 156.388377 124.594079) + (xy 156.382813 124.591244) + (xy 156.371067 124.589384) + (xy 156.362951 124.587536) + (xy 156.313838 124.572848) + (xy 156.269496 124.572577) + (xy 156.260103 124.571809) + (xy 156.254857 124.570978) + (xy 156.254856 124.570978) + (xy 156.25 124.570209) + (xy 156.241373 124.571576) + (xy 156.23122 124.572344) + (xy 156.212836 124.572231) + (xy 156.195838 124.572127) + (xy 156.195836 124.572127) + (xy 156.191385 124.5721) + (xy 156.137011 124.587641) + (xy 156.129623 124.589275) + (xy 156.117187 124.591244) + (xy 156.112804 124.593477) + (xy 156.11187 124.593781) + (xy 156.102155 124.597603) + (xy 156.077929 124.604526) + (xy 156.077927 124.604527) + (xy 156.073644 124.605751) + (xy 156.014119 124.643309) + (xy 156.012819 124.644129) + (xy 156.007842 124.646959) + (xy 156.001757 124.650059) + (xy 156.001756 124.65006) + (xy 155.997375 124.652292) + (xy 155.993897 124.65577) + (xy 155.992445 124.656825) + (xy 155.989058 124.65912) + (xy 155.97008 124.671095) + (xy 155.967137 124.674427) + (xy 155.967135 124.674429) + (xy 155.907156 124.742342) + (xy 155.906032 124.743538) + (xy 155.905775 124.743892) + (xy 155.905554 124.744113) + (xy 155.905405 124.744204) + (xy 155.904504 124.745163) + (xy 155.902292 124.747375) + (xy 155.901509 124.746592) + (xy 155.900362 124.747296) + (xy 155.901721 124.748496) + (xy 155.889018 124.762879) + (xy 155.887127 124.766907) + (xy 155.838868 124.869695) + (xy 155.838867 124.869698) + (xy 155.836976 124.873726) + (xy 155.818136 124.994724) + (xy 155.818713 124.999137) + (xy 155.818713 124.999138) + (xy 155.824788 125.045593) + (xy 155.834014 125.116145) + (xy 155.841185 125.132443) + (xy 155.841244 125.132813) + (xy 155.842007 125.134311) + (xy 155.883333 125.22823) + (xy 155.897272 125.244813) + (xy 155.898029 125.245713) + (xy 155.900157 125.248434) + (xy 155.902292 125.252625) + (xy 155.910081 125.260414) + (xy 155.913729 125.264391) + (xy 155.962127 125.321968) + (xy 155.985399 125.337459) + (xy 155.991836 125.342733) + (xy 155.993899 125.344232) + (xy 155.997375 125.347708) + (xy 156.001753 125.349939) + (xy 156.001756 125.349941) + (xy 156.00873 125.353494) + (xy 156.014977 125.357148) + (xy 156.064064 125.389823) + (xy 156.068313 125.391151) + (xy 156.068314 125.391151) + (xy 156.100296 125.401143) + (xy 156.110027 125.405109) + (xy 156.112799 125.406521) + (xy 156.112803 125.406522) + (xy 156.117187 125.408756) + (xy 156.127852 125.410445) + (xy 156.136713 125.41252) + (xy 156.176696 125.425012) + (xy 156.176699 125.425012) + (xy 156.180948 125.42634) + (xy 156.230069 125.427241) + (xy 156.238683 125.427999) + (xy 156.24514 125.429022) + (xy 156.245144 125.429022) + (xy 156.25 125.429791) + (xy 156.256947 125.42869) + (xy 156.267853 125.427933) + (xy 156.303383 125.428584) + (xy 156.308019 125.42732) + (xy 156.350968 125.415611) + (xy 156.398421 125.421648) + (xy 156.411601 125.431716) + (xy 157.290694 126.310809) + (xy 157.309 126.355003) + (xy 157.309 126.9375) + (xy 157.290694 126.981694) + (xy 157.2465 127) + (xy 155.2535 127) + (xy 155.209306 126.981694) + (xy 155.191 126.9375) + (xy 155.191 126.293232) + (xy 155.19225 126.285893) + (xy 155.191523 126.28581) + (xy 155.19232 126.278819) + (xy 155.194652 126.272178) + (xy 155.191383 126.2428) + (xy 155.191 126.235888) + (xy 155.191 126.228467) + (xy 155.189423 126.221552) + (xy 155.188242 126.214569) + (xy 155.185793 126.192562) + (xy 155.185015 126.185571) + (xy 155.181282 126.179608) + (xy 155.179912 126.175675) + (xy 155.178098 126.171905) + (xy 155.176532 126.165041) + (xy 155.158354 126.142229) + (xy 155.15426 126.136446) + (xy 155.152284 126.13329) + (xy 155.152279 126.133284) + (xy 155.150404 126.130289) + (xy 155.145191 126.125076) + (xy 155.140506 126.119832) + (xy 155.126612 126.102396) + (xy 155.126611 126.102396) + (xy 155.122225 126.096891) + (xy 155.115886 126.093833) + (xy 155.110385 126.089442) + (xy 155.110811 126.088908) + (xy 155.104647 126.084532) + (xy 154.182793 125.162678) + (xy 154.164487 125.118484) + (xy 154.165353 125.108115) + (xy 154.181767 125.010552) + (xy 154.181896 125) + (xy 154.164536 124.878781) + (xy 154.113852 124.767307) + (xy 154.099199 124.750302) + (xy 154.097708 124.747375) + (xy 154.0919 124.741567) + (xy 154.088746 124.73817) + (xy 154.036826 124.677914) + (xy 154.033918 124.674539) + (xy 154.013741 124.661461) + (xy 154.010155 124.658559) + (xy 154.010081 124.658661) + (xy 154.006106 124.655773) + (xy 154.002625 124.652292) + (xy 153.991343 124.646543) + (xy 153.985736 124.643309) + (xy 153.934893 124.610354) + (xy 153.93489 124.610353) + (xy 153.93116 124.607935) + (xy 153.926901 124.606661) + (xy 153.926898 124.60666) + (xy 153.908345 124.601112) + (xy 153.898839 124.598269) + (xy 153.888377 124.594079) + (xy 153.882813 124.591244) + (xy 153.871067 124.589384) + (xy 153.862951 124.587536) + (xy 153.813838 124.572848) + (xy 153.769496 124.572577) + (xy 153.760103 124.571809) + (xy 153.754857 124.570978) + (xy 153.754856 124.570978) + (xy 153.75 124.570209) + (xy 153.741373 124.571576) + (xy 153.73122 124.572344) + (xy 153.712836 124.572231) + (xy 153.695838 124.572127) + (xy 153.695836 124.572127) + (xy 153.691385 124.5721) + (xy 153.637011 124.587641) + (xy 153.629623 124.589275) + (xy 153.617187 124.591244) + (xy 153.612804 124.593477) + (xy 153.61187 124.593781) + (xy 153.602155 124.597603) + (xy 153.577929 124.604526) + (xy 153.577927 124.604527) + (xy 153.573644 124.605751) + (xy 153.514119 124.643309) + (xy 153.512819 124.644129) + (xy 153.507842 124.646959) + (xy 153.501757 124.650059) + (xy 153.501756 124.65006) + (xy 153.497375 124.652292) + (xy 153.493897 124.65577) + (xy 153.492445 124.656825) + (xy 153.489058 124.65912) + (xy 153.47008 124.671095) + (xy 153.467137 124.674427) + (xy 153.467135 124.674429) + (xy 153.407156 124.742342) + (xy 153.406032 124.743538) + (xy 153.405775 124.743892) + (xy 153.405554 124.744113) + (xy 153.405405 124.744204) + (xy 153.404504 124.745163) + (xy 153.402292 124.747375) + (xy 153.401509 124.746592) + (xy 153.400362 124.747296) + (xy 153.401721 124.748496) + (xy 153.389018 124.762879) + (xy 153.387127 124.766907) + (xy 153.338868 124.869695) + (xy 153.338867 124.869698) + (xy 153.336976 124.873726) + (xy 153.318136 124.994724) + (xy 153.318713 124.999137) + (xy 153.318713 124.999138) + (xy 153.324788 125.045593) + (xy 153.334014 125.116145) + (xy 153.341185 125.132443) + (xy 153.341244 125.132813) + (xy 153.342007 125.134311) + (xy 153.383333 125.22823) + (xy 153.397272 125.244813) + (xy 153.398029 125.245713) + (xy 153.400157 125.248434) + (xy 153.402292 125.252625) + (xy 153.410081 125.260414) + (xy 153.413729 125.264391) + (xy 153.462127 125.321968) + (xy 153.485399 125.337459) + (xy 153.491836 125.342733) + (xy 153.493899 125.344232) + (xy 153.497375 125.347708) + (xy 153.501753 125.349939) + (xy 153.501756 125.349941) + (xy 153.50873 125.353494) + (xy 153.514977 125.357148) + (xy 153.564064 125.389823) + (xy 153.568313 125.391151) + (xy 153.568314 125.391151) + (xy 153.600296 125.401143) + (xy 153.610027 125.405109) + (xy 153.612799 125.406521) + (xy 153.612803 125.406522) + (xy 153.617187 125.408756) + (xy 153.627852 125.410445) + (xy 153.636713 125.41252) + (xy 153.676696 125.425012) + (xy 153.676699 125.425012) + (xy 153.680948 125.42634) + (xy 153.730069 125.427241) + (xy 153.738683 125.427999) + (xy 153.74514 125.429022) + (xy 153.745144 125.429022) + (xy 153.75 125.429791) + (xy 153.756947 125.42869) + (xy 153.767853 125.427933) + (xy 153.803383 125.428584) + (xy 153.808019 125.42732) + (xy 153.850968 125.415611) + (xy 153.898421 125.421648) + (xy 153.911601 125.431716) + (xy 154.790694 126.310809) + (xy 154.809 126.355003) + (xy 154.809 126.9375) + (xy 154.790694 126.981694) + (xy 154.7465 127) + (xy 152.7535 127) + (xy 152.709306 126.981694) + (xy 152.691 126.9375) + (xy 152.691 126.293232) + (xy 152.69225 126.285893) + (xy 152.691523 126.28581) + (xy 152.69232 126.278819) + (xy 152.694652 126.272178) + (xy 152.691383 126.2428) + (xy 152.691 126.235888) + (xy 152.691 126.228467) + (xy 152.689423 126.221552) + (xy 152.688242 126.214569) + (xy 152.685793 126.192562) + (xy 152.685015 126.185571) + (xy 152.681282 126.179608) + (xy 152.679912 126.175675) + (xy 152.678098 126.171905) + (xy 152.676532 126.165041) + (xy 152.658354 126.142229) + (xy 152.65426 126.136446) + (xy 152.652284 126.13329) + (xy 152.652279 126.133284) + (xy 152.650404 126.130289) + (xy 152.645191 126.125076) + (xy 152.640506 126.119832) + (xy 152.626612 126.102396) + (xy 152.626611 126.102396) + (xy 152.622225 126.096891) + (xy 152.615886 126.093833) + (xy 152.610385 126.089442) + (xy 152.610811 126.088908) + (xy 152.604647 126.084532) + (xy 151.682793 125.162678) + (xy 151.664487 125.118484) + (xy 151.665353 125.108115) + (xy 151.681767 125.010552) + (xy 151.681896 125) + (xy 151.664536 124.878781) + (xy 151.613852 124.767307) + (xy 151.599199 124.750302) + (xy 151.597708 124.747375) + (xy 151.5919 124.741567) + (xy 151.588746 124.73817) + (xy 151.536826 124.677914) + (xy 151.533918 124.674539) + (xy 151.513741 124.661461) + (xy 151.510155 124.658559) + (xy 151.510081 124.658661) + (xy 151.506106 124.655773) + (xy 151.502625 124.652292) + (xy 151.491343 124.646543) + (xy 151.485736 124.643309) + (xy 151.434893 124.610354) + (xy 151.43489 124.610353) + (xy 151.43116 124.607935) + (xy 151.426901 124.606661) + (xy 151.426898 124.60666) + (xy 151.408345 124.601112) + (xy 151.398839 124.598269) + (xy 151.388377 124.594079) + (xy 151.382813 124.591244) + (xy 151.371067 124.589384) + (xy 151.362951 124.587536) + (xy 151.313838 124.572848) + (xy 151.269496 124.572577) + (xy 151.260103 124.571809) + (xy 151.254857 124.570978) + (xy 151.254856 124.570978) + (xy 151.25 124.570209) + (xy 151.241373 124.571576) + (xy 151.23122 124.572344) + (xy 151.212836 124.572231) + (xy 151.195838 124.572127) + (xy 151.195836 124.572127) + (xy 151.191385 124.5721) + (xy 151.137011 124.587641) + (xy 151.129623 124.589275) + (xy 151.117187 124.591244) + (xy 151.112804 124.593477) + (xy 151.11187 124.593781) + (xy 151.102155 124.597603) + (xy 151.077929 124.604526) + (xy 151.077927 124.604527) + (xy 151.073644 124.605751) + (xy 151.014119 124.643309) + (xy 151.012819 124.644129) + (xy 151.007842 124.646959) + (xy 151.001757 124.650059) + (xy 151.001756 124.65006) + (xy 150.997375 124.652292) + (xy 150.993897 124.65577) + (xy 150.992445 124.656825) + (xy 150.989058 124.65912) + (xy 150.97008 124.671095) + (xy 150.967137 124.674427) + (xy 150.967135 124.674429) + (xy 150.907156 124.742342) + (xy 150.906032 124.743538) + (xy 150.905775 124.743892) + (xy 150.905554 124.744113) + (xy 150.905405 124.744204) + (xy 150.904504 124.745163) + (xy 150.902292 124.747375) + (xy 150.901509 124.746592) + (xy 150.900362 124.747296) + (xy 150.901721 124.748496) + (xy 150.889018 124.762879) + (xy 150.887127 124.766907) + (xy 150.838868 124.869695) + (xy 150.838867 124.869698) + (xy 150.836976 124.873726) + (xy 150.818136 124.994724) + (xy 150.818713 124.999137) + (xy 150.818713 124.999138) + (xy 150.824788 125.045593) + (xy 150.834014 125.116145) + (xy 150.841185 125.132443) + (xy 150.841244 125.132813) + (xy 150.842007 125.134311) + (xy 150.883333 125.22823) + (xy 150.897272 125.244813) + (xy 150.898029 125.245713) + (xy 150.900157 125.248434) + (xy 150.902292 125.252625) + (xy 150.910081 125.260414) + (xy 150.913729 125.264391) + (xy 150.962127 125.321968) + (xy 150.985399 125.337459) + (xy 150.991836 125.342733) + (xy 150.993899 125.344232) + (xy 150.997375 125.347708) + (xy 151.001753 125.349939) + (xy 151.001756 125.349941) + (xy 151.00873 125.353494) + (xy 151.014977 125.357148) + (xy 151.064064 125.389823) + (xy 151.068313 125.391151) + (xy 151.068314 125.391151) + (xy 151.100296 125.401143) + (xy 151.110027 125.405109) + (xy 151.112799 125.406521) + (xy 151.112803 125.406522) + (xy 151.117187 125.408756) + (xy 151.127852 125.410445) + (xy 151.136713 125.41252) + (xy 151.176696 125.425012) + (xy 151.176699 125.425012) + (xy 151.180948 125.42634) + (xy 151.230069 125.427241) + (xy 151.238683 125.427999) + (xy 151.24514 125.429022) + (xy 151.245144 125.429022) + (xy 151.25 125.429791) + (xy 151.256947 125.42869) + (xy 151.267853 125.427933) + (xy 151.303383 125.428584) + (xy 151.308019 125.42732) + (xy 151.350968 125.415611) + (xy 151.398421 125.421648) + (xy 151.411601 125.431716) + (xy 152.290694 126.310809) + (xy 152.309 126.355003) + (xy 152.309 126.9375) + (xy 152.290694 126.981694) + (xy 152.2465 127) + (xy 145.2535 127) + (xy 145.209306 126.981694) + (xy 145.191 126.9375) + (xy 145.191 126.355003) + (xy 145.209306 126.310809) + (xy 146.087788 125.432327) + (xy 146.131982 125.414021) + (xy 146.15062 125.416865) + (xy 146.176696 125.425012) + (xy 146.176699 125.425012) + (xy 146.180948 125.42634) + (xy 146.230069 125.427241) + (xy 146.238683 125.427999) + (xy 146.24514 125.429022) + (xy 146.245144 125.429022) + (xy 146.25 125.429791) + (xy 146.256947 125.42869) + (xy 146.267853 125.427933) + (xy 146.303383 125.428584) + (xy 146.363532 125.412185) + (xy 146.37019 125.410755) + (xy 146.372147 125.410445) + (xy 146.382813 125.408756) + (xy 146.387196 125.406522) + (xy 146.391875 125.405002) + (xy 146.391878 125.40501) + (xy 146.396727 125.403136) + (xy 146.421527 125.396375) + (xy 146.426521 125.393309) + (xy 146.489709 125.354511) + (xy 146.494037 125.352085) + (xy 146.49824 125.349944) + (xy 146.498248 125.349938) + (xy 146.502625 125.347708) + (xy 146.505427 125.344906) + (xy 146.506264 125.344346) + (xy 146.525881 125.332301) + (xy 146.608058 125.241513) + (xy 146.661451 125.131311) + (xy 146.663317 125.120224) + (xy 146.681367 125.012928) + (xy 146.681367 125.012927) + (xy 146.681767 125.010552) + (xy 146.681896 125) + (xy 146.664536 124.878781) + (xy 146.613852 124.767307) + (xy 146.599199 124.750302) + (xy 146.597708 124.747375) + (xy 146.5919 124.741567) + (xy 146.588746 124.73817) + (xy 146.536826 124.677914) + (xy 146.533918 124.674539) + (xy 146.513741 124.661461) + (xy 146.510155 124.658559) + (xy 146.510081 124.658661) + (xy 146.506106 124.655773) + (xy 146.502625 124.652292) + (xy 146.491343 124.646543) + (xy 146.485736 124.643309) + (xy 146.434893 124.610354) + (xy 146.43489 124.610353) + (xy 146.43116 124.607935) + (xy 146.426901 124.606661) + (xy 146.426898 124.60666) + (xy 146.408345 124.601112) + (xy 146.398839 124.598269) + (xy 146.388377 124.594079) + (xy 146.382813 124.591244) + (xy 146.371067 124.589384) + (xy 146.362951 124.587536) + (xy 146.313838 124.572848) + (xy 146.269496 124.572577) + (xy 146.260103 124.571809) + (xy 146.254857 124.570978) + (xy 146.254856 124.570978) + (xy 146.25 124.570209) + (xy 146.241373 124.571576) + (xy 146.23122 124.572344) + (xy 146.212836 124.572231) + (xy 146.195838 124.572127) + (xy 146.195836 124.572127) + (xy 146.191385 124.5721) + (xy 146.137011 124.587641) + (xy 146.129623 124.589275) + (xy 146.117187 124.591244) + (xy 146.112804 124.593477) + (xy 146.11187 124.593781) + (xy 146.102155 124.597603) + (xy 146.077929 124.604526) + (xy 146.077927 124.604527) + (xy 146.073644 124.605751) + (xy 146.014119 124.643309) + (xy 146.012819 124.644129) + (xy 146.007842 124.646959) + (xy 146.001757 124.650059) + (xy 146.001756 124.65006) + (xy 145.997375 124.652292) + (xy 145.993897 124.65577) + (xy 145.992445 124.656825) + (xy 145.989058 124.65912) + (xy 145.97008 124.671095) + (xy 145.967137 124.674427) + (xy 145.967135 124.674429) + (xy 145.907156 124.742342) + (xy 145.906032 124.743538) + (xy 145.905775 124.743892) + (xy 145.905554 124.744113) + (xy 145.905405 124.744204) + (xy 145.904504 124.745163) + (xy 145.902292 124.747375) + (xy 145.901509 124.746592) + (xy 145.900362 124.747296) + (xy 145.901721 124.748496) + (xy 145.889018 124.762879) + (xy 145.887127 124.766907) + (xy 145.838868 124.869695) + (xy 145.838867 124.869698) + (xy 145.836976 124.873726) + (xy 145.818136 124.994724) + (xy 145.818713 124.999137) + (xy 145.818713 124.999138) + (xy 145.823366 125.034716) + (xy 145.833437 125.111726) + (xy 145.83346 125.111905) + (xy 145.82104 125.158099) + (xy 145.815682 125.164203) + (xy 144.895513 126.084372) + (xy 144.889439 126.088677) + (xy 144.889895 126.08925) + (xy 144.884387 126.093631) + (xy 144.878042 126.096678) + (xy 144.873646 126.102175) + (xy 144.859582 126.119761) + (xy 144.854965 126.12492) + (xy 144.849716 126.130169) + (xy 144.845943 126.136172) + (xy 144.841838 126.141948) + (xy 144.823617 126.164733) + (xy 144.82204 126.171593) + (xy 144.820222 126.175353) + (xy 144.818844 126.179289) + (xy 144.815098 126.185248) + (xy 144.814307 126.192242) + (xy 144.814307 126.192243) + (xy 144.811821 126.214236) + (xy 144.810629 126.221213) + (xy 144.809 126.228297) + (xy 144.809 126.235671) + (xy 144.808604 126.242692) + (xy 144.805309 126.271838) + (xy 144.80763 126.278483) + (xy 144.808414 126.285477) + (xy 144.807736 126.285553) + (xy 144.809 126.293005) + (xy 144.809 126.9375) + (xy 144.790694 126.981694) + (xy 144.7465 127) + (xy 142.7535 127) + (xy 142.709306 126.981694) + (xy 142.691 126.9375) + (xy 142.691 126.355003) + (xy 142.709306 126.310809) + (xy 143.587788 125.432327) + (xy 143.631982 125.414021) + (xy 143.65062 125.416865) + (xy 143.676696 125.425012) + (xy 143.676699 125.425012) + (xy 143.680948 125.42634) + (xy 143.730069 125.427241) + (xy 143.738683 125.427999) + (xy 143.74514 125.429022) + (xy 143.745144 125.429022) + (xy 143.75 125.429791) + (xy 143.756947 125.42869) + (xy 143.767853 125.427933) + (xy 143.803383 125.428584) + (xy 143.863532 125.412185) + (xy 143.87019 125.410755) + (xy 143.872147 125.410445) + (xy 143.882813 125.408756) + (xy 143.887196 125.406522) + (xy 143.891875 125.405002) + (xy 143.891878 125.40501) + (xy 143.896727 125.403136) + (xy 143.921527 125.396375) + (xy 143.926521 125.393309) + (xy 143.989709 125.354511) + (xy 143.994037 125.352085) + (xy 143.99824 125.349944) + (xy 143.998248 125.349938) + (xy 144.002625 125.347708) + (xy 144.005427 125.344906) + (xy 144.006264 125.344346) + (xy 144.025881 125.332301) + (xy 144.108058 125.241513) + (xy 144.161451 125.131311) + (xy 144.163317 125.120224) + (xy 144.181367 125.012928) + (xy 144.181367 125.012927) + (xy 144.181767 125.010552) + (xy 144.181896 125) + (xy 144.164536 124.878781) + (xy 144.113852 124.767307) + (xy 144.099199 124.750302) + (xy 144.097708 124.747375) + (xy 144.0919 124.741567) + (xy 144.088746 124.73817) + (xy 144.036826 124.677914) + (xy 144.033918 124.674539) + (xy 144.013741 124.661461) + (xy 144.010155 124.658559) + (xy 144.010081 124.658661) + (xy 144.006106 124.655773) + (xy 144.002625 124.652292) + (xy 143.991343 124.646543) + (xy 143.985736 124.643309) + (xy 143.934893 124.610354) + (xy 143.93489 124.610353) + (xy 143.93116 124.607935) + (xy 143.926901 124.606661) + (xy 143.926898 124.60666) + (xy 143.908345 124.601112) + (xy 143.898839 124.598269) + (xy 143.888377 124.594079) + (xy 143.882813 124.591244) + (xy 143.871067 124.589384) + (xy 143.862951 124.587536) + (xy 143.813838 124.572848) + (xy 143.769496 124.572577) + (xy 143.760103 124.571809) + (xy 143.754857 124.570978) + (xy 143.754856 124.570978) + (xy 143.75 124.570209) + (xy 143.741373 124.571576) + (xy 143.73122 124.572344) + (xy 143.712836 124.572231) + (xy 143.695838 124.572127) + (xy 143.695836 124.572127) + (xy 143.691385 124.5721) + (xy 143.637011 124.587641) + (xy 143.629623 124.589275) + (xy 143.617187 124.591244) + (xy 143.612804 124.593477) + (xy 143.61187 124.593781) + (xy 143.602155 124.597603) + (xy 143.577929 124.604526) + (xy 143.577927 124.604527) + (xy 143.573644 124.605751) + (xy 143.514119 124.643309) + (xy 143.512819 124.644129) + (xy 143.507842 124.646959) + (xy 143.501757 124.650059) + (xy 143.501756 124.65006) + (xy 143.497375 124.652292) + (xy 143.493897 124.65577) + (xy 143.492445 124.656825) + (xy 143.489058 124.65912) + (xy 143.47008 124.671095) + (xy 143.467137 124.674427) + (xy 143.467135 124.674429) + (xy 143.407156 124.742342) + (xy 143.406032 124.743538) + (xy 143.405775 124.743892) + (xy 143.405554 124.744113) + (xy 143.405405 124.744204) + (xy 143.404504 124.745163) + (xy 143.402292 124.747375) + (xy 143.401509 124.746592) + (xy 143.400362 124.747296) + (xy 143.401721 124.748496) + (xy 143.389018 124.762879) + (xy 143.387127 124.766907) + (xy 143.338868 124.869695) + (xy 143.338867 124.869698) + (xy 143.336976 124.873726) + (xy 143.318136 124.994724) + (xy 143.318713 124.999137) + (xy 143.318713 124.999138) + (xy 143.323366 125.034716) + (xy 143.333437 125.111726) + (xy 143.33346 125.111905) + (xy 143.32104 125.158099) + (xy 143.315682 125.164203) + (xy 142.395513 126.084372) + (xy 142.389439 126.088677) + (xy 142.389895 126.08925) + (xy 142.384387 126.093631) + (xy 142.378042 126.096678) + (xy 142.373646 126.102175) + (xy 142.359582 126.119761) + (xy 142.354965 126.12492) + (xy 142.349716 126.130169) + (xy 142.345943 126.136172) + (xy 142.341838 126.141948) + (xy 142.323617 126.164733) + (xy 142.32204 126.171593) + (xy 142.320222 126.175353) + (xy 142.318844 126.179289) + (xy 142.315098 126.185248) + (xy 142.314307 126.192242) + (xy 142.314307 126.192243) + (xy 142.311821 126.214236) + (xy 142.310629 126.221213) + (xy 142.309 126.228297) + (xy 142.309 126.235671) + (xy 142.308604 126.242692) + (xy 142.305309 126.271838) + (xy 142.30763 126.278483) + (xy 142.308414 126.285477) + (xy 142.307736 126.285553) + (xy 142.309 126.293005) + (xy 142.309 126.9375) + (xy 142.290694 126.981694) + (xy 142.2465 127) + (xy 140.444 127) + (xy 140.399806 126.981694) + (xy 140.3815 126.9375) + (xy 140.3815 125.957472) + (xy 140.387754 125.930221) + (xy 140.407205 125.890074) + (xy 140.411451 125.881311) + (xy 140.413317 125.870224) + (xy 140.431367 125.762928) + (xy 140.431767 125.760552) + (xy 140.431896 125.75) + (xy 140.414536 125.628781) + (xy 140.387105 125.568449) + (xy 140.3815 125.542581) + (xy 140.3815 120.694) + (xy 140.399806 120.649806) + (xy 140.444 120.6315) + (xy 154.31609 120.6315) + ) + ) + ) + (zone locked (net 0) (net_name "") (layers F&B.Cu) (tstamp 7c14ad88-6d4c-45cf-96de-dc5253554c00) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) + (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 189.55 126.5) + (xy 187.6 126.5) + (xy 187.6 124.8) + (xy 189.55 124.8) + ) + ) + ) + (zone locked (net 0) (net_name "") (layers F&B.Cu) (tstamp d02e0d9c-50dc-433a-a1ba-01deecfeaae1) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) + (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 112.399999 126.498958) + (xy 110.449999 126.498958) + (xy 110.45 124.8) + (xy 112.4 124.8) + ) + ) + ) +) diff --git a/hw/pcb/sc64v2.kicad_pro b/hw/pcb/sc64v2.kicad_pro new file mode 100644 index 0000000..4969e6a --- /dev/null +++ b/hw/pcb/sc64v2.kicad_pro @@ -0,0 +1,435 @@ +{ + "board": { + "design_settings": { + "defaults": { + "board_outline_line_width": 0.09999999999999999, + "copper_line_width": 0.19999999999999998, + "copper_text_italic": false, + "copper_text_size_h": 1.5, + "copper_text_size_v": 1.5, + "copper_text_thickness": 0.3, + "copper_text_upright": false, + "courtyard_line_width": 0.049999999999999996, + "dimension_precision": 4, + "dimension_units": 3, + "dimensions": { + "arrow_length": 1270000, + "extension_offset": 500000, + "keep_text_aligned": true, + "suppress_zeroes": false, + "text_position": 0, + "units_format": 1 + }, + "fab_line_width": 0.09999999999999999, + "fab_text_italic": false, + "fab_text_size_h": 1.0, + "fab_text_size_v": 1.0, + "fab_text_thickness": 0.15, + "fab_text_upright": false, + "other_line_width": 0.15, + "other_text_italic": false, + "other_text_size_h": 1.0, + "other_text_size_v": 1.0, + "other_text_thickness": 0.15, + "other_text_upright": false, + "pads": { + "drill": 0.762, + "height": 1.524, + "width": 1.524 + }, + "silk_line_width": 0.15, + "silk_text_italic": false, + "silk_text_size_h": 1.0, + "silk_text_size_v": 1.0, + "silk_text_thickness": 0.15, + "silk_text_upright": false, + "zones": { + "45_degree_only": false, + "min_clearance": 0.127 + } + }, + "diff_pair_dimensions": [ + { + "gap": 0.0, + "via_gap": 0.0, + "width": 0.0 + } + ], + "drc_exclusions": [], + "meta": { + "version": 2 + }, + "rule_severities": { + "annular_width": "error", + "clearance": "error", + "copper_edge_clearance": "error", + "courtyards_overlap": "error", + "diff_pair_gap_out_of_range": "error", + "diff_pair_uncoupled_length_too_long": "error", + "drill_out_of_range": "error", + "duplicate_footprints": "warning", + "extra_footprint": "warning", + "footprint_type_mismatch": "error", + "hole_clearance": "error", + "hole_near_hole": "error", + "invalid_outline": "error", + "item_on_disabled_layer": "error", + "items_not_allowed": "error", + "length_out_of_range": "error", + "malformed_courtyard": "error", + "microvia_drill_out_of_range": "error", + "missing_courtyard": "ignore", + "missing_footprint": "warning", + "net_conflict": "warning", + "npth_inside_courtyard": "ignore", + "padstack": "error", + "pth_inside_courtyard": "ignore", + "shorting_items": "error", + "silk_over_copper": "warning", + "silk_overlap": "warning", + "skew_out_of_range": "error", + "through_hole_pad_without_hole": "error", + "too_many_vias": "error", + "track_dangling": "warning", + "track_width": "error", + "tracks_crossing": "error", + "unconnected_items": "error", + "unresolved_variable": "error", + "via_dangling": "warning", + "zone_has_empty_net": "error", + "zones_intersect": "error" + }, + "rules": { + "allow_blind_buried_vias": false, + "allow_microvias": false, + "max_error": 0.005, + "min_clearance": 0.127, + "min_copper_edge_clearance": 0.19999999999999998, + "min_hole_clearance": 0.254, + "min_hole_to_hole": 0.5, + "min_microvia_diameter": 0.19999999999999998, + "min_microvia_drill": 0.09999999999999999, + "min_silk_clearance": 0.0, + "min_through_hole_diameter": 0.3, + "min_track_width": 0.127, + "min_via_annular_width": 0.13, + "min_via_diameter": 0.6, + "solder_mask_clearance": 0.0, + "solder_mask_min_width": 0.0, + "use_height_for_length_calcs": true + }, + "track_widths": [ + 0.0, + 0.508, + 0.762 + ], + "via_dimensions": [ + { + "diameter": 0.0, + "drill": 0.0 + } + ], + "zones_allow_external_fillets": false, + "zones_use_no_outline": true + }, + "layer_presets": [] + }, + "boards": [], + "cvpcb": { + "equivalence_files": [] + }, + "erc": { + "erc_exclusions": [], + "meta": { + "version": 0 + }, + "pin_map": [ + [ + 0, + 0, + 0, + 0, + 0, + 0, + 1, + 0, + 0, + 0, + 0, + 2 + ], + [ + 0, + 2, + 0, + 1, + 0, + 0, + 1, + 0, + 2, + 2, + 2, + 2 + ], + [ + 0, + 0, + 0, + 0, + 0, + 0, + 1, + 0, + 1, + 0, + 1, + 2 + ], + [ + 0, + 1, + 0, + 0, + 0, + 0, + 1, + 1, + 2, + 1, + 1, + 2 + ], + [ + 0, + 0, + 0, + 0, + 0, + 0, + 1, + 0, + 0, + 0, + 0, + 2 + ], + [ + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 2 + ], + [ + 1, + 1, + 1, + 1, + 1, + 0, + 1, + 1, + 1, + 1, + 1, + 2 + ], + [ + 0, + 0, + 0, + 1, + 0, + 0, + 1, + 0, + 0, + 0, + 0, + 2 + ], + [ + 0, + 2, + 1, + 2, + 0, + 0, + 1, + 0, + 2, + 2, + 2, + 2 + ], + [ + 0, + 2, + 0, + 1, + 0, + 0, + 1, + 0, + 2, + 0, + 0, + 2 + ], + [ + 0, + 2, + 1, + 1, + 0, + 0, + 1, + 0, + 2, + 0, + 0, + 2 + ], + [ + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2 + ] + ], + "rule_severities": { + "bus_definition_conflict": "error", + "bus_entry_needed": "error", + "bus_label_syntax": "error", + "bus_to_bus_conflict": "error", + "bus_to_net_conflict": "error", + "different_unit_footprint": "error", + "different_unit_net": "error", + "duplicate_reference": "error", + "duplicate_sheet_names": "error", + "extra_units": "error", + "global_label_dangling": "warning", + "hier_label_mismatch": "error", + "label_dangling": "error", + "lib_symbol_issues": "warning", + "multiple_net_names": "warning", + "net_not_bus_member": "warning", + "no_connect_connected": "warning", + "no_connect_dangling": "warning", + "pin_not_connected": "error", + "pin_not_driven": "error", + "pin_to_pin": "warning", + "power_pin_not_driven": "error", + "similar_labels": "warning", + "unannotated": "error", + "unit_value_mismatch": "error", + "unresolved_variable": "error", + "wire_dangling": "error" + } + }, + "libraries": { + "pinned_footprint_libs": [], + "pinned_symbol_libs": [] + }, + "meta": { + "filename": "sc64v2.kicad_pro", + "version": 1 + }, + "net_settings": { + "classes": [ + { + "bus_width": 12.0, + "clearance": 0.127, + "diff_pair_gap": 0.25, + "diff_pair_via_gap": 0.25, + "diff_pair_width": 0.2, + "line_style": 0, + "microvia_diameter": 0.3, + "microvia_drill": 0.1, + "name": "Default", + "pcb_color": "rgba(0, 0, 0, 0.000)", + "schematic_color": "rgba(0, 0, 0, 0.000)", + "track_width": 0.127, + "via_diameter": 0.6, + "via_drill": 0.3, + "wire_width": 6.0 + } + ], + "meta": { + "version": 2 + }, + "net_colors": null + }, + "pcbnew": { + "last_paths": { + "gencad": "", + "idf": "", + "netlist": "", + "specctra_dsn": "", + "step": "", + "vrml": "" + }, + "page_layout_descr_file": "" + }, + "schematic": { + "annotate_start_num": 0, + "drawing": { + "default_line_thickness": 6.0, + "default_text_size": 50.0, + "field_names": [], + "intersheets_ref_own_page": false, + "intersheets_ref_prefix": "", + "intersheets_ref_short": false, + "intersheets_ref_show": false, + "intersheets_ref_suffix": "", + "junction_size_choice": 3, + "label_size_ratio": 0.375, + "pin_symbol_size": 25.0, + "text_offset_ratio": 0.15 + }, + "legacy_lib_dir": "", + "legacy_lib_list": [], + "meta": { + "version": 1 + }, + "net_format_name": "", + "ngspice": { + "fix_include_paths": true, + "fix_passive_vals": false, + "meta": { + "version": 0 + }, + "model_mode": 0, + "workbook_filename": "" + }, + "page_layout_descr_file": "", + "plot_directory": "", + "spice_adjust_passive_values": false, + "spice_external_command": "spice \"%I\"", + "subpart_first_id": 65, + "subpart_id_separator": 0 + }, + "sheets": [ + [ + "e63e39d7-6ac0-4ffd-8aa3-1841a4541b55", + "" + ] + ], + "text_variables": {} +} diff --git a/hw/pcb/sc64v2.kicad_sch b/hw/pcb/sc64v2.kicad_sch new file mode 100644 index 0000000..07d1a3b --- /dev/null +++ b/hw/pcb/sc64v2.kicad_sch @@ -0,0 +1,11759 @@ +(kicad_sch (version 20211123) (generator eeschema) + + (uuid e63e39d7-6ac0-4ffd-8aa3-1841a4541b55) + + (paper "A2") + + (title_block + (title "SummerCart64") + (date "2022-05-15") + (rev "2.0") + (company "Polprzewodnikowy") + ) + + (lib_symbols + (symbol "Connector:Conn_01x03_Male" (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes) + (property "Reference" "J" (id 0) (at 0 5.08 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "Conn_01x03_Male" (id 1) (at 0 -5.08 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "connector" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Generic connector, single row, 01x03, script generated (kicad-library-utils/schlib/autogen/connector/)" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "Connector*:*_1x??_*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "Conn_01x03_Male_1_1" + (polyline + (pts + (xy 1.27 -2.54) + (xy 0.8636 -2.54) + ) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 0) + (xy 0.8636 0) + ) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 2.54) + (xy 0.8636 2.54) + ) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 0.8636 -2.413) (end 0 -2.667) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type outline)) + ) + (rectangle (start 0.8636 0.127) (end 0 -0.127) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type outline)) + ) + (rectangle (start 0.8636 2.667) (end 0 2.413) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type outline)) + ) + (pin passive line (at 5.08 2.54 180) (length 3.81) + (name "Pin_1" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 5.08 0 180) (length 3.81) + (name "Pin_2" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 5.08 -2.54 180) (length 3.81) + (name "Pin_3" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Connector:Micro_SD_Card_Det" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "J" (id 0) (at -16.51 17.78 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "Micro_SD_Card_Det" (id 1) (at 16.51 17.78 0) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "" (id 2) (at 52.07 17.78 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://www.hirose.com/product/en/download_file/key_name/DM3/category/Catalog/doc_file_id/49662/?file_category_id=4&item_id=195&is_series=1" (id 3) (at 0 2.54 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "connector SD microsd" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Micro SD Card Socket with card detection pins" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "microSD*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "Micro_SD_Card_Det_0_1" + (rectangle (start -7.62 -6.985) (end -5.08 -8.255) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type outline)) + ) + (rectangle (start -7.62 -4.445) (end -5.08 -5.715) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type outline)) + ) + (rectangle (start -7.62 -1.905) (end -5.08 -3.175) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type outline)) + ) + (rectangle (start -7.62 0.635) (end -5.08 -0.635) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type outline)) + ) + (rectangle (start -7.62 3.175) (end -5.08 1.905) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type outline)) + ) + (rectangle (start -7.62 5.715) (end -5.08 4.445) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type outline)) + ) + (rectangle (start -7.62 8.255) (end -5.08 6.985) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type outline)) + ) + (rectangle (start -7.62 10.795) (end -5.08 9.525) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type outline)) + ) + (polyline + (pts + (xy 16.51 15.24) + (xy 16.51 16.51) + (xy -19.05 16.51) + (xy -19.05 -16.51) + (xy 16.51 -16.51) + (xy 16.51 -8.89) + ) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -8.89 -8.89) + (xy -8.89 11.43) + (xy -1.27 11.43) + (xy 2.54 15.24) + (xy 3.81 15.24) + (xy 3.81 13.97) + (xy 6.35 13.97) + (xy 7.62 15.24) + (xy 20.32 15.24) + (xy 20.32 -8.89) + (xy -8.89 -8.89) + ) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "Micro_SD_Card_Det_1_1" + (pin bidirectional line (at -22.86 10.16 0) (length 3.81) + (name "DAT2" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -22.86 -10.16 0) (length 3.81) + (name "DET_A" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 -12.7 180) (length 3.81) + (name "SHIELD" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -22.86 7.62 0) (length 3.81) + (name "DAT3/CD" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -22.86 5.08 0) (length 3.81) + (name "CMD" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -22.86 2.54 0) (length 3.81) + (name "VDD" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -22.86 0 0) (length 3.81) + (name "CLK" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -22.86 -2.54 0) (length 3.81) + (name "VSS" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -22.86 -5.08 0) (length 3.81) + (name "DAT0" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -22.86 -7.62 0) (length 3.81) + (name "DAT1" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -22.86 -12.7 0) (length 3.81) + (name "DET_B" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Connector:TestPoint" (pin_numbers hide) (pin_names (offset 0.762) hide) (in_bom yes) (on_board yes) + (property "Reference" "TP" (id 0) (at 0 6.858 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "TestPoint" (id 1) (at 0 5.08 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 5.08 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 5.08 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "test point tp" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "test point" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "Pin* Test*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "TestPoint_0_1" + (circle (center 0 3.302) (radius 0.762) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "TestPoint_1_1" + (pin passive line (at 0 0 90) (length 2.54) + (name "1" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Connector:USB_C_Receptacle_USB2.0" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "J" (id 0) (at -10.16 19.05 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "USB_C_Receptacle_USB2.0" (id 1) (at 19.05 19.05 0) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "" (id 2) (at 3.81 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://www.usb.org/sites/default/files/documents/usb_type-c.zip" (id 3) (at 3.81 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "usb universal serial bus type-C USB2.0" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "USB 2.0-only Type-C Receptacle connector" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "USB*C*Receptacle*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "USB_C_Receptacle_USB2.0_0_0" + (rectangle (start -0.254 -17.78) (end 0.254 -16.764) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 10.16 -14.986) (end 9.144 -15.494) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 10.16 -12.446) (end 9.144 -12.954) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 10.16 -4.826) (end 9.144 -5.334) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 10.16 -2.286) (end 9.144 -2.794) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 10.16 0.254) (end 9.144 -0.254) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 10.16 2.794) (end 9.144 2.286) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 10.16 7.874) (end 9.144 7.366) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 10.16 10.414) (end 9.144 9.906) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 10.16 15.494) (end 9.144 14.986) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "USB_C_Receptacle_USB2.0_0_1" + (rectangle (start -10.16 17.78) (end 10.16 -17.78) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + (arc (start -8.89 -3.81) (mid -6.985 -5.715) (end -5.08 -3.81) + (stroke (width 0.508) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (arc (start -7.62 -3.81) (mid -6.985 -4.445) (end -6.35 -3.81) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (arc (start -7.62 -3.81) (mid -6.985 -4.445) (end -6.35 -3.81) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type outline)) + ) + (rectangle (start -7.62 -3.81) (end -6.35 3.81) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type outline)) + ) + (arc (start -6.35 3.81) (mid -6.985 4.445) (end -7.62 3.81) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (arc (start -6.35 3.81) (mid -6.985 4.445) (end -7.62 3.81) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type outline)) + ) + (arc (start -5.08 3.81) (mid -6.985 5.715) (end -8.89 3.81) + (stroke (width 0.508) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (circle (center -2.54 1.143) (radius 0.635) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type outline)) + ) + (circle (center 0 -5.842) (radius 1.27) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type outline)) + ) + (polyline + (pts + (xy -8.89 -3.81) + (xy -8.89 3.81) + ) + (stroke (width 0.508) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -5.08 3.81) + (xy -5.08 -3.81) + ) + (stroke (width 0.508) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 -5.842) + (xy 0 4.318) + ) + (stroke (width 0.508) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 -3.302) + (xy -2.54 -0.762) + (xy -2.54 0.508) + ) + (stroke (width 0.508) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 -2.032) + (xy 2.54 0.508) + (xy 2.54 1.778) + ) + (stroke (width 0.508) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.27 4.318) + (xy 0 6.858) + (xy 1.27 4.318) + (xy -1.27 4.318) + ) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type outline)) + ) + (rectangle (start 1.905 1.778) (end 3.175 3.048) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type outline)) + ) + ) + (symbol "USB_C_Receptacle_USB2.0_1_1" + (pin passive line (at 0 -22.86 90) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "A1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 0 -22.86 90) (length 5.08) hide + (name "GND" (effects (font (size 1.27 1.27)))) + (number "A12" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 15.24 15.24 180) (length 5.08) + (name "VBUS" (effects (font (size 1.27 1.27)))) + (number "A4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 15.24 10.16 180) (length 5.08) + (name "CC1" (effects (font (size 1.27 1.27)))) + (number "A5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 15.24 -2.54 180) (length 5.08) + (name "D+" (effects (font (size 1.27 1.27)))) + (number "A6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 15.24 2.54 180) (length 5.08) + (name "D-" (effects (font (size 1.27 1.27)))) + (number "A7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 15.24 -12.7 180) (length 5.08) + (name "SBU1" (effects (font (size 1.27 1.27)))) + (number "A8" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 15.24 15.24 180) (length 5.08) hide + (name "VBUS" (effects (font (size 1.27 1.27)))) + (number "A9" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 0 -22.86 90) (length 5.08) hide + (name "GND" (effects (font (size 1.27 1.27)))) + (number "B1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 0 -22.86 90) (length 5.08) hide + (name "GND" (effects (font (size 1.27 1.27)))) + (number "B12" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 15.24 15.24 180) (length 5.08) hide + (name "VBUS" (effects (font (size 1.27 1.27)))) + (number "B4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 15.24 7.62 180) (length 5.08) + (name "CC2" (effects (font (size 1.27 1.27)))) + (number "B5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 15.24 -5.08 180) (length 5.08) + (name "D+" (effects (font (size 1.27 1.27)))) + (number "B6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 15.24 0 180) (length 5.08) + (name "D-" (effects (font (size 1.27 1.27)))) + (number "B7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 15.24 -15.24 180) (length 5.08) + (name "SBU2" (effects (font (size 1.27 1.27)))) + (number "B8" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 15.24 15.24 180) (length 5.08) hide + (name "VBUS" (effects (font (size 1.27 1.27)))) + (number "B9" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -7.62 -22.86 90) (length 5.08) + (name "SHIELD" (effects (font (size 1.27 1.27)))) + (number "S1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Device:Battery_Cell" (pin_numbers hide) (pin_names (offset 0) hide) (in_bom yes) (on_board yes) + (property "Reference" "BT" (id 0) (at 2.54 2.54 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "Battery_Cell" (id 1) (at 2.54 0 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (id 2) (at 0 1.524 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 0 1.524 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "battery cell" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Single-cell battery" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "Battery_Cell_0_1" + (rectangle (start -2.286 1.778) (end 2.286 1.524) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type outline)) + ) + (rectangle (start -1.5748 1.1938) (end 1.4732 0.6858) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type outline)) + ) + (polyline + (pts + (xy 0 0.762) + (xy 0 0) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 1.778) + (xy 0 2.54) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0.508 3.429) + (xy 1.524 3.429) + ) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.016 3.937) + (xy 1.016 2.921) + ) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "Battery_Cell_1_1" + (pin passive line (at 0 5.08 270) (length 2.54) + (name "+" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 0 -2.54 90) (length 2.54) + (name "-" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Device:C" (pin_numbers hide) (pin_names (offset 0.254)) (in_bom yes) (on_board yes) + (property "Reference" "C" (id 0) (at 0.635 2.54 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "C" (id 1) (at 0.635 -2.54 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (id 2) (at 0.9652 -3.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "cap capacitor" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Unpolarized capacitor" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "C_*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "C_0_1" + (polyline + (pts + (xy -2.032 -0.762) + (xy 2.032 -0.762) + ) + (stroke (width 0.508) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -2.032 0.762) + (xy 2.032 0.762) + ) + (stroke (width 0.508) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "C_1_1" + (pin passive line (at 0 3.81 270) (length 2.794) + (name "~" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 0 -3.81 90) (length 2.794) + (name "~" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Device:Crystal" (pin_numbers hide) (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes) + (property "Reference" "Y" (id 0) (at 0 3.81 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "Crystal" (id 1) (at 0 -3.81 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "quartz ceramic resonator oscillator" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Two pin crystal" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "Crystal*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "Crystal_0_1" + (rectangle (start -1.143 2.54) (end 1.143 -2.54) + (stroke (width 0.3048) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -2.54 0) + (xy -1.905 0) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.905 -1.27) + (xy -1.905 1.27) + ) + (stroke (width 0.508) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.905 -1.27) + (xy 1.905 1.27) + ) + (stroke (width 0.508) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.54 0) + (xy 1.905 0) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "Crystal_1_1" + (pin passive line (at -3.81 0 0) (length 1.27) + (name "1" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 3.81 0 180) (length 1.27) + (name "2" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Device:L" (pin_numbers hide) (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes) + (property "Reference" "L" (id 0) (at -1.27 0 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "L" (id 1) (at 1.905 0 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "inductor choke coil reactor magnetic" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Inductor" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "Choke_* *Coil* Inductor_* L_*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "L_0_1" + (arc (start 0 -2.54) (mid 0.635 -1.905) (end 0 -1.27) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (arc (start 0 -1.27) (mid 0.635 -0.635) (end 0 0) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (arc (start 0 0) (mid 0.635 0.635) (end 0 1.27) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (arc (start 0 1.27) (mid 0.635 1.905) (end 0 2.54) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "L_1_1" + (pin passive line (at 0 3.81 270) (length 1.27) + (name "1" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 0 -3.81 90) (length 1.27) + (name "2" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Device:LED" (pin_numbers hide) (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes) + (property "Reference" "D" (id 0) (at 0 2.54 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "LED" (id 1) (at 0 -2.54 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "LED diode" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Light emitting diode" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "LED* LED_SMD:* LED_THT:*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "LED_0_1" + (polyline + (pts + (xy -1.27 -1.27) + (xy -1.27 1.27) + ) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.27 0) + (xy 1.27 0) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 -1.27) + (xy 1.27 1.27) + (xy -1.27 0) + (xy 1.27 -1.27) + ) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -3.048 -0.762) + (xy -4.572 -2.286) + (xy -3.81 -2.286) + (xy -4.572 -2.286) + (xy -4.572 -1.524) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.778 -0.762) + (xy -3.302 -2.286) + (xy -2.54 -2.286) + (xy -3.302 -2.286) + (xy -3.302 -1.524) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "LED_1_1" + (pin passive line (at -3.81 0 0) (length 2.54) + (name "K" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 3.81 0 180) (length 2.54) + (name "A" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Device:R" (pin_numbers hide) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "R" (id 0) (at 2.032 0 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "R" (id 1) (at 0 0 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at -1.778 0 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "R res resistor" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Resistor" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "R_*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "R_0_1" + (rectangle (start -1.016 -2.54) (end 1.016 2.54) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "R_1_1" + (pin passive line (at 0 3.81 270) (length 1.27) + (name "~" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 0 -3.81 90) (length 1.27) + (name "~" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Interface_USB:FT232H" (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at -19.05 35.56 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "FT232H" (id 1) (at 12.7 35.56 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://www.ftdichip.com/Support/Documents/DataSheets/ICs/DS_FT232H.pdf" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "FTDI USB Single UART FIFO" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Hi Speed Single Channel USB UART/FIFO, LQFP/QFN-48" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "QFN*1EP*8x8mm*P0.5mm* LQFP*7x7mm*P0.5mm*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "FT232H_0_1" + (rectangle (start -19.05 34.29) (end 19.05 -34.29) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "FT232H_1_1" + (pin input line (at -22.86 -12.7 0) (length 3.81) + (name "XCSI" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -2.54 -38.1 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -38.1 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 38.1 270) (length 3.81) + (name "VCCIO" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 30.48 180) (length 3.81) + (name "ADBUS0" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 27.94 180) (length 3.81) + (name "ADBUS1" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 25.4 180) (length 3.81) + (name "ADBUS2" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 22.86 180) (length 3.81) + (name "ADBUS3" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 20.32 180) (length 3.81) + (name "ADBUS4" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 17.78 180) (length 3.81) + (name "ADBUS5" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 15.24 180) (length 3.81) + (name "ADBUS6" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -22.86 -22.86 0) (length 3.81) + (name "XCSO" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 12.7 180) (length 3.81) + (name "ADBUS7" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 7.62 180) (length 3.81) + (name "ACBUS0" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 2.54 -38.1 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "22" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 5.08 -38.1 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 2.54 38.1 270) (length 3.81) + (name "VCCIO" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 5.08 180) (length 3.81) + (name "ACBUS1" (effects (font (size 1.27 1.27)))) + (number "25" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 2.54 180) (length 3.81) + (name "ACBUS2" (effects (font (size 1.27 1.27)))) + (number "26" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 0 180) (length 3.81) + (name "ACBUS3" (effects (font (size 1.27 1.27)))) + (number "27" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 -2.54 180) (length 3.81) + (name "ACBUS4" (effects (font (size 1.27 1.27)))) + (number "28" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 -5.08 180) (length 3.81) + (name "ACBUS5" (effects (font (size 1.27 1.27)))) + (number "29" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -5.08 38.1 270) (length 3.81) + (name "VPHY" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 -7.62 180) (length 3.81) + (name "ACBUS6" (effects (font (size 1.27 1.27)))) + (number "30" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 -10.16 180) (length 3.81) + (name "ACBUS7" (effects (font (size 1.27 1.27)))) + (number "31" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 -12.7 180) (length 3.81) + (name "ACBUS8" (effects (font (size 1.27 1.27)))) + (number "32" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 -15.24 180) (length 3.81) + (name "ACBUS9" (effects (font (size 1.27 1.27)))) + (number "33" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -22.86 7.62 0) (length 3.81) + (name "~{RESET}" (effects (font (size 1.27 1.27)))) + (number "34" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 7.62 -38.1 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "35" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 -38.1 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "36" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at -22.86 20.32 0) (length 3.81) + (name "VCCA" (effects (font (size 1.27 1.27)))) + (number "37" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at -22.86 22.86 0) (length 3.81) + (name "VCCCORE" (effects (font (size 1.27 1.27)))) + (number "38" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -22.86 25.4 0) (length 3.81) + (name "VCCD" (effects (font (size 1.27 1.27)))) + (number "39" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 -38.1 90) (length 3.81) + (name "AGND" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -22.86 30.48 0) (length 3.81) + (name "VREGIN" (effects (font (size 1.27 1.27)))) + (number "40" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -5.08 -38.1 90) (length 3.81) + (name "AGND" (effects (font (size 1.27 1.27)))) + (number "41" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -22.86 -27.94 0) (length 3.81) + (name "TEST" (effects (font (size 1.27 1.27)))) + (number "42" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -22.86 -7.62 0) (length 3.81) + (name "EEDATA" (effects (font (size 1.27 1.27)))) + (number "43" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -22.86 -5.08 0) (length 3.81) + (name "EECLK" (effects (font (size 1.27 1.27)))) + (number "44" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -22.86 -2.54 0) (length 3.81) + (name "EECS" (effects (font (size 1.27 1.27)))) + (number "45" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 5.08 38.1 270) (length 3.81) + (name "VCCIO" (effects (font (size 1.27 1.27)))) + (number "46" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 -38.1 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "47" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 15.24 -38.1 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "48" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -22.86 2.54 0) (length 3.81) + (name "REF" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -22.86 15.24 0) (length 3.81) + (name "DM" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -22.86 12.7 0) (length 3.81) + (name "DP" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -2.54 38.1 270) (length 3.81) + (name "VPLL" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -7.62 -38.1 90) (length 3.81) + (name "AGND" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Memory_EEPROM:93AAxxBT-xOT" (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at -7.62 6.35 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "93AAxxBT-xOT" (id 1) (at 1.27 6.35 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Package_TO_SOT_SMD:SOT-23-6" (id 2) (at 1.27 8.89 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "http://ww1.microchip.com/downloads/en/DeviceDoc/20001749K.pdf" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "EEPROM memory Microwire" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Serial EEPROM, 93 Series, 16-bit word, 1.8V, SOT-23" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "SOT?23*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "93AAxxBT-xOT_1_1" + (rectangle (start -7.62 5.08) (end 7.62 -5.08) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + (pin tri_state line (at 10.16 -2.54 180) (length 2.54) + (name "DO" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -7.62 90) (length 2.54) + (name "Vss" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 0 180) (length 2.54) + (name "DI" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 2.54 180) (length 2.54) + (name "CLK" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 2.54 0) (length 2.54) + (name "CS" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 7.62 270) (length 2.54) + (name "Vсс" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Memory_Flash:W25Q128JVS" (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at -8.89 8.89 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "W25Q128JVS" (id 1) (at 7.62 8.89 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "Package_SO:SOIC-8_5.23x5.23mm_P1.27mm" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "http://www.winbond.com/resource-files/w25q128jv_dtr%20revc%2003272018%20plus.pdf" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "flash memory SPI QPI DTR" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "128Mb Serial Flash Memory, Standard/Dual/Quad SPI, SOIC-8" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "SOIC*5.23x5.23mm*P1.27mm*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "W25Q128JVS_0_1" + (rectangle (start -10.16 7.62) (end 10.16 -7.62) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "W25Q128JVS_1_1" + (pin input line (at -12.7 2.54 0) (length 2.54) + (name "~{CS}" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 2.54 180) (length 2.54) + (name "DO(IO1)" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 -2.54 180) (length 2.54) + (name "IO2" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -10.16 90) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 5.08 180) (length 2.54) + (name "DI(IO0)" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 -2.54 0) (length 2.54) + (name "CLK" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 -5.08 180) (length 2.54) + (name "IO3" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 10.16 270) (length 2.54) + (name "VCC" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Memory_RAM:MT48LC16M16A2TG" (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at -8.89 34.29 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "MT48LC16M16A2TG" (id 1) (at 8.89 34.29 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "Package_SO:TSOP-II-54_22.2x10.16mm_P0.8mm" (id 2) (at 0 -35.56 0) + (effects (font (size 1.27 1.27) italic) hide) + ) + (property "Datasheet" "https://www.micron.com/-/media/client/global/documents/products/data-sheet/dram/256mb_sdr.pdf" (id 3) (at 0 -6.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "SDRAM Synchronus DRAM PC100 PC133 256Mb 16Mbx16 MEMORY" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "256M – (16M x 16 bit) Synchronous DRAM (SDRAM), TSOP-II-54" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "TSOP?II*22.2x10.16mm*P0.8mm*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "MT48LC16M16A2TG_0_1" + (rectangle (start -10.16 33.02) (end 10.16 -33.02) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "MT48LC16M16A2TG_1_1" + (pin power_in line (at -2.54 35.56 270) (length 2.54) + (name "VDD" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 17.78 180) (length 2.54) + (name "DQ5" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 15.24 180) (length 2.54) + (name "DQ6" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 0 -35.56 90) (length 2.54) hide + (name "VSSQ" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 12.7 180) (length 2.54) + (name "DQ7" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -2.54 35.56 270) (length 2.54) hide + (name "VDD" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 -20.32 0) (length 2.54) + (name "DQML" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 -25.4 0) (length 2.54) + (name "~{WE}" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 -27.94 0) (length 2.54) + (name "~{CAS}" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 -30.48 0) (length 2.54) + (name "~{RAS}" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 -12.7 0) (length 2.54) + (name "~{CS}" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 30.48 180) (length 2.54) + (name "DQ0" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 30.48 0) (length 2.54) + (name "BA0" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 27.94 0) (length 2.54) + (name "BA1" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 -2.54 0) (length 2.54) + (name "A10" (effects (font (size 1.27 1.27)))) + (number "22" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 22.86 0) (length 2.54) + (name "A0" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 20.32 0) (length 2.54) + (name "A1" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 17.78 0) (length 2.54) + (name "A2" (effects (font (size 1.27 1.27)))) + (number "25" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 15.24 0) (length 2.54) + (name "A3" (effects (font (size 1.27 1.27)))) + (number "26" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -2.54 35.56 270) (length 2.54) hide + (name "VDD" (effects (font (size 1.27 1.27)))) + (number "27" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -2.54 -35.56 90) (length 2.54) + (name "VSS" (effects (font (size 1.27 1.27)))) + (number "28" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 12.7 0) (length 2.54) + (name "A4" (effects (font (size 1.27 1.27)))) + (number "29" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 35.56 270) (length 2.54) + (name "VDDQ" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 10.16 0) (length 2.54) + (name "A5" (effects (font (size 1.27 1.27)))) + (number "30" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 7.62 0) (length 2.54) + (name "A6" (effects (font (size 1.27 1.27)))) + (number "31" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 5.08 0) (length 2.54) + (name "A7" (effects (font (size 1.27 1.27)))) + (number "32" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 2.54 0) (length 2.54) + (name "A8" (effects (font (size 1.27 1.27)))) + (number "33" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 0 0) (length 2.54) + (name "A9" (effects (font (size 1.27 1.27)))) + (number "34" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 -5.08 0) (length 2.54) + (name "A11" (effects (font (size 1.27 1.27)))) + (number "35" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 -7.62 0) (length 2.54) + (name "A12" (effects (font (size 1.27 1.27)))) + (number "36" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 -15.24 0) (length 2.54) + (name "CKE" (effects (font (size 1.27 1.27)))) + (number "37" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 -17.78 0) (length 2.54) + (name "CLK" (effects (font (size 1.27 1.27)))) + (number "38" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 -22.86 0) (length 2.54) + (name "DQMH" (effects (font (size 1.27 1.27)))) + (number "39" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 27.94 180) (length 2.54) + (name "DQ1" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 10.16 -20.32 180) (length 2.54) hide + (name "NC" (effects (font (size 1.27 1.27)))) + (number "40" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -2.54 -35.56 90) (length 2.54) hide + (name "VSS" (effects (font (size 1.27 1.27)))) + (number "41" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 10.16 180) (length 2.54) + (name "DQ8" (effects (font (size 1.27 1.27)))) + (number "42" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 0 35.56 270) (length 2.54) hide + (name "VDDQ" (effects (font (size 1.27 1.27)))) + (number "43" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 7.62 180) (length 2.54) + (name "DQ9" (effects (font (size 1.27 1.27)))) + (number "44" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 5.08 180) (length 2.54) + (name "DQ10" (effects (font (size 1.27 1.27)))) + (number "45" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 0 -35.56 90) (length 2.54) hide + (name "VSSQ" (effects (font (size 1.27 1.27)))) + (number "46" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 2.54 180) (length 2.54) + (name "DQ11" (effects (font (size 1.27 1.27)))) + (number "47" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 0 180) (length 2.54) + (name "DQ12" (effects (font (size 1.27 1.27)))) + (number "48" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 0 35.56 270) (length 2.54) hide + (name "VDDQ" (effects (font (size 1.27 1.27)))) + (number "49" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 25.4 180) (length 2.54) + (name "DQ2" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 -2.54 180) (length 2.54) + (name "DQ13" (effects (font (size 1.27 1.27)))) + (number "50" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 -5.08 180) (length 2.54) + (name "DQ14" (effects (font (size 1.27 1.27)))) + (number "51" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 0 -35.56 90) (length 2.54) hide + (name "VSSQ" (effects (font (size 1.27 1.27)))) + (number "52" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 -7.62 180) (length 2.54) + (name "DQ15" (effects (font (size 1.27 1.27)))) + (number "53" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -2.54 -35.56 90) (length 2.54) hide + (name "VSS" (effects (font (size 1.27 1.27)))) + (number "54" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -35.56 90) (length 2.54) + (name "VSSQ" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 22.86 180) (length 2.54) + (name "DQ3" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 20.32 180) (length 2.54) + (name "DQ4" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 0 35.56 270) (length 2.54) hide + (name "VDDQ" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Oscillator:XO32" (pin_names (offset 0.254)) (in_bom yes) (on_board yes) + (property "Reference" "X" (id 0) (at -5.08 6.35 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "XO32" (id 1) (at 1.27 -6.35 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Oscillator:Oscillator_SMD_EuroQuartz_XO32-4Pin_3.2x2.5mm" (id 2) (at 17.78 -8.89 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "http://cdn-reichelt.de/documents/datenblatt/B400/XO32.pdf" (id 3) (at -2.54 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "Crystal Clock Oscillator" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "HCMOS Clock Oscillator" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "Oscillator*SMD*EuroQuartz*XO32*3.2x2.5mm*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "XO32_0_1" + (rectangle (start -5.08 5.08) (end 5.08 -5.08) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + (polyline + (pts + (xy -1.27 -0.762) + (xy -1.016 -0.762) + (xy -1.016 0.762) + (xy -0.508 0.762) + (xy -0.508 -0.762) + (xy 0 -0.762) + (xy 0 0.762) + (xy 0.508 0.762) + (xy 0.508 -0.762) + (xy 0.762 -0.762) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "XO32_1_1" + (pin input line (at -7.62 0 0) (length 2.54) + (name "EN" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -7.62 90) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 7.62 0 180) (length 2.54) + (name "OUT" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 7.62 270) (length 2.54) + (name "V+" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Regulator_Linear:TC1262-33" (pin_names (offset 0.254)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at -3.81 3.175 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "TC1262-33" (id 1) (at 0 3.175 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (id 2) (at 0 5.715 0) + (effects (font (size 1.27 1.27) italic) hide) + ) + (property "Datasheet" "http://ww1.microchip.com/downloads/en/DeviceDoc/21373C.pdf" (id 3) (at 0 -7.62 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "Voltage Regulator 3.3V 500mA Positive CMOS LDO Microchip" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "500mA Low Dropout CMOS Voltage Regulator, Fixed Output 3.3V, TO-220/SOT-223/TO-263" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "SOT?223* TO?220* TO?263*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "TC1262-33_1_1" + (rectangle (start -5.08 1.905) (end 5.08 -5.08) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + (pin power_in line (at -7.62 0 0) (length 2.54) + (name "VI" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -7.62 90) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at 7.62 0 180) (length 2.54) + (name "VO" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Timer_RTC:MCP7940N-xST" (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at -6.35 8.89 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "MCP7940N-xST" (id 1) (at 11.43 8.89 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "http://ww1.microchip.com/downloads/en/DeviceDoc/20005010F.pdf" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "realtime clock RTC" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Real-Time Clock, I2C, Battery Backup, TSSOP-8" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "SOIC*3.9x4.9mm*P1.27mm* TSSOP*4.4x3mm*P0.65mm* MSOP*3x3mm*P0.65mm* DIP*W7.62mm*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "MCP7940N-xST_0_1" + (rectangle (start -7.62 7.62) (end 7.62 -7.62) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "MCP7940N-xST_1_1" + (pin input line (at 10.16 2.54 180) (length 2.54) + (name "X1" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 10.16 -2.54 180) (length 2.54) + (name "X2" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 2.54 10.16 270) (length 2.54) + (name "VBAT" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -10.16 90) (length 2.54) + (name "VSS" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -10.16 2.54 0) (length 2.54) + (name "SDA" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 5.08 0) (length 2.54) + (name "SCL" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin open_collector line (at -10.16 -2.54 0) (length 2.54) + (name "MFP" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 10.16 270) (length 2.54) + (name "VCC" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "power:+3V3" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (id 0) (at 0 -3.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (id 1) (at 0 3.556 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "power-flag" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"+3V3\"" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "+3V3_0_1" + (polyline + (pts + (xy -0.762 1.27) + (xy 0 2.54) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 0) + (xy 0 2.54) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 2.54) + (xy 0.762 1.27) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "+3V3_1_1" + (pin power_in line (at 0 0 90) (length 0) hide + (name "+3V3" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "power:+5V" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (id 0) (at 0 -3.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+5V" (id 1) (at 0 3.556 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "power-flag" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"+5V\"" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "+5V_0_1" + (polyline + (pts + (xy -0.762 1.27) + (xy 0 2.54) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 0) + (xy 0 2.54) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 2.54) + (xy 0.762 1.27) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "+5V_1_1" + (pin power_in line (at 0 0 90) (length 0) hide + (name "+5V" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "power:GND" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (id 0) (at 0 -6.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 0 -3.81 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "power-flag" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"GND\" , ground" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "GND_0_1" + (polyline + (pts + (xy 0 0) + (xy 0 -1.27) + (xy 1.27 -1.27) + (xy 0 -2.54) + (xy -1.27 -1.27) + (xy 0 -1.27) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "GND_1_1" + (pin power_in line (at 0 0 270) (length 0) hide + (name "GND" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "sc64v2:LCMXO2-7000Hx-xTG144x" (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 0 3.81 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "LCMXO2-7000Hx-xTG144x" (id 1) (at 0 1.27 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "Package_QFP:TQFP-144_20x20mm_P0.5mm" (id 2) (at 0 6.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at -1.27 -58.42 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_locked" "" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (symbol "LCMXO2-7000Hx-xTG144x_1_0" + (text "BANK 0" (at 0 -2.54 0) + (effects (font (size 1.27 1.27))) + ) + ) + (symbol "LCMXO2-7000Hx-xTG144x_1_1" + (rectangle (start -12.7 0) (end 12.7 -77.47) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + (pin bidirectional line (at -16.51 -6.35 0) (length 3.81) + (name "PT36D/DONE" (effects (font (size 1.27 1.27)))) + (number "109" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -8.89 0) (length 3.81) + (name "PT36C/INITN" (effects (font (size 1.27 1.27)))) + (number "110" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -11.43 0) (length 3.81) + (name "PT35B" (effects (font (size 1.27 1.27)))) + (number "111" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -13.97 0) (length 3.81) + (name "PT35A" (effects (font (size 1.27 1.27)))) + (number "112" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -16.51 0) (length 3.81) + (name "PT33B" (effects (font (size 1.27 1.27)))) + (number "113" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -19.05 0) (length 3.81) + (name "PT33A" (effects (font (size 1.27 1.27)))) + (number "114" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -21.59 0) (length 3.81) + (name "PT28B" (effects (font (size 1.27 1.27)))) + (number "115" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -24.13 0) (length 3.81) + (name "PT28A" (effects (font (size 1.27 1.27)))) + (number "117" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -26.67 0) (length 3.81) + (name "PT27D/PROGRAMN" (effects (font (size 1.27 1.27)))) + (number "119" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -29.21 0) (length 3.81) + (name "PT27C/JTAGENB" (effects (font (size 1.27 1.27)))) + (number "120" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -31.75 0) (length 3.81) + (name "PT25B" (effects (font (size 1.27 1.27)))) + (number "121" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -34.29 0) (length 3.81) + (name "PT25A" (effects (font (size 1.27 1.27)))) + (number "122" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -36.83 0) (length 3.81) + (name "PT22D/SDA/PCLKC0_0" (effects (font (size 1.27 1.27)))) + (number "125" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -39.37 0) (length 3.81) + (name "PT22C/SCL/PCLKT0_0" (effects (font (size 1.27 1.27)))) + (number "126" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -41.91 0) (length 3.81) + (name "PT18B/PCLKC0_1" (effects (font (size 1.27 1.27)))) + (number "127" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -44.45 0) (length 3.81) + (name "PT18A/PCLKT0_1" (effects (font (size 1.27 1.27)))) + (number "128" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -46.99 0) (length 3.81) + (name "PT17D/TMS" (effects (font (size 1.27 1.27)))) + (number "130" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -49.53 0) (length 3.81) + (name "PT17C/TCK" (effects (font (size 1.27 1.27)))) + (number "131" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -52.07 0) (length 3.81) + (name "PT15B" (effects (font (size 1.27 1.27)))) + (number "132" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -54.61 0) (length 3.81) + (name "PT15A" (effects (font (size 1.27 1.27)))) + (number "133" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -57.15 0) (length 3.81) + (name "PT14D/TDI" (effects (font (size 1.27 1.27)))) + (number "136" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -59.69 0) (length 3.81) + (name "PT14C/TDO" (effects (font (size 1.27 1.27)))) + (number "137" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -62.23 0) (length 3.81) + (name "PT11B" (effects (font (size 1.27 1.27)))) + (number "138" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -64.77 0) (length 3.81) + (name "PT11A" (effects (font (size 1.27 1.27)))) + (number "139" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -67.31 0) (length 3.81) + (name "PT10B" (effects (font (size 1.27 1.27)))) + (number "140" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -69.85 0) (length 3.81) + (name "PT10A" (effects (font (size 1.27 1.27)))) + (number "141" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -72.39 0) (length 3.81) + (name "PT9B" (effects (font (size 1.27 1.27)))) + (number "142" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -74.93 0) (length 3.81) + (name "PT9A" (effects (font (size 1.27 1.27)))) + (number "143" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "LCMXO2-7000Hx-xTG144x_2_0" + (text "BANK 1" (at 0 -2.54 0) + (effects (font (size 1.27 1.27))) + ) + ) + (symbol "LCMXO2-7000Hx-xTG144x_2_1" + (rectangle (start -12.7 0) (end 12.7 -80.01) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + (pin bidirectional line (at -16.51 -64.77 0) (length 3.81) + (name "PR5A" (effects (font (size 1.27 1.27)))) + (number "100" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -67.31 0) (length 3.81) + (name "PR4C" (effects (font (size 1.27 1.27)))) + (number "103" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -69.85 0) (length 3.81) + (name "PR3B/R_GPLLC_IN" (effects (font (size 1.27 1.27)))) + (number "104" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -72.39 0) (length 3.81) + (name "PR3A/R_GPLLT_IN" (effects (font (size 1.27 1.27)))) + (number "105" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -74.93 0) (length 3.81) + (name "PR2B/R_GPLLC_FB" (effects (font (size 1.27 1.27)))) + (number "106" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -77.47 0) (length 3.81) + (name "PR2A/R_GPLLT_FB" (effects (font (size 1.27 1.27)))) + (number "107" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -6.35 0) (length 3.81) + (name "PR24B" (effects (font (size 1.27 1.27)))) + (number "73" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -8.89 0) (length 3.81) + (name "PR24A" (effects (font (size 1.27 1.27)))) + (number "74" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -11.43 0) (length 3.81) + (name "PR23B" (effects (font (size 1.27 1.27)))) + (number "75" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -13.97 0) (length 3.81) + (name "PR23A" (effects (font (size 1.27 1.27)))) + (number "76" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -16.51 0) (length 3.81) + (name "PR21B" (effects (font (size 1.27 1.27)))) + (number "77" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -19.05 0) (length 3.81) + (name "PR21A" (effects (font (size 1.27 1.27)))) + (number "78" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -21.59 0) (length 3.81) + (name "PR18B" (effects (font (size 1.27 1.27)))) + (number "81" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -24.13 0) (length 3.81) + (name "PR18A" (effects (font (size 1.27 1.27)))) + (number "82" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -26.67 0) (length 3.81) + (name "PR17B" (effects (font (size 1.27 1.27)))) + (number "83" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -29.21 0) (length 3.81) + (name "PR17A" (effects (font (size 1.27 1.27)))) + (number "84" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -31.75 0) (length 3.81) + (name "PR16B" (effects (font (size 1.27 1.27)))) + (number "85" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -34.29 0) (length 3.81) + (name "PR16A" (effects (font (size 1.27 1.27)))) + (number "86" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -36.83 0) (length 3.81) + (name "PR15B" (effects (font (size 1.27 1.27)))) + (number "87" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -39.37 0) (length 3.81) + (name "PR15A" (effects (font (size 1.27 1.27)))) + (number "89" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -41.91 0) (length 3.81) + (name "PR12B/PCLKC1_0" (effects (font (size 1.27 1.27)))) + (number "91" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -44.45 0) (length 3.81) + (name "PR12A/PCLKT1_0" (effects (font (size 1.27 1.27)))) + (number "92" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -46.99 0) (length 3.81) + (name "PR11B" (effects (font (size 1.27 1.27)))) + (number "93" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -49.53 0) (length 3.81) + (name "PR11A" (effects (font (size 1.27 1.27)))) + (number "94" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -52.07 0) (length 3.81) + (name "PR9B" (effects (font (size 1.27 1.27)))) + (number "95" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -54.61 0) (length 3.81) + (name "PR9A" (effects (font (size 1.27 1.27)))) + (number "96" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -57.15 0) (length 3.81) + (name "PR7B" (effects (font (size 1.27 1.27)))) + (number "97" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -59.69 0) (length 3.81) + (name "PR7A" (effects (font (size 1.27 1.27)))) + (number "98" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -62.23 0) (length 3.81) + (name "PR5B" (effects (font (size 1.27 1.27)))) + (number "99" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "LCMXO2-7000Hx-xTG144x_3_0" + (text "BANK 2" (at 0 -2.54 0) + (effects (font (size 1.27 1.27))) + ) + ) + (symbol "LCMXO2-7000Hx-xTG144x_3_1" + (rectangle (start -12.7 0) (end 12.7 -80.01) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + (pin bidirectional line (at -16.51 -6.35 0) (length 3.81) + (name "PB4A" (effects (font (size 1.27 1.27)))) + (number "38" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -8.89 0) (length 3.81) + (name "PB4B" (effects (font (size 1.27 1.27)))) + (number "39" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -11.43 0) (length 3.81) + (name "PB6A/CSSPIN" (effects (font (size 1.27 1.27)))) + (number "40" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -13.97 0) (length 3.81) + (name "PB6B" (effects (font (size 1.27 1.27)))) + (number "41" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -16.51 0) (length 3.81) + (name "PB9A" (effects (font (size 1.27 1.27)))) + (number "42" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -19.05 0) (length 3.81) + (name "PB9B" (effects (font (size 1.27 1.27)))) + (number "43" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -21.59 0) (length 3.81) + (name "PB12A/MCLK/CCLK" (effects (font (size 1.27 1.27)))) + (number "44" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -24.13 0) (length 3.81) + (name "PB12B/SO/SPISO" (effects (font (size 1.27 1.27)))) + (number "45" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -26.67 0) (length 3.81) + (name "PB13A" (effects (font (size 1.27 1.27)))) + (number "47" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -29.21 0) (length 3.81) + (name "PB13B" (effects (font (size 1.27 1.27)))) + (number "48" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -31.75 0) (length 3.81) + (name "PB16A/PCLKT2_0" (effects (font (size 1.27 1.27)))) + (number "49" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -34.29 0) (length 3.81) + (name "PB16B/PCLKC2_0" (effects (font (size 1.27 1.27)))) + (number "50" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -36.83 0) (length 3.81) + (name "PB18A" (effects (font (size 1.27 1.27)))) + (number "52" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -39.37 0) (length 3.81) + (name "PB18B" (effects (font (size 1.27 1.27)))) + (number "54" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -41.91 0) (length 3.81) + (name "PB23A/PCLKT2_1" (effects (font (size 1.27 1.27)))) + (number "55" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -44.45 0) (length 3.81) + (name "PB23B/PCLKC2_1" (effects (font (size 1.27 1.27)))) + (number "56" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -46.99 0) (length 3.81) + (name "PB26A" (effects (font (size 1.27 1.27)))) + (number "57" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -49.53 0) (length 3.81) + (name "PB26B" (effects (font (size 1.27 1.27)))) + (number "58" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -52.07 0) (length 3.81) + (name "PB29A" (effects (font (size 1.27 1.27)))) + (number "59" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -54.61 0) (length 3.81) + (name "PB29B" (effects (font (size 1.27 1.27)))) + (number "60" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -57.15 0) (length 3.81) + (name "PB31A" (effects (font (size 1.27 1.27)))) + (number "61" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -59.69 0) (length 3.81) + (name "PB31B" (effects (font (size 1.27 1.27)))) + (number "62" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -62.23 0) (length 3.81) + (name "PB31D" (effects (font (size 1.27 1.27)))) + (number "63" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -64.77 0) (length 3.81) + (name "PB35A" (effects (font (size 1.27 1.27)))) + (number "65" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -67.31 0) (length 3.81) + (name "PB35B" (effects (font (size 1.27 1.27)))) + (number "67" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -69.85 0) (length 3.81) + (name "PB37A" (effects (font (size 1.27 1.27)))) + (number "68" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -72.39 0) (length 3.81) + (name "PB37B" (effects (font (size 1.27 1.27)))) + (number "69" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -74.93 0) (length 3.81) + (name "PB38A/SN" (effects (font (size 1.27 1.27)))) + (number "70" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -77.47 0) (length 3.81) + (name "PB38B/SI/SISPI" (effects (font (size 1.27 1.27)))) + (number "71" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "LCMXO2-7000Hx-xTG144x_4_0" + (text "BANK 3" (at 0 -2.54 0) + (effects (font (size 1.27 1.27))) + ) + ) + (symbol "LCMXO2-7000Hx-xTG144x_4_1" + (rectangle (start -12.7 0) (end 12.7 -29.21) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + (pin bidirectional line (at -16.51 -6.35 0) (length 3.81) + (name "PL19A" (effects (font (size 1.27 1.27)))) + (number "25" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -8.89 0) (length 3.81) + (name "PL19B" (effects (font (size 1.27 1.27)))) + (number "26" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -11.43 0) (length 3.81) + (name "PL22A/PCLKT3_0" (effects (font (size 1.27 1.27)))) + (number "27" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -13.97 0) (length 3.81) + (name "PL22B/PCLKC3_0" (effects (font (size 1.27 1.27)))) + (number "28" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -16.51 0) (length 3.81) + (name "PL23D" (effects (font (size 1.27 1.27)))) + (number "31" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -19.05 0) (length 3.81) + (name "PL24A" (effects (font (size 1.27 1.27)))) + (number "32" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -21.59 0) (length 3.81) + (name "PL24B" (effects (font (size 1.27 1.27)))) + (number "33" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -24.13 0) (length 3.81) + (name "PL25A" (effects (font (size 1.27 1.27)))) + (number "34" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -26.67 0) (length 3.81) + (name "PL25B" (effects (font (size 1.27 1.27)))) + (number "35" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "LCMXO2-7000Hx-xTG144x_5_0" + (text "BANK 4" (at 0 -2.54 0) + (effects (font (size 1.27 1.27))) + ) + ) + (symbol "LCMXO2-7000Hx-xTG144x_5_1" + (rectangle (start -12.7 0) (end 12.7 -31.75) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + (pin bidirectional line (at -16.51 -6.35 0) (length 3.81) + (name "PL10A" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -8.89 0) (length 3.81) + (name "PL10B" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -11.43 0) (length 3.81) + (name "PL11A" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -13.97 0) (length 3.81) + (name "PL11B" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -16.51 0) (length 3.81) + (name "PL12A/PCLKT4_0" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -19.05 0) (length 3.81) + (name "PL12B/PCLKC4_0" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -21.59 0) (length 3.81) + (name "PL15A" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -24.13 0) (length 3.81) + (name "PL15B" (effects (font (size 1.27 1.27)))) + (number "22" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -26.67 0) (length 3.81) + (name "PL17A" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -29.21 0) (length 3.81) + (name "PL17B" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "LCMXO2-7000Hx-xTG144x_6_0" + (text "BANK 5" (at 0 -2.54 0) + (effects (font (size 1.27 1.27))) + ) + ) + (symbol "LCMXO2-7000Hx-xTG144x_6_1" + (rectangle (start -12.7 0) (end 12.7 -31.75) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + (pin bidirectional line (at -16.51 -6.35 0) (length 3.81) + (name "PL3A/L_GPLLT_FB" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -24.13 0) (length 3.81) + (name "PL8B" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -26.67 0) (length 3.81) + (name "PL9A" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -29.21 0) (length 3.81) + (name "PL9B" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -8.89 0) (length 3.81) + (name "PL3B/L_GPLLC_FB" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -11.43 0) (length 3.81) + (name "PL4A/L_GPLLT_IN" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -13.97 0) (length 3.81) + (name "PL4B/L_GPLLC_IN" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -16.51 0) (length 3.81) + (name "PL6A/PCLKT5_0" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -19.05 0) (length 3.81) + (name "PL6B/PCLKC5_0" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 -21.59 0) (length 3.81) + (name "PL8A" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "LCMXO2-7000Hx-xTG144x_7_0" + (text "POWER" (at 0 -2.54 0) + (effects (font (size 1.27 1.27))) + ) + ) + (symbol "LCMXO2-7000Hx-xTG144x_7_1" + (rectangle (start -12.7 0) (end 12.7 -49.53) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + (pin power_in line (at -16.51 -39.37 0) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "101" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 16.51 -21.59 180) (length 3.81) + (name "VCCIO1" (effects (font (size 1.27 1.27)))) + (number "102" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -16.51 -11.43 0) (length 3.81) + (name "VCC" (effects (font (size 1.27 1.27)))) + (number "108" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -16.51 -41.91 0) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "116" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 16.51 -6.35 180) (length 3.81) + (name "VCCIO0" (effects (font (size 1.27 1.27)))) + (number "118" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 16.51 -8.89 180) (length 3.81) + (name "VCCIO0" (effects (font (size 1.27 1.27)))) + (number "123" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -16.51 -44.45 0) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "124" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at -16.51 -16.51 0) (length 3.81) hide + (name "NC" (effects (font (size 1.27 1.27)))) + (number "129" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -16.51 -46.99 0) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "134" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 16.51 -11.43 180) (length 3.81) + (name "VCCIO0" (effects (font (size 1.27 1.27)))) + (number "135" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -16.51 -13.97 0) (length 3.81) + (name "VCC" (effects (font (size 1.27 1.27)))) + (number "144" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 16.51 -41.91 180) (length 3.81) + (name "VCCIO4" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -16.51 -21.59 0) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -16.51 -24.13 0) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "29" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 16.51 -36.83 180) (length 3.81) + (name "VCCIO3" (effects (font (size 1.27 1.27)))) + (number "30" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -16.51 -6.35 0) (length 3.81) + (name "VCC" (effects (font (size 1.27 1.27)))) + (number "36" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 16.51 -26.67 180) (length 3.81) + (name "VCCIO2" (effects (font (size 1.27 1.27)))) + (number "37" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -16.51 -26.67 0) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "46" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 16.51 -29.21 180) (length 3.81) + (name "VCCIO2" (effects (font (size 1.27 1.27)))) + (number "51" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -16.51 -29.21 0) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "53" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -16.51 -31.75 0) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "64" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 16.51 -31.75 180) (length 3.81) + (name "VCCIO2" (effects (font (size 1.27 1.27)))) + (number "66" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 16.51 -46.99 180) (length 3.81) + (name "VCCIO5" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -16.51 -8.89 0) (length 3.81) + (name "VCC" (effects (font (size 1.27 1.27)))) + (number "72" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 16.51 -16.51 180) (length 3.81) + (name "VCCIO1" (effects (font (size 1.27 1.27)))) + (number "79" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -16.51 -19.05 0) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -16.51 -34.29 0) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "80" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 16.51 -19.05 180) (length 3.81) + (name "VCCIO1" (effects (font (size 1.27 1.27)))) + (number "88" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -16.51 -36.83 0) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "90" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "sc64v2:N64_Cartridge" (in_bom yes) (on_board yes) + (property "Reference" "J" (id 0) (at 0 3.81 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "N64_Cartridge" (id 1) (at 0 1.27 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "sc64v2:N64_Edge" (id 2) (at 0 6.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 -34.29 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "N64_Cartridge_0_1" + (rectangle (start -7.62 0) (end 7.62 -91.44) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "N64_Cartridge_1_1" + (pin power_out line (at -10.16 -22.86 0) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin output inverted (at 10.16 -50.8 180) (length 2.54) + (name "~{READ}" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -30.48 180) (length 2.54) + (name "AD11" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -27.94 180) (length 2.54) + (name "AD10" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at -10.16 -15.24 0) (length 2.54) + (name "12V" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at -10.16 -55.88 0) (length 2.54) + (name "KEY" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -25.4 180) (length 2.54) + (name "AD9" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -22.86 180) (length 2.54) + (name "AD8" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at -10.16 -5.08 0) (length 2.54) + (name "3V3" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -78.74 180) (length 2.54) + (name "CIC_DATA" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin output clock (at 10.16 -68.58 180) (length 2.54) + (name "PIF_CLK" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at -10.16 -25.4 0) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin output inverted (at 10.16 -58.42 180) (length 2.54) + (name "~{RESET}" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -71.12 180) (length 2.54) + (name "JOYBUS" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at -10.16 -30.48 0) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "22" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at -10.16 -33.02 0) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -86.36 180) (length 2.54) + (name "AUDIO_L" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at -10.16 -35.56 0) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "25" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at -10.16 -38.1 0) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "26" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at -10.16 -40.64 0) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "27" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -2.54 180) (length 2.54) + (name "AD0" (effects (font (size 1.27 1.27)))) + (number "28" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -5.08 180) (length 2.54) + (name "AD1" (effects (font (size 1.27 1.27)))) + (number "29" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -40.64 180) (length 2.54) + (name "AD15" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -7.62 180) (length 2.54) + (name "AD2" (effects (font (size 1.27 1.27)))) + (number "30" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at -10.16 -43.18 0) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "31" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -10.16 180) (length 2.54) + (name "AD3" (effects (font (size 1.27 1.27)))) + (number "32" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 10.16 -45.72 180) (length 2.54) + (name "ALEL" (effects (font (size 1.27 1.27)))) + (number "33" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at -10.16 -7.62 0) (length 2.54) + (name "3V3" (effects (font (size 1.27 1.27)))) + (number "34" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 10.16 -48.26 180) (length 2.54) + (name "ALEH" (effects (font (size 1.27 1.27)))) + (number "35" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -12.7 180) (length 2.54) + (name "AD4" (effects (font (size 1.27 1.27)))) + (number "36" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -15.24 180) (length 2.54) + (name "AD5" (effects (font (size 1.27 1.27)))) + (number "37" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at -10.16 -17.78 0) (length 2.54) + (name "12V" (effects (font (size 1.27 1.27)))) + (number "38" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at -10.16 -58.42 0) (length 2.54) + (name "KEY" (effects (font (size 1.27 1.27)))) + (number "39" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -38.1 180) (length 2.54) + (name "AD14" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -17.78 180) (length 2.54) + (name "AD6" (effects (font (size 1.27 1.27)))) + (number "40" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -20.32 180) (length 2.54) + (name "AD7" (effects (font (size 1.27 1.27)))) + (number "41" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at -10.16 -10.16 0) (length 2.54) + (name "3V3" (effects (font (size 1.27 1.27)))) + (number "42" (effects (font (size 1.27 1.27)))) + ) + (pin output clock (at 10.16 -76.2 180) (length 2.54) + (name "CIC_CLK" (effects (font (size 1.27 1.27)))) + (number "43" (effects (font (size 1.27 1.27)))) + ) + (pin output inverted (at 10.16 -63.5 180) (length 2.54) + (name "~{INT}" (effects (font (size 1.27 1.27)))) + (number "44" (effects (font (size 1.27 1.27)))) + ) + (pin output inverted (at 10.16 -60.96 180) (length 2.54) + (name "~{NMI}" (effects (font (size 1.27 1.27)))) + (number "45" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 10.16 -83.82 180) (length 2.54) + (name "VIDEO_SYNC" (effects (font (size 1.27 1.27)))) + (number "46" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at -10.16 -45.72 0) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "47" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at -10.16 -48.26 0) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "48" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -88.9 180) (length 2.54) + (name "AUDIO_R" (effects (font (size 1.27 1.27)))) + (number "49" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -35.56 180) (length 2.54) + (name "AD13" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at -10.16 -50.8 0) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "50" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at -10.16 -27.94 0) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -33.02 180) (length 2.54) + (name "AD12" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin output inverted (at 10.16 -53.34 180) (length 2.54) + (name "~{WRITE}" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at -10.16 -2.54 0) (length 2.54) + (name "3V3" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "sc64v2:STM32G030Fx" (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 0 3.81 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "STM32G030Fx" (id 1) (at 0 1.27 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "Package_SO:TSSOP-20_4.4x6.5mm_P0.65mm" (id 2) (at 0 6.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "STM32G030Fx_0_1" + (rectangle (start -21.59 0) (end 21.59 -27.94) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "STM32G030Fx_1_1" + (pin bidirectional line (at -25.4 -2.54 0) (length 3.81) + (name "PB7/PB8" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 -25.4 0) (length 3.81) + (name "PA3" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -25.4 180) (length 3.81) + (name "PA4" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -22.86 180) (length 3.81) + (name "PA5" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -20.32 180) (length 3.81) + (name "PA6" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -17.78 180) (length 3.81) + (name "PA7" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -15.24 180) (length 3.81) + (name "PB0/PB1/PB2/PA8" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -12.7 180) (length 3.81) + (name "PA11[PA9]" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -10.16 180) (length 3.81) + (name "PA12[PA10]" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -7.62 180) (length 3.81) + (name "PA13" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -5.08 180) (length 3.81) + (name "PA15/PA14-BOOT0" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 -5.08 0) (length 3.81) + (name "PB9/PC14-OSC32_IN" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -2.54 180) (length 3.81) + (name "PB3/PB4/PB5/PB6" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 -7.62 0) (length 3.81) + (name "PC15-OSC32_OUT" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -25.4 -10.16 0) (length 3.81) + (name "VDD/VDDA" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -25.4 -12.7 0) (length 3.81) + (name "VSS/VSSA" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -25.4 -15.24 0) (length 3.81) + (name "NRST" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 -17.78 0) (length 3.81) + (name "PA0" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 -20.32 0) (length 3.81) + (name "PA1" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 -22.86 0) (length 3.81) + (name "PA2" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "sc64v2:TC2050-FP" (in_bom no) (on_board yes) + (property "Reference" "J" (id 0) (at 0 3.81 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "TC2050-FP" (id 1) (at 0 1.27 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "sc64v2:TC2050-FP" (id 2) (at 0 6.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 -12.7 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "TC2050-FP_0_1" + (rectangle (start -6.35 0) (end 6.35 -15.24) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "TC2050-FP_1_1" + (pin output clock (at -8.89 -2.54 0) (length 2.54) + (name "SWCLK" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 8.89 -2.54 180) (length 2.54) + (name "TMS" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -8.89 -5.08 0) (length 2.54) + (name "SWDIO" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -8.89 -7.62 0) (length 2.54) + (name "RX" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -8.89 -10.16 0) (length 2.54) + (name "TX" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at -8.89 -12.7 0) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at 8.89 -12.7 180) (length 2.54) + (name "VCC" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 8.89 -10.16 180) (length 2.54) + (name "TDO" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 8.89 -7.62 180) (length 2.54) + (name "TDI" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin output clock (at 8.89 -5.08 180) (length 2.54) + (name "TCK" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "sc64v2:TPS2111A" (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 0 3.81 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "TPS2111A" (id 1) (at 0 1.27 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "Package_SO:TSSOP-8_4.4x3mm_P0.65mm" (id 2) (at 0 6.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "TPS2111A_0_1" + (rectangle (start -6.35 0) (end 6.35 -12.7) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "TPS2111A_1_1" + (pin input line (at -8.89 -2.54 0) (length 2.54) + (name "D0" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -8.89 -5.08 0) (length 2.54) + (name "D1" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -8.89 -7.62 0) (length 2.54) + (name "VSNS" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -8.89 -10.16 0) (length 2.54) + (name "ILM" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 8.89 -10.16 180) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 8.89 -7.62 180) (length 2.54) + (name "IN2" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at 8.89 -5.08 180) (length 2.54) + (name "OUT" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 8.89 -2.54 180) (length 2.54) + (name "IN1" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "sc64v2:Tactile_Switch" (pin_numbers hide) (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes) + (property "Reference" "SW1" (id 0) (at 0 7.62 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "Tactile_Switch" (id 1) (at 0 5.08 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "Button_Switch_SMD:SW_SPST_Omron_B3FS-101xP" (id 2) (at 0 5.08 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 0 5.08 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "switch normally-open pushbutton push-button" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Push button switch, generic, two pins" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "Tactile_Switch_0_1" + (circle (center -2.032 0) (radius 0.508) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -3.81 -1.27) + (xy -3.81 0) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 1.27) + (xy 0 3.048) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.54 1.27) + (xy -2.54 1.27) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 3.81 -1.27) + (xy 3.81 0) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (circle (center 2.032 0) (radius 0.508) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (pin passive line (at -5.08 0 0) (length 2.54) + (name "1" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -1.27 0) (length 1.27) + (name "2" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 5.08 0 180) (length 2.54) + (name "3" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 5.08 -1.27 180) (length 1.27) + (name "4" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + ) + ) + ) + + (junction (at 332.74 67.31) (diameter 0) (color 0 0 0 0) + (uuid 01a01df5-0b46-4fac-9897-34a0ddaeeda9) + ) + (junction (at 289.56 124.46) (diameter 0) (color 0 0 0 0) + (uuid 021e87ab-a462-4011-9118-da0936e96b82) + ) + (junction (at 289.56 156.21) (diameter 0) (color 0 0 0 0) + (uuid 067b503b-7502-49b2-8b42-0e67457de706) + ) + (junction (at 361.95 129.54) (diameter 0) (color 0 0 0 0) + (uuid 0876c29a-dc02-4a3e-83de-9dfe83c20291) + ) + (junction (at 162.56 39.37) (diameter 0) (color 0 0 0 0) + (uuid 09a79bdd-f2a4-47d0-aa16-498bb3985305) + ) + (junction (at 189.23 281.94) (diameter 0) (color 0 0 0 0) + (uuid 0bef939f-3efb-4b78-909a-927093db5974) + ) + (junction (at 151.13 391.16) (diameter 0) (color 0 0 0 0) + (uuid 0bf4bd6d-3768-430a-bc4e-55299c530f90) + ) + (junction (at 327.66 132.08) (diameter 0) (color 0 0 0 0) + (uuid 0c6134da-a34f-4f1a-aa10-90467792a677) + ) + (junction (at 289.56 129.54) (diameter 0) (color 0 0 0 0) + (uuid 0f97e26b-ae1b-4742-a792-ff5e5f027bd2) + ) + (junction (at 361.95 119.38) (diameter 0) (color 0 0 0 0) + (uuid 0fdcce59-3079-4b4d-96d4-ee4157213e63) + ) + (junction (at 349.25 156.21) (diameter 0) (color 0 0 0 0) + (uuid 10fa6232-f1d0-4b34-8733-b2a8d89c8eae) + ) + (junction (at 57.15 270.51) (diameter 0) (color 0 0 0 0) + (uuid 1148312a-52ee-4b9c-bd6a-70a00ff78c60) + ) + (junction (at 109.22 320.04) (diameter 0) (color 0 0 0 0) + (uuid 1307199d-eca0-448d-bb9c-0328bf086ae2) + ) + (junction (at 373.38 129.54) (diameter 0) (color 0 0 0 0) + (uuid 13c4e78e-75d8-4f1c-9f85-b797139a6ebc) + ) + (junction (at 21.59 105.41) (diameter 0) (color 0 0 0 0) + (uuid 14772c05-71ac-49b9-871b-f51aa154c838) + ) + (junction (at 97.79 391.16) (diameter 0) (color 0 0 0 0) + (uuid 15056d32-37ef-49c6-9b66-5ff1abd5e157) + ) + (junction (at 327.66 114.3) (diameter 0) (color 0 0 0 0) + (uuid 1815bdb6-b0ba-45b4-9fbb-1ae38cfd8b5a) + ) + (junction (at 349.25 111.76) (diameter 0) (color 0 0 0 0) + (uuid 185935ac-4528-4c39-bdf5-9290d12ef19c) + ) + (junction (at 21.59 146.05) (diameter 0) (color 0 0 0 0) + (uuid 189c5bf1-176d-4a02-952f-65e106f5a687) + ) + (junction (at 72.39 31.75) (diameter 0) (color 0 0 0 0) + (uuid 19c9f1b9-6941-466e-8982-3c65364a931c) + ) + (junction (at 107.95 147.32) (diameter 0) (color 0 0 0 0) + (uuid 1aab71cf-23c2-4726-886f-5d08c2c8669e) + ) + (junction (at 251.46 109.22) (diameter 0) (color 0 0 0 0) + (uuid 1c2479f7-61b4-411e-b3ad-9bdcc63124f0) + ) + (junction (at 327.66 139.7) (diameter 0) (color 0 0 0 0) + (uuid 1c51b6f7-32b7-4100-82ab-1ab3f4355c8d) + ) + (junction (at 238.76 279.4) (diameter 0) (color 0 0 0 0) + (uuid 1f808330-2f57-4fdb-b835-43facc5e250b) + ) + (junction (at 97.79 57.15) (diameter 0) (color 0 0 0 0) + (uuid 21bc181c-4356-4ec8-a5f3-5969e8e9b6a1) + ) + (junction (at 149.86 41.91) (diameter 0) (color 0 0 0 0) + (uuid 22c9184d-bf53-4b52-a480-7a5ab1fe83fe) + ) + (junction (at 251.46 259.08) (diameter 0) (color 0 0 0 0) + (uuid 253aaf8a-e1a8-4482-9374-529c8c7e3cac) + ) + (junction (at 161.29 294.64) (diameter 0) (color 0 0 0 0) + (uuid 26332541-4262-4753-a72b-66598060e2af) + ) + (junction (at 156.21 391.16) (diameter 0) (color 0 0 0 0) + (uuid 267fe0ad-a624-4db5-ad30-be8c6a5fb583) + ) + (junction (at 349.25 109.22) (diameter 0) (color 0 0 0 0) + (uuid 26db33d5-be2a-4669-b8bb-e32008637eec) + ) + (junction (at 57.15 293.37) (diameter 0) (color 0 0 0 0) + (uuid 278fdc4f-7300-458d-b390-e9536e138021) + ) + (junction (at 327.66 111.76) (diameter 0) (color 0 0 0 0) + (uuid 2923c641-70e9-4849-ac88-9cf9f9c9988e) + ) + (junction (at 158.75 391.16) (diameter 0) (color 0 0 0 0) + (uuid 29652de5-3ea6-4b6a-8a47-fa167855aafe) + ) + (junction (at 276.86 109.22) (diameter 0) (color 0 0 0 0) + (uuid 2a11264e-3704-4a65-9d75-ce2d8c9d323d) + ) + (junction (at 264.16 101.6) (diameter 0) (color 0 0 0 0) + (uuid 2a5ba203-7fba-4a65-aa51-198b66b7efbb) + ) + (junction (at 327.66 137.16) (diameter 0) (color 0 0 0 0) + (uuid 2c7c6954-8482-4e3d-8ee7-2df4084ef06d) + ) + (junction (at 327.66 101.6) (diameter 0) (color 0 0 0 0) + (uuid 2c7ea1c4-b973-4897-a594-78828a268f39) + ) + (junction (at 194.31 377.19) (diameter 0) (color 0 0 0 0) + (uuid 2f25f9bb-c963-4bb6-9b44-abbc5b146a83) + ) + (junction (at 21.59 130.81) (diameter 0) (color 0 0 0 0) + (uuid 2f7024c6-415c-4eb2-9d0e-f8275792bc10) + ) + (junction (at 327.66 134.62) (diameter 0) (color 0 0 0 0) + (uuid 3089d2dc-e34f-422e-b4c2-5df99b1bf381) + ) + (junction (at 468.63 29.21) (diameter 0) (color 0 0 0 0) + (uuid 36a98a9a-894b-4cd9-b7ff-2506699a8188) + ) + (junction (at 162.56 57.15) (diameter 0) (color 0 0 0 0) + (uuid 376a2a1a-6b20-4432-ac25-71a7f173eb4b) + ) + (junction (at 96.52 124.46) (diameter 0) (color 0 0 0 0) + (uuid 37c84047-7f1a-4d0a-8c56-19b292a69706) + ) + (junction (at 321.31 246.38) (diameter 0) (color 0 0 0 0) + (uuid 38deb217-e168-4b6e-9772-478e6103fbaf) + ) + (junction (at 57.15 313.69) (diameter 0) (color 0 0 0 0) + (uuid 39604d2b-2a3a-4b19-870d-f8bc12633c98) + ) + (junction (at 60.96 31.75) (diameter 0) (color 0 0 0 0) + (uuid 3affc072-285b-45b4-90ab-4fa15f230c34) + ) + (junction (at 327.66 116.84) (diameter 0) (color 0 0 0 0) + (uuid 3bb08c45-56ed-430e-a3d9-e1d35f983f7e) + ) + (junction (at 327.66 142.24) (diameter 0) (color 0 0 0 0) + (uuid 3c6b32d4-7089-46ff-8217-ac99d2c7a2f1) + ) + (junction (at 289.56 127) (diameter 0) (color 0 0 0 0) + (uuid 3d3d6eaf-16d2-4dc6-9f23-f1114e1ea2c3) + ) + (junction (at 327.66 119.38) (diameter 0) (color 0 0 0 0) + (uuid 3d5594a2-139c-45f3-a003-0305b53282e3) + ) + (junction (at 388.62 41.91) (diameter 0) (color 0 0 0 0) + (uuid 3d87cbb9-1fda-484c-ab5f-7b7a15b55643) + ) + (junction (at 21.59 153.67) (diameter 0) (color 0 0 0 0) + (uuid 3df81d83-b282-4dbb-85ac-32baea9d3953) + ) + (junction (at 264.16 279.4) (diameter 0) (color 0 0 0 0) + (uuid 3ee1c6cc-8563-4607-8f50-c79bcf951436) + ) + (junction (at 289.56 119.38) (diameter 0) (color 0 0 0 0) + (uuid 3f2cca3c-05c6-438e-80d5-2b468e2660cf) + ) + (junction (at 21.59 128.27) (diameter 0) (color 0 0 0 0) + (uuid 41ad8d69-417d-4a63-b5a1-8c38388af926) + ) + (junction (at 175.26 140.97) (diameter 0) (color 0 0 0 0) + (uuid 4341edb4-20cd-4871-aa18-c16163e72756) + ) + (junction (at 140.97 391.16) (diameter 0) (color 0 0 0 0) + (uuid 442416b6-5959-4c47-8d11-de06d44a9f72) + ) + (junction (at 317.5 29.21) (diameter 0) (color 0 0 0 0) + (uuid 44288ecb-311f-4420-a52f-6b5dadb28965) + ) + (junction (at 289.56 109.22) (diameter 0) (color 0 0 0 0) + (uuid 49b36bfe-1f8d-454a-bdaa-9ca7ff91908f) + ) + (junction (at 349.25 119.38) (diameter 0) (color 0 0 0 0) + (uuid 4a71ecb2-c7ae-4fc0-acb7-5a04dfa0cf08) + ) + (junction (at 201.93 281.94) (diameter 0) (color 0 0 0 0) + (uuid 4a96e51b-7a9b-47b3-9079-54b2c0b4cf4a) + ) + (junction (at 336.55 101.6) (diameter 0) (color 0 0 0 0) + (uuid 4b276402-6223-443a-ab0e-3617bce7ee45) + ) + (junction (at 373.38 119.38) (diameter 0) (color 0 0 0 0) + (uuid 516cb996-20a9-42f1-b047-c4cd421798f5) + ) + (junction (at 289.56 144.78) (diameter 0) (color 0 0 0 0) + (uuid 52f7faae-48f9-4893-8f0a-89270a6231b5) + ) + (junction (at 175.26 281.94) (diameter 0) (color 0 0 0 0) + (uuid 53d4fb9f-4335-4cfd-ae97-c64eb23f7c12) + ) + (junction (at 21.59 148.59) (diameter 0) (color 0 0 0 0) + (uuid 5944e207-e1f6-46b3-ba2e-e188e84aaabb) + ) + (junction (at 161.29 281.94) (diameter 0) (color 0 0 0 0) + (uuid 598c5e7b-1350-4174-b6ac-28f80c67b348) + ) + (junction (at 312.42 29.21) (diameter 0) (color 0 0 0 0) + (uuid 5add18f3-3198-4a99-99b7-a7ec12a9921a) + ) + (junction (at 436.88 59.69) (diameter 0) (color 0 0 0 0) + (uuid 5d6d2a30-9fc4-42d7-8078-31dd91c73555) + ) + (junction (at 289.56 106.68) (diameter 0) (color 0 0 0 0) + (uuid 5d76259a-0437-45e6-871f-07d69aa1cab0) + ) + (junction (at 332.74 41.91) (diameter 0) (color 0 0 0 0) + (uuid 5e395519-5b71-447f-a6f1-a2e740dca85e) + ) + (junction (at 48.26 57.15) (diameter 0) (color 0 0 0 0) + (uuid 61a66fe6-d4c7-472f-8c3a-d43b109ed382) + ) + (junction (at 161.29 391.16) (diameter 0) (color 0 0 0 0) + (uuid 649a582c-a537-43f8-96b9-b3cee77b193e) + ) + (junction (at 361.95 109.22) (diameter 0) (color 0 0 0 0) + (uuid 691b0fb9-d5e0-4539-ac76-5104f4346b81) + ) + (junction (at 21.59 143.51) (diameter 0) (color 0 0 0 0) + (uuid 6a4ff7db-905d-4301-8154-56f7dc158037) + ) + (junction (at 458.47 218.44) (diameter 0) (color 0 0 0 0) + (uuid 6b68902f-f616-4dff-84e3-b99055576c00) + ) + (junction (at 57.15 246.38) (diameter 0) (color 0 0 0 0) + (uuid 70a1ab00-aac4-4efc-bcde-068f89a6747a) + ) + (junction (at 458.47 198.12) (diameter 0) (color 0 0 0 0) + (uuid 7465f78e-2710-4c65-b865-2e0d4c04b430) + ) + (junction (at 21.59 107.95) (diameter 0) (color 0 0 0 0) + (uuid 74e12ff4-b3f4-4727-b57d-f4a30de78b2a) + ) + (junction (at 468.63 59.69) (diameter 0) (color 0 0 0 0) + (uuid 77005616-76c6-4a67-9bd7-30694ddc3c9a) + ) + (junction (at 35.56 31.75) (diameter 0) (color 0 0 0 0) + (uuid 789fc19f-dcd1-4884-8eab-debb7f454a28) + ) + (junction (at 96.52 121.92) (diameter 0) (color 0 0 0 0) + (uuid 78b21d75-1f34-4b52-9873-f2735f483ecc) + ) + (junction (at 21.59 135.89) (diameter 0) (color 0 0 0 0) + (uuid 7faf104d-8c09-4d53-8bbf-75e01682f7f1) + ) + (junction (at 125.73 391.16) (diameter 0) (color 0 0 0 0) + (uuid 80ebf886-0731-495f-9270-aee8b6ccc9a9) + ) + (junction (at 349.25 121.92) (diameter 0) (color 0 0 0 0) + (uuid 82bb3211-1fc4-4cf2-9ea0-611399bd0331) + ) + (junction (at 532.13 40.64) (diameter 0) (color 0 0 0 0) + (uuid 877ab6a2-ae9d-4416-9478-4654a379cd59) + ) + (junction (at 289.56 142.24) (diameter 0) (color 0 0 0 0) + (uuid 88446038-8183-41d3-98ae-9671de9e51cd) + ) + (junction (at 251.46 279.4) (diameter 0) (color 0 0 0 0) + (uuid 88e92c4a-9f33-41b5-9c6b-881c438af4c0) + ) + (junction (at 264.16 109.22) (diameter 0) (color 0 0 0 0) + (uuid 8a5fab85-5276-4493-bd66-9f6f4b5a0d74) + ) + (junction (at 327.66 106.68) (diameter 0) (color 0 0 0 0) + (uuid 8aff6a62-14ab-415c-984d-57cf7431a5b0) + ) + (junction (at 175.26 294.64) (diameter 0) (color 0 0 0 0) + (uuid 8d406281-5c6b-4c45-a394-e0aa999f7eef) + ) + (junction (at 91.44 260.35) (diameter 0) (color 0 0 0 0) + (uuid 90707fe6-5e58-42ce-ac8d-d29f2c48941f) + ) + (junction (at 156.21 281.94) (diameter 0) (color 0 0 0 0) + (uuid 9166284e-e018-4b0c-9b7d-8a3c947148cb) + ) + (junction (at 175.26 106.68) (diameter 0) (color 0 0 0 0) + (uuid 920b8550-1b59-443d-aab2-9fb480465d31) + ) + (junction (at 146.05 281.94) (diameter 0) (color 0 0 0 0) + (uuid 928f4b61-bc9b-4d7a-95c8-b828d0b8fa4c) + ) + (junction (at 332.74 29.21) (diameter 0) (color 0 0 0 0) + (uuid 92cb7657-2ca7-4a8c-9f74-5d6d57806183) + ) + (junction (at 175.26 39.37) (diameter 0) (color 0 0 0 0) + (uuid 937b223f-b949-4259-b4e6-04c9f804a32a) + ) + (junction (at 388.62 44.45) (diameter 0) (color 0 0 0 0) + (uuid 971e3898-305b-4aa2-87c0-153e227f3b20) + ) + (junction (at 78.74 262.89) (diameter 0) (color 0 0 0 0) + (uuid 9721516f-fdf0-46f7-bc85-0abde6a428df) + ) + (junction (at 143.51 391.16) (diameter 0) (color 0 0 0 0) + (uuid 97ec6635-2850-42ed-897a-d9c10f948a97) + ) + (junction (at 146.05 308.61) (diameter 0) (color 0 0 0 0) + (uuid 98474af4-a6a1-4979-bdb3-c124b1bf7932) + ) + (junction (at 129.54 57.15) (diameter 0) (color 0 0 0 0) + (uuid 9ba90a1b-885c-4de8-9f41-33a9f6d40cb2) + ) + (junction (at 336.55 121.92) (diameter 0) (color 0 0 0 0) + (uuid 9ba9eb53-0281-4378-92ba-948bb89aba7d) + ) + (junction (at 349.25 101.6) (diameter 0) (color 0 0 0 0) + (uuid 9d4d0e79-e485-42b1-b61e-cd5a13d4e2e4) + ) + (junction (at 166.37 391.16) (diameter 0) (color 0 0 0 0) + (uuid 9d5e87b8-86c0-469c-aabf-7bc2bcfb8e51) + ) + (junction (at 251.46 101.6) (diameter 0) (color 0 0 0 0) + (uuid a0f722a8-7e22-4950-a248-d1bf016e8c01) + ) + (junction (at 327.66 129.54) (diameter 0) (color 0 0 0 0) + (uuid a119cf93-d31d-45f0-a659-1b342307debc) + ) + (junction (at 153.67 391.16) (diameter 0) (color 0 0 0 0) + (uuid a6dfd77b-df24-49cf-82d2-35274bc8748c) + ) + (junction (at 289.56 104.14) (diameter 0) (color 0 0 0 0) + (uuid a77633d7-f807-4fb2-bbad-87270a64fa4c) + ) + (junction (at 78.74 147.32) (diameter 0) (color 0 0 0 0) + (uuid a7dcc5fd-5cf2-4d2f-8b3d-3ff6cd27d608) + ) + (junction (at 22.86 31.75) (diameter 0) (color 0 0 0 0) + (uuid a8005eea-0a09-4e2a-b0d8-54e3449c17cc) + ) + (junction (at 487.68 36.83) (diameter 0) (color 0 0 0 0) + (uuid a8de99bd-b42d-40d1-907f-bf331983b5c4) + ) + (junction (at 21.59 133.35) (diameter 0) (color 0 0 0 0) + (uuid ac4c8d6b-2d0a-4872-9c7c-98fba1cb2484) + ) + (junction (at 60.96 57.15) (diameter 0) (color 0 0 0 0) + (uuid af1bd19e-5444-46c5-ae6d-6f3e079af9eb) + ) + (junction (at 264.16 292.1) (diameter 0) (color 0 0 0 0) + (uuid b05b8271-8947-4750-ab51-7a839efa1efd) + ) + (junction (at 557.53 39.37) (diameter 0) (color 0 0 0 0) + (uuid b1414dbf-7968-4366-b718-4423940badef) + ) + (junction (at 21.59 110.49) (diameter 0) (color 0 0 0 0) + (uuid b27fa65f-2aa4-46b3-a8bc-fdd20d0d2735) + ) + (junction (at 289.56 137.16) (diameter 0) (color 0 0 0 0) + (uuid b45b8e9e-6211-4f5c-a670-40cde56f51f8) + ) + (junction (at 176.53 360.68) (diameter 0) (color 0 0 0 0) + (uuid b7121418-67fa-4a37-8d79-7507769d30b1) + ) + (junction (at 327.66 104.14) (diameter 0) (color 0 0 0 0) + (uuid b95848b4-804b-4cb9-9da3-e6fec5d80d5c) + ) + (junction (at 35.56 57.15) (diameter 0) (color 0 0 0 0) + (uuid bb047e6b-ecae-4074-a8b0-8426b49d6c89) + ) + (junction (at 175.26 109.22) (diameter 0) (color 0 0 0 0) + (uuid bdc4c563-1d47-460a-a7b4-3995acec3b65) + ) + (junction (at 391.16 132.08) (diameter 0) (color 0 0 0 0) + (uuid c098c4d2-01b6-4a29-8999-7c2010c651c7) + ) + (junction (at 148.59 307.34) (diameter 0) (color 0 0 0 0) + (uuid c1362b53-57eb-402a-81b7-dc677125cb7b) + ) + (junction (at 276.86 101.6) (diameter 0) (color 0 0 0 0) + (uuid c1ef9382-38bd-4573-a89f-2225d0979ab0) + ) + (junction (at 336.55 156.21) (diameter 0) (color 0 0 0 0) + (uuid c2d7098f-f838-4cd7-8a03-f934551165f3) + ) + (junction (at 289.56 101.6) (diameter 0) (color 0 0 0 0) + (uuid c33f556b-333e-496f-ba5d-c31cf204d788) + ) + (junction (at 289.56 134.62) (diameter 0) (color 0 0 0 0) + (uuid c666db4d-8b65-4953-a806-acba160dd15a) + ) + (junction (at 57.15 250.19) (diameter 0) (color 0 0 0 0) + (uuid c706bc55-761c-430a-8a96-50cfaab906df) + ) + (junction (at 151.13 281.94) (diameter 0) (color 0 0 0 0) + (uuid c8f17c30-a4f6-46fa-aabd-8086ab44b007) + ) + (junction (at 349.25 129.54) (diameter 0) (color 0 0 0 0) + (uuid cecea4f3-a169-4b18-9802-7ef02041fb0d) + ) + (junction (at 175.26 57.15) (diameter 0) (color 0 0 0 0) + (uuid d06d1570-eec8-451b-8887-a60071a8d84b) + ) + (junction (at 146.05 391.16) (diameter 0) (color 0 0 0 0) + (uuid d085dd98-05f6-4752-9183-44f5a2482eea) + ) + (junction (at 510.54 52.07) (diameter 0) (color 0 0 0 0) + (uuid d1b4adc4-b3ef-40dd-a098-6f055555de18) + ) + (junction (at 289.56 132.08) (diameter 0) (color 0 0 0 0) + (uuid d23d5b19-5f52-4eae-be79-b808d21c9cc1) + ) + (junction (at 289.56 121.92) (diameter 0) (color 0 0 0 0) + (uuid d64c3fa3-223d-4545-bf13-3b7f3351610a) + ) + (junction (at 487.68 52.07) (diameter 0) (color 0 0 0 0) + (uuid d6ab2efd-7f7b-4405-baf0-1d6d075d8a0d) + ) + (junction (at 106.68 57.15) (diameter 0) (color 0 0 0 0) + (uuid d711797c-888a-4c7b-89df-5ef421172fab) + ) + (junction (at 148.59 391.16) (diameter 0) (color 0 0 0 0) + (uuid d7f4a504-b325-4a83-a3e5-e5805b4ed834) + ) + (junction (at 175.26 134.62) (diameter 0) (color 0 0 0 0) + (uuid d8dd90a1-76d6-4539-b08f-8037f79a747a) + ) + (junction (at 163.83 391.16) (diameter 0) (color 0 0 0 0) + (uuid ded89fb4-7045-4676-884e-b9cf3ac49e80) + ) + (junction (at 321.31 322.58) (diameter 0) (color 0 0 0 0) + (uuid dfd18fab-c075-43df-85ec-baf2716cca66) + ) + (junction (at 215.9 294.64) (diameter 0) (color 0 0 0 0) + (uuid e094de95-fd4d-440d-9bf0-47188bd3d09d) + ) + (junction (at 251.46 292.1) (diameter 0) (color 0 0 0 0) + (uuid e169b00e-ce5c-4bcf-ac53-6eeaa0832fc6) + ) + (junction (at 332.74 71.12) (diameter 0) (color 0 0 0 0) + (uuid e172424e-7bde-4064-bed7-608f57481aba) + ) + (junction (at 173.99 137.16) (diameter 0) (color 0 0 0 0) + (uuid e20d3f10-88a6-48cb-bd71-05636f82a982) + ) + (junction (at 148.59 140.97) (diameter 0) (color 0 0 0 0) + (uuid e25ae11e-2d4c-4183-bf19-5432810f78c3) + ) + (junction (at 289.56 139.7) (diameter 0) (color 0 0 0 0) + (uuid e4b0ffbd-b8cb-48ff-9f1f-146cc75301c2) + ) + (junction (at 361.95 156.21) (diameter 0) (color 0 0 0 0) + (uuid e668acb9-a1b8-4f59-869b-ca2bb6dba3da) + ) + (junction (at 336.55 111.76) (diameter 0) (color 0 0 0 0) + (uuid e7016aef-e8a8-421f-bcda-0b1e3086770d) + ) + (junction (at 21.59 151.13) (diameter 0) (color 0 0 0 0) + (uuid e939cd7e-46c5-41d3-8782-c57e1c4d2ba5) + ) + (junction (at 153.67 281.94) (diameter 0) (color 0 0 0 0) + (uuid e9b9ae69-12de-44af-9fc4-6e79f0f4ba71) + ) + (junction (at 256.54 54.61) (diameter 0) (color 0 0 0 0) + (uuid ec3765c8-2873-4bc7-bd25-9fad10489379) + ) + (junction (at 256.54 29.21) (diameter 0) (color 0 0 0 0) + (uuid ec482c3d-56cf-4e74-84b2-af78a3f43df7) + ) + (junction (at 87.63 41.91) (diameter 0) (color 0 0 0 0) + (uuid ef48777c-d451-4808-9a53-8cac8d50684b) + ) + (junction (at 327.66 124.46) (diameter 0) (color 0 0 0 0) + (uuid ef695a9f-8806-47f3-b31a-0b4c382e0668) + ) + (junction (at 189.23 294.64) (diameter 0) (color 0 0 0 0) + (uuid ef7a598a-9395-469e-8b8c-58b8cd80766a) + ) + (junction (at 238.76 259.08) (diameter 0) (color 0 0 0 0) + (uuid f0a93585-3b12-434e-8bef-c0ee3207fc55) + ) + (junction (at 21.59 140.97) (diameter 0) (color 0 0 0 0) + (uuid f1ccb0b7-c464-4742-9f6f-db88ee77f064) + ) + (junction (at 148.59 281.94) (diameter 0) (color 0 0 0 0) + (uuid f32bf030-4978-4b2f-8ee4-0f2bb7e2715f) + ) + (junction (at 109.22 281.94) (diameter 0) (color 0 0 0 0) + (uuid f466cf66-a7e9-43dd-b352-d740b94782ee) + ) + (junction (at 327.66 109.22) (diameter 0) (color 0 0 0 0) + (uuid f56b0725-003e-42fc-b871-347c7f46ec8a) + ) + (junction (at 21.59 138.43) (diameter 0) (color 0 0 0 0) + (uuid f5849d34-d2a5-407b-84bc-749e4768fdf4) + ) + (junction (at 238.76 292.1) (diameter 0) (color 0 0 0 0) + (uuid f5f2faab-609a-4b36-b91d-3b5a76c2ad89) + ) + (junction (at 109.22 391.16) (diameter 0) (color 0 0 0 0) + (uuid f68f007c-44ba-4121-b67c-3fd489146eaf) + ) + (junction (at 327.66 127) (diameter 0) (color 0 0 0 0) + (uuid f8f2bb2a-6844-463e-a86a-2702cd0d4049) + ) + (junction (at 201.93 294.64) (diameter 0) (color 0 0 0 0) + (uuid f973c884-f2e1-42dc-8c12-4db19b37f44e) + ) + (junction (at 238.76 246.38) (diameter 0) (color 0 0 0 0) + (uuid fa8f7e9d-b969-4cd6-949a-4c3607e434eb) + ) + (junction (at 109.22 325.12) (diameter 0) (color 0 0 0 0) + (uuid fc9fa9c8-230e-49ef-afa3-fd3fcc240c86) + ) + (junction (at 251.46 246.38) (diameter 0) (color 0 0 0 0) + (uuid fd2c12b7-f305-4f4b-b820-02e56f0bb267) + ) + (junction (at 148.59 106.68) (diameter 0) (color 0 0 0 0) + (uuid ff7fe37e-fcb9-40c5-85f0-dfe0cdc0b7ef) + ) + (junction (at 327.66 121.92) (diameter 0) (color 0 0 0 0) + (uuid fff5b923-4b84-45c8-b38e-789cdb5ecac2) + ) + + (no_connect (at 44.45 191.77) (uuid 422d173e-0bf6-4c28-9f2f-52df07530698)) + (no_connect (at 44.45 189.23) (uuid 422d173e-0bf6-4c28-9f2f-52df07530699)) + (no_connect (at 24.13 118.11) (uuid 5250a80a-ed3f-4b43-b39b-02a8128ab4e8)) + (no_connect (at 24.13 120.65) (uuid 5250a80a-ed3f-4b43-b39b-02a8128ab4e9)) + (no_connect (at 335.28 46.99) (uuid 7f8c11cd-68e3-4700-93d0-9a94774a0c6a)) + (no_connect (at 109.22 36.83) (uuid 9c5b3b34-e607-44c2-91b7-d8fafa857323)) + (no_connect (at 544.83 40.64) (uuid 9d7180e6-0e24-4e80-bbf9-8513790f2687)) + (no_connect (at 173.99 363.22) (uuid aa6e0981-f106-475c-a08c-e00e12995735)) + (no_connect (at 173.99 365.76) (uuid aa6e0981-f106-475c-a08c-e00e12995736)) + (no_connect (at 93.98 134.62) (uuid d56af92c-a75c-4a32-aa48-ab3394145b68)) + (no_connect (at 93.98 137.16) (uuid d56af92c-a75c-4a32-aa48-ab3394145b69)) + (no_connect (at 49.53 303.53) (uuid d56af92c-a75c-4a32-aa48-ab3394145b6a)) + (no_connect (at 128.27 373.38) (uuid d56af92c-a75c-4a32-aa48-ab3394145b6b)) + (no_connect (at 450.85 208.28) (uuid d56af92c-a75c-4a32-aa48-ab3394145b6c)) + + (wire (pts (xy 106.68 39.37) (xy 106.68 57.15)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 000443ed-d678-4930-ab7e-4b9b2fe7ac32) + ) + (wire (pts (xy 332.74 39.37) (xy 332.74 41.91)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 00886c3d-1236-4d1d-b817-95969ffbbe76) + ) + (wire (pts (xy 440.69 165.1) (xy 443.23 165.1)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 00ff7824-f09d-4528-99cd-c67f968fe5b7) + ) + (wire (pts (xy 389.89 147.32) (xy 392.43 147.32)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 01415f01-21a1-4a0b-aaa2-6ab648273e6c) + ) + (wire (pts (xy 389.89 114.3) (xy 392.43 114.3)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 01e8b31f-214a-44c4-9719-6aec5509bd4b) + ) + (wire (pts (xy 391.16 95.25) (xy 391.16 97.79)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 01ea9e5d-530b-474c-94fd-3899fe26950f) + ) + (wire (pts (xy 78.74 255.27) (xy 78.74 262.89)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 02263caa-3d6f-4a42-9965-93f18052319a) + ) + (wire (pts (xy 336.55 289.56) (xy 341.63 289.56)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 027f2d50-0327-42b6-84e8-f5308b4bed48) + ) + (wire (pts (xy 542.29 127) (xy 544.83 127)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 0290eb84-4db4-4974-8f5e-0f67d58adc92) + ) + (wire (pts (xy 336.55 287.02) (xy 341.63 287.02)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 03353e5b-7140-453e-8150-5d0b01a3f2d5) + ) + (wire (pts (xy 173.99 347.98) (xy 179.07 347.98)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 03724d29-3c88-465e-b3ec-f0b30d955c73) + ) + (wire (pts (xy 386.08 44.45) (xy 388.62 44.45)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 03769928-418d-4f0d-a712-c1cb32f110fc) + ) + (wire (pts (xy 93.98 106.68) (xy 96.52 106.68)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 03dcf228-c5a6-40ff-8b1b-84721225a1de) + ) + (wire (pts (xy 264.16 259.08) (xy 264.16 256.54)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 04036cdc-b474-4596-90e4-d01fd41e4bc2) + ) + (wire (pts (xy 317.5 29.21) (xy 332.74 29.21)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 05442cee-ebf6-4e12-93df-865c45fa840f) + ) + (wire (pts (xy 336.55 101.6) (xy 349.25 101.6)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 05d2eb8c-1da7-4312-86e8-a316bf739909) + ) + (wire (pts (xy 21.59 135.89) (xy 21.59 138.43)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 060c82e2-94c8-42ac-a3f1-50d9180857eb) + ) + (wire (pts (xy 201.93 281.94) (xy 201.93 284.48)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 064f33a5-6e4d-450b-b44b-1c6c89a905db) + ) + (wire (pts (xy 389.89 134.62) (xy 392.43 134.62)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 06f069f5-ecad-422c-ac99-816708bce908) + ) + (wire (pts (xy 542.29 129.54) (xy 544.83 129.54)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 072b7ed6-1860-4ea6-aac8-5789ce58b77a) + ) + (wire (pts (xy 125.73 342.9) (xy 128.27 342.9)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 075788a9-014c-4b37-a775-98560253c0bc) + ) + (wire (pts (xy 22.86 31.75) (xy 35.56 31.75)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 079fa981-e700-4df5-b1bf-fc2721b89e4f) + ) + (wire (pts (xy 468.63 54.61) (xy 468.63 59.69)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 089b1079-59e4-4dbe-b097-44b6089f61d0) + ) + (wire (pts (xy 491.49 175.26) (xy 494.03 175.26)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 09a5de35-dfb8-4623-b595-73a8b14e9d72) + ) + (wire (pts (xy 386.08 52.07) (xy 391.16 52.07)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 09b298e3-99e4-498e-97c5-ab7d3ef36c5d) + ) + (wire (pts (xy 306.07 302.26) (xy 311.15 302.26)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 09b94a1a-ab54-40fe-abc8-2753772a3530) + ) + (wire (pts (xy 148.59 281.94) (xy 146.05 281.94)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 09c985a0-4811-4a60-95c0-6adc7bba2893) + ) + (wire (pts (xy 148.59 307.34) (xy 148.59 312.42)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 0a76f029-2321-40b6-a7e2-dd846f134cb9) + ) + (wire (pts (xy 97.79 44.45) (xy 109.22 44.45)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 0aa1c3aa-6480-46b9-a240-730e7e1b25e5) + ) + (polyline (pts (xy 513.08 17.78) (xy 513.08 81.28)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 0b03665f-06c0-42a0-9a13-5de8bec2e870) + ) + + (wire (pts (xy 389.89 172.72) (xy 392.43 172.72)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 0b30ffb0-98ee-4b35-b1c4-ebf43a582e11) + ) + (wire (pts (xy 238.76 243.84) (xy 238.76 246.38)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 0b5f1840-f047-4fd9-b506-177ef16e69c1) + ) + (wire (pts (xy 238.76 39.37) (xy 243.84 39.37)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 0b5fe6a0-6cf5-484c-b216-162f7efc67b1) + ) + (polyline (pts (xy 295.91 17.78) (xy 406.4 17.78)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 0b791546-451e-4b96-bc31-ba6158be1a58) + ) + + (wire (pts (xy 481.33 52.07) (xy 487.68 52.07)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 0b9c3f24-79f0-48c5-ae20-ae0c0ac83ec5) + ) + (wire (pts (xy 125.73 347.98) (xy 128.27 347.98)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 0beb955f-cdef-424f-99b9-4de995662827) + ) + (wire (pts (xy 325.12 134.62) (xy 327.66 134.62)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 0bffe2cb-ac5e-432c-b1fc-49bac7f1cfa8) + ) + (wire (pts (xy 83.82 391.16) (xy 97.79 391.16)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 0cdba789-3d32-4995-b880-fee5c6998371) + ) + (wire (pts (xy 542.29 149.86) (xy 544.83 149.86)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 0d464c01-8b99-4d9e-832c-5b22a528d157) + ) + (wire (pts (xy 238.76 276.86) (xy 238.76 279.4)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 0dc6938e-c44d-46d0-ba2c-dc0b20339124) + ) + (wire (pts (xy 491.49 160.02) (xy 494.03 160.02)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 0dcce899-fbfc-4cd6-8da6-aa97dd306183) + ) + (wire (pts (xy 383.54 71.12) (xy 388.62 71.12)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 0f94dfdb-9c5b-4291-a881-12ea2c1e8615) + ) + (wire (pts (xy 361.95 156.21) (xy 373.38 156.21)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 0fde4132-0b1b-49a2-b5b9-178a11361425) + ) + (wire (pts (xy 373.38 109.22) (xy 373.38 119.38)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 0ffa3cf7-e717-42fd-86be-881c253e9a3b) + ) + (wire (pts (xy 276.86 109.22) (xy 276.86 156.21)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 1010ed8e-d741-44c6-8c3a-d0eadf341e3b) + ) + (wire (pts (xy 57.15 290.83) (xy 57.15 293.37)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 10dbc38b-43d6-4479-931c-caa5e8e2a5eb) + ) + (wire (pts (xy 162.56 109.22) (xy 158.75 109.22)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 11e6359c-d7b4-4fdd-8736-3db873da08ba) + ) + (wire (pts (xy 491.49 114.3) (xy 494.03 114.3)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 11f4a0a5-f244-482b-ac10-3b841a4c25d4) + ) + (wire (pts (xy 321.31 322.58) (xy 323.85 322.58)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 120dfbed-1c68-4015-9450-186217e21313) + ) + (wire (pts (xy 306.07 284.48) (xy 311.15 284.48)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 1231745c-d43d-4680-8964-204b40a3cc5d) + ) + (wire (pts (xy 44.45 138.43) (xy 46.99 138.43)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 12446cd6-2ad4-4c3a-8928-b5938a76b0b6) + ) + (wire (pts (xy 458.47 215.9) (xy 458.47 218.44)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 125143b2-0ed3-4c9b-92e2-ff7e46a8619a) + ) + (wire (pts (xy 264.16 246.38) (xy 264.16 248.92)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 128c2130-1a3f-422f-a152-db364a3c555f) + ) + (wire (pts (xy 78.74 144.78) (xy 78.74 147.32)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 12c96c5d-fb72-4da0-ad9d-e9c7ce612360) + ) + (wire (pts (xy 238.76 279.4) (xy 251.46 279.4)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 134beff2-840f-4b6e-ac96-7ae69d9ed400) + ) + (wire (pts (xy 93.98 111.76) (xy 116.84 111.76)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 136776ca-a05c-4a68-8504-161e86f13f22) + ) + (wire (pts (xy 308.61 246.38) (xy 321.31 246.38)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 13915e9d-d96f-4083-a61b-ff9c96a6d53e) + ) + (polyline (pts (xy 228.6 402.59) (xy 17.78 402.59)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 13b51491-cf16-4475-b097-1d1eb656e344) + ) + + (wire (pts (xy 148.59 106.68) (xy 175.26 106.68)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 13be7fdb-737a-4e60-8e42-414274e642c7) + ) + (wire (pts (xy 336.55 261.62) (xy 341.63 261.62)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 13cc885a-b3eb-4151-b7de-4e76b1845ab9) + ) + (wire (pts (xy 440.69 180.34) (xy 443.23 180.34)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 13f2fc45-2e75-4bc5-819a-2574b040ad9b) + ) + (wire (pts (xy 289.56 124.46) (xy 289.56 127)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 13fd4616-2d49-4406-be8f-0ff5b82c2f9f) + ) + (wire (pts (xy 332.74 71.12) (xy 375.92 71.12)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 140092ab-e685-4b4f-9b97-9ac6557e5297) + ) + (polyline (pts (xy 233.68 86.36) (xy 233.68 229.87)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 14187d13-05be-4a54-a5fa-095f99d140b1) + ) + + (wire (pts (xy 256.54 54.61) (xy 214.63 54.61)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 14547bda-22b7-436a-8a76-25312d90db4c) + ) + (wire (pts (xy 330.2 59.69) (xy 335.28 59.69)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 1479c99f-b3e5-42a3-af1e-f4b4fb3fbcd5) + ) + (wire (pts (xy 175.26 127) (xy 175.26 134.62)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 154f06b7-3904-4cda-bdb4-0292a7ee1591) + ) + (wire (pts (xy 200.66 189.23) (xy 198.12 189.23)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 15517967-ee41-40a7-ac82-54823fd5399e) + ) + (wire (pts (xy 349.25 156.21) (xy 361.95 156.21)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 1593f782-804d-4a7e-820c-0af402426a21) + ) + (wire (pts (xy 557.53 26.67) (xy 557.53 29.21)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 16062a4c-1e94-4ba0-9238-9572609a5aca) + ) + (polyline (pts (xy 228.6 229.87) (xy 17.78 229.87)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 1715aee9-8e63-42a4-9d45-b70f5f28fe78) + ) + + (wire (pts (xy 173.99 345.44) (xy 179.07 345.44)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 1748bf6f-7902-4206-a7ce-0df3324ac443) + ) + (wire (pts (xy 189.23 292.1) (xy 189.23 294.64)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 176c0786-95e2-495a-925c-f4b3292bdbbd) + ) + (wire (pts (xy 238.76 248.92) (xy 238.76 246.38)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 17aff203-38e7-4b57-ac48-40eb4eceb924) + ) + (wire (pts (xy 438.15 198.12) (xy 458.47 198.12)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 17c61523-60bc-4908-92e4-525627cfad53) + ) + (wire (pts (xy 96.52 119.38) (xy 96.52 121.92)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 17fb3e97-02fb-42e6-b35d-a80b4a321464) + ) + (wire (pts (xy 238.76 292.1) (xy 251.46 292.1)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 185bb150-1962-49b9-af47-478028c3a0f3) + ) + (wire (pts (xy 349.25 119.38) (xy 361.95 119.38)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 18ac86b0-59bf-436c-b446-630e5b7dc5da) + ) + (wire (pts (xy 440.69 111.76) (xy 443.23 111.76)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 19ca4cce-cdf8-4ad8-b8e3-a5f49c51e24f) + ) + (wire (pts (xy 24.13 125.73) (xy 21.59 125.73)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 19d363dc-b441-4891-b0df-bb50271da27a) + ) + (wire (pts (xy 336.55 259.08) (xy 341.63 259.08)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 1a775733-f59e-4828-9df9-314128052702) + ) + (wire (pts (xy 251.46 246.38) (xy 264.16 246.38)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 1bba876c-9c61-4056-861a-2004ed4bf2d2) + ) + (wire (pts (xy 162.56 39.37) (xy 162.56 44.45)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 1bef5c97-3568-459c-9d06-02b706c71d94) + ) + (wire (pts (xy 542.29 132.08) (xy 544.83 132.08)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 1c73ba8a-ab9d-491b-8a4b-56aa19846e62) + ) + (wire (pts (xy 349.25 137.16) (xy 327.66 137.16)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 1ca3a526-4328-461f-bc2b-fe5a0dcfeb74) + ) + (wire (pts (xy 361.95 132.08) (xy 361.95 142.24)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 1cf563f7-6913-45fa-a264-cdaf0aaac72e) + ) + (wire (pts (xy 455.93 39.37) (xy 458.47 39.37)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 1d01818d-5e59-4203-8e4b-3d5f184a5662) + ) + (wire (pts (xy 468.63 59.69) (xy 468.63 62.23)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 1d581b06-12ab-4a29-9022-e8456cb0243a) + ) + (wire (pts (xy 153.67 391.16) (xy 156.21 391.16)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 1d63fdd3-20da-427f-bef5-0ad8f291db3f) + ) + (wire (pts (xy 330.2 64.77) (xy 335.28 64.77)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 1ddaa0cd-7dbf-46b7-b79f-305929b5ad10) + ) + (wire (pts (xy 172.72 114.3) (xy 177.8 114.3)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 1df18672-a1fe-4ff6-a7ed-a514669c9e40) + ) + (wire (pts (xy 71.12 144.78) (xy 71.12 147.32)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 1e40c263-d968-4ea6-9b35-8ed291cc34ce) + ) + (wire (pts (xy 389.89 111.76) (xy 392.43 111.76)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 1e6bd62c-29c9-4e21-a96d-4363313dec1d) + ) + (wire (pts (xy 542.29 162.56) (xy 544.83 162.56)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 1e99e271-f19e-45e4-b3c0-f903d8a4763d) + ) + (wire (pts (xy 327.66 132.08) (xy 327.66 129.54)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 1ee4cff6-3ecd-4da1-8428-ab866a96ac1e) + ) + (wire (pts (xy 109.22 325.12) (xy 109.22 320.04)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 1f0aac29-71d2-4bf7-9679-244a5884106a) + ) + (wire (pts (xy 542.29 114.3) (xy 544.83 114.3)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 1f1fa4e2-703b-4148-bb5c-0ce0491627c6) + ) + (wire (pts (xy 44.45 173.99) (xy 46.99 173.99)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 1f804f47-192e-4c76-b10d-2c87ff51ab40) + ) + (wire (pts (xy 71.12 147.32) (xy 78.74 147.32)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 1f8827cb-76dd-4fb5-8d94-7c095add6d24) + ) + (wire (pts (xy 289.56 109.22) (xy 292.1 109.22)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 200495b1-7bea-406b-a571-422469666ee7) + ) + (wire (pts (xy 175.26 109.22) (xy 175.26 121.92)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 201ce1b7-91a0-414c-989e-c5a968125c23) + ) + (wire (pts (xy 22.86 26.67) (xy 22.86 31.75)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 205f95e8-72ba-42e1-9c86-72d89bc5aacb) + ) + (wire (pts (xy 87.63 41.91) (xy 87.63 46.99)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 20b67905-5d5e-48ed-bde4-1dba9d60a435) + ) + (wire (pts (xy 21.59 130.81) (xy 21.59 133.35)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 21499fcd-0dbb-4fc5-b146-59c45e319f47) + ) + (wire (pts (xy 542.29 160.02) (xy 544.83 160.02)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 2167022b-fce1-41f7-8a2a-9ffaf774a9c6) + ) + (wire (pts (xy 323.85 248.92) (xy 323.85 246.38)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 21ac3b59-65b7-4e0d-8c19-4e045bf87290) + ) + (wire (pts (xy 491.49 124.46) (xy 494.03 124.46)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 21adf7ac-6402-485e-b8b8-870724d5a1a9) + ) + (wire (pts (xy 440.69 127) (xy 443.23 127)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 21e86ebb-cae5-4a18-b7cd-83a23c51b91a) + ) + (wire (pts (xy 161.29 391.16) (xy 163.83 391.16)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 22c9f320-9f1f-40da-a3c9-91566854d2d1) + ) + (wire (pts (xy 109.22 39.37) (xy 106.68 39.37)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 238d7aef-5b59-48d5-a5d9-886a3944d070) + ) + (wire (pts (xy 349.25 142.24) (xy 349.25 137.16)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 245d0d81-980d-49f9-aa64-a4b64d87d8c1) + ) + (wire (pts (xy 44.45 171.45) (xy 46.99 171.45)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 24f12bb2-2688-4cf5-bafc-56e6b820ac23) + ) + (wire (pts (xy 389.89 129.54) (xy 392.43 129.54)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 251966bc-a08f-4095-be05-8fcd3b4ed006) + ) + (polyline (pts (xy 17.78 234.95) (xy 17.78 402.59)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 257a5153-ef7d-4fcf-aeb2-653a41a29436) + ) + + (wire (pts (xy 289.56 119.38) (xy 292.1 119.38)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 26332cfe-a3de-4e3c-be87-ec0d1282b950) + ) + (wire (pts (xy 137.16 36.83) (xy 137.16 31.75)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 26460bf0-4292-4af5-96b6-cc839284de0f) + ) + (wire (pts (xy 306.07 271.78) (xy 311.15 271.78)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 26728b6e-9118-480b-99e3-604f9a910611) + ) + (wire (pts (xy 306.07 289.56) (xy 311.15 289.56)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 26e14888-7056-40ff-b5b3-cfe8935186b6) + ) + (polyline (pts (xy 576.58 229.87) (xy 233.68 229.87)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 26e6dafb-240c-4797-b53a-923236b65def) + ) + + (wire (pts (xy 176.53 360.68) (xy 179.07 360.68)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 2700b97f-b588-4b58-962b-308b273a9977) + ) + (wire (pts (xy 491.49 167.64) (xy 494.03 167.64)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 279d2951-fcb3-43d9-87f2-7e31f28fa93e) + ) + (wire (pts (xy 389.89 139.7) (xy 392.43 139.7)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 27b55104-e431-4518-94f4-3dabec2c82e4) + ) + (wire (pts (xy 24.13 113.03) (xy 21.59 113.03)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 27bd2684-efab-43eb-af90-43cc81429580) + ) + (wire (pts (xy 135.89 120.65) (xy 135.89 106.68)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 2840027d-c262-4eb9-82de-ae06b5192f94) + ) + (wire (pts (xy 220.98 137.16) (xy 223.52 137.16)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 290585a3-7309-417a-aa1e-ee260435c6be) + ) + (wire (pts (xy 361.95 129.54) (xy 373.38 129.54)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 2925cfcc-4359-4244-8487-fdee5bca564b) + ) + (wire (pts (xy 148.59 297.18) (xy 148.59 281.94)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 292addb5-92c1-4793-a982-efbb3d28f60d) + ) + (wire (pts (xy 306.07 312.42) (xy 311.15 312.42)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 2a057aa5-3b5a-424e-a2f5-7e27e5f7234d) + ) + (wire (pts (xy 491.49 149.86) (xy 494.03 149.86)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 2a3b4518-1aa3-4a6c-9d3c-60361692c17c) + ) + (wire (pts (xy 21.59 146.05) (xy 21.59 148.59)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 2a5947b6-415c-4f04-b75f-2c3ee7557b3d) + ) + (wire (pts (xy 373.38 129.54) (xy 373.38 156.21)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 2af69f7d-d3b0-47bd-bb3a-ef908cd0e1d2) + ) + (wire (pts (xy 91.44 260.35) (xy 93.98 260.35)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 2b02fbbe-6a7d-4c9c-8037-17dcaacc6eeb) + ) + (wire (pts (xy 162.56 57.15) (xy 175.26 57.15)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 2baf1a61-464e-49f7-85fe-f115582f7574) + ) + (wire (pts (xy 22.86 256.54) (xy 22.86 250.19)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 2beff319-e35b-4be2-87c9-cf6b62698c94) + ) + (wire (pts (xy 289.56 134.62) (xy 292.1 134.62)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 2c01d830-bbe7-4f15-938d-26ab404864d0) + ) + (wire (pts (xy 57.15 243.84) (xy 57.15 246.38)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 2c872d8e-e1d1-4946-b543-d123ae4918e5) + ) + (wire (pts (xy 289.56 144.78) (xy 289.56 156.21)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 2ce7e4ef-4784-41ea-9bec-69e3adb11892) + ) + (wire (pts (xy 312.42 29.21) (xy 312.42 49.53)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 2dd4e012-89c3-429f-ae21-cd1447758455) + ) + (wire (pts (xy 389.89 177.8) (xy 392.43 177.8)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 2deb54e4-49d3-4190-bca0-41e49673e013) + ) + (wire (pts (xy 57.15 313.69) (xy 57.15 316.23)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 2df8a9d4-3104-4c3e-bd6a-3ad5c1477b94) + ) + (wire (pts (xy 200.66 191.77) (xy 198.12 191.77)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 2e219ece-6013-4648-b29a-5d140e0ac61f) + ) + (wire (pts (xy 336.55 269.24) (xy 341.63 269.24)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 2e2edc46-fd49-4d46-b1b5-816eab2c9b99) + ) + (wire (pts (xy 129.54 57.15) (xy 106.68 57.15)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 2e428465-3cf9-4465-9ded-f444ab3d5d10) + ) + (wire (pts (xy 289.56 106.68) (xy 292.1 106.68)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 2e42caf8-b59d-4a6d-9c76-548c56a2cc84) + ) + (wire (pts (xy 323.85 322.58) (xy 323.85 320.04)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 2eb8cd9e-47e3-49ec-95d4-aa005af8d54d) + ) + (wire (pts (xy 44.45 153.67) (xy 46.99 153.67)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 2f4728b1-3760-4986-b2e2-34cb33b5c613) + ) + (wire (pts (xy 72.39 31.75) (xy 72.39 41.91)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 2f5a58fe-bb0b-495b-b860-46b3640d5ac2) + ) + (wire (pts (xy 327.66 119.38) (xy 327.66 116.84)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 2fcd0ea2-c378-4c59-a8ee-07704d1e6f6c) + ) + (wire (pts (xy 191.77 41.91) (xy 191.77 44.45)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 301219fc-1df0-458f-8814-dcd197cada97) + ) + (wire (pts (xy 289.56 139.7) (xy 292.1 139.7)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 30b5003c-9147-458c-86ff-7d3a51552c94) + ) + (wire (pts (xy 125.73 355.6) (xy 128.27 355.6)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 31ac7f1a-8063-467c-90ed-e72d5aaf574f) + ) + (wire (pts (xy 97.79 330.2) (xy 97.79 332.74)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 32ad1cef-bf9f-43aa-ad71-d3782a8797a4) + ) + (wire (pts (xy 269.24 44.45) (xy 274.32 44.45)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 32ddb2f8-114f-4f2e-9784-cf553a26cbb1) + ) + (wire (pts (xy 88.9 262.89) (xy 91.44 262.89)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 32e2c7e0-abf6-45f8-8c45-6f47b91fa090) + ) + (wire (pts (xy 57.15 311.15) (xy 57.15 313.69)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 32eb5481-4928-44cd-b969-298745e7dd16) + ) + (wire (pts (xy 552.45 57.15) (xy 554.99 57.15)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 336548b4-ac42-4238-b3f2-830ccd5d9d4d) + ) + (wire (pts (xy 276.86 292.1) (xy 276.86 289.56)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 33e8a850-4039-4a96-9c79-d9556e8f96bd) + ) + (wire (pts (xy 289.56 142.24) (xy 292.1 142.24)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 33fdf9e8-ff1b-435e-8405-387ba44780e9) + ) + (wire (pts (xy 21.59 135.89) (xy 24.13 135.89)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 3429956f-8e0d-4cfb-9407-4200eb7f3d00) + ) + (wire (pts (xy 191.77 52.07) (xy 191.77 57.15)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 344191a2-0d5e-47d2-bbfe-885301a385fb) + ) + (wire (pts (xy 542.29 154.94) (xy 544.83 154.94)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 347abf68-5681-4df2-9d6d-0d35257b5b8d) + ) + (wire (pts (xy 330.2 57.15) (xy 335.28 57.15)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 34bab4c0-8966-4ce2-b574-119e29d51764) + ) + (wire (pts (xy 173.99 320.04) (xy 179.07 320.04)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 34d72d55-676a-4e8f-a2a8-9a0641a5ad92) + ) + (wire (pts (xy 276.86 156.21) (xy 289.56 156.21)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 35ec3a05-8846-460a-a193-2dc25d667496) + ) + (wire (pts (xy 173.99 332.74) (xy 179.07 332.74)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 360b4ab2-9083-4189-9d33-ffa6506e69d4) + ) + (wire (pts (xy 35.56 41.91) (xy 35.56 57.15)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 369ba21e-3228-4034-83ea-97ce525ccffc) + ) + (wire (pts (xy 542.29 165.1) (xy 544.83 165.1)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 373558ec-815d-422c-a2ad-0caf72d123c3) + ) + (wire (pts (xy 481.33 41.91) (xy 481.33 36.83)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 373edf88-f535-48a2-9b6d-29db2bf68f9c) + ) + (wire (pts (xy 143.51 391.16) (xy 146.05 391.16)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 375646dd-ee15-4d0f-9ffb-ee1ce8fa6927) + ) + (wire (pts (xy 491.49 111.76) (xy 494.03 111.76)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 375965a8-5f19-4137-9709-67aa165efedb) + ) + (wire (pts (xy 491.49 116.84) (xy 494.03 116.84)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 39b7ddc8-264b-4346-9a3a-27f433d5b390) + ) + (wire (pts (xy 140.97 388.62) (xy 140.97 391.16)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 39ece4cb-d566-4009-8e98-64c2fc9bdae7) + ) + (wire (pts (xy 140.97 391.16) (xy 143.51 391.16)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 3aaedf3d-da99-424f-9790-99c05d11e2c0) + ) + (wire (pts (xy 292.1 111.76) (xy 289.56 111.76)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 3aec06bc-00ed-4a9f-9b35-bc96799cb186) + ) + (wire (pts (xy 44.45 130.81) (xy 46.99 130.81)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 3b367453-79fa-4734-a372-8255b1b1504c) + ) + (wire (pts (xy 440.69 177.8) (xy 443.23 177.8)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 3b5802a1-6219-4842-a26c-d993df8be4d5) + ) + (wire (pts (xy 264.16 292.1) (xy 276.86 292.1)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 3bd99a96-df3f-48e6-b3d6-be0a64932a91) + ) + (wire (pts (xy 487.68 36.83) (xy 487.68 40.64)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 3c25ce09-56e0-4a4c-821c-4304248acf7b) + ) + (wire (pts (xy 129.54 184.15) (xy 134.62 184.15)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 3c6bb3c8-97b1-4355-b6ae-93c6bb909d3b) + ) + (wire (pts (xy 289.56 137.16) (xy 292.1 137.16)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 3d1809b0-293c-4d04-bd94-ff0594b5d152) + ) + (wire (pts (xy 349.25 109.22) (xy 361.95 109.22)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 3e7af671-7444-470a-a18c-4596ea10986d) + ) + (wire (pts (xy 166.37 391.16) (xy 166.37 388.62)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 3e7dc27a-ff91-4517-bf2b-ff4a48017a02) + ) + (wire (pts (xy 175.26 134.62) (xy 175.26 140.97)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 3e824c7e-80fd-4041-9fa7-a4606a33c130) + ) + (wire (pts (xy 491.49 121.92) (xy 494.03 121.92)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 3e8cd4a8-d20d-4acc-a531-f13d50e29686) + ) + (wire (pts (xy 60.96 41.91) (xy 60.96 57.15)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 3eb01a23-c9a5-4759-bd10-4534d3bbde93) + ) + (wire (pts (xy 440.69 175.26) (xy 443.23 175.26)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 3f7cecd9-5d9a-4916-9ac3-ec71694e33ea) + ) + (wire (pts (xy 336.55 266.7) (xy 341.63 266.7)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 3f8f771a-1298-4ccc-b64b-d7ab6925ef3c) + ) + (wire (pts (xy 116.84 134.62) (xy 116.84 147.32)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 3fade5b2-0d1b-42f6-83b4-da101c393846) + ) + (wire (pts (xy 542.29 147.32) (xy 544.83 147.32)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 40256a53-d60e-47de-ab5d-f37524c87eeb) + ) + (wire (pts (xy 96.52 127) (xy 96.52 124.46)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 40318d93-56ed-4c94-aeb3-db9bf62df44a) + ) + (wire (pts (xy 306.07 264.16) (xy 311.15 264.16)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 40e578f2-1ac9-4226-a5eb-3394be61171f) + ) + (wire (pts (xy 306.07 297.18) (xy 311.15 297.18)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 410277a1-1275-4bc9-a362-628a3ff19432) + ) + (wire (pts (xy 125.73 337.82) (xy 128.27 337.82)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 4158905a-b39b-4290-99b8-f50ce86f3504) + ) + (wire (pts (xy 172.72 116.84) (xy 177.8 116.84)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 4182a1ae-315a-4573-be68-e1664287ff35) + ) + (wire (pts (xy 306.07 274.32) (xy 311.15 274.32)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 41b76ae1-33a4-4f6a-be62-e7517cb2e6cb) + ) + (wire (pts (xy 478.79 41.91) (xy 481.33 41.91)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 42253752-c314-4219-9037-9d0b12294b07) + ) + (polyline (pts (xy 290.83 81.28) (xy 209.55 81.28)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 42a3af14-31e8-4b6d-b0ee-6999b1834f98) + ) + + (wire (pts (xy 176.53 377.19) (xy 194.31 377.19)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 42d5bebd-0a8b-4cdb-a16e-85d22e98b627) + ) + (wire (pts (xy 478.79 46.99) (xy 481.33 46.99)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 42db8e76-9f54-4900-a95d-51b6e08810a0) + ) + (wire (pts (xy 21.59 140.97) (xy 21.59 143.51)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 4349b492-50ae-4659-9ccb-e78d2a99a17b) + ) + (wire (pts (xy 44.45 181.61) (xy 46.99 181.61)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 43b59754-6a01-4e09-b088-fef42b339c91) + ) + (wire (pts (xy 321.31 246.38) (xy 321.31 248.92)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 43fcfdfe-d6e5-45f2-a23b-63ed3d020efc) + ) + (wire (pts (xy 300.99 67.31) (xy 332.74 67.31)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 44159da4-acd2-45a2-bc31-1c71cc7bc755) + ) + (wire (pts (xy 534.67 39.37) (xy 532.13 39.37)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 44580f55-b7d4-472e-b687-5298e2b7b826) + ) + (wire (pts (xy 96.52 104.14) (xy 96.52 106.68)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 446c2043-7715-435d-8db5-cb999d68fdee) + ) + (wire (pts (xy 438.15 218.44) (xy 458.47 218.44)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 446c603d-ed3a-415b-a696-75bffde72dc6) + ) + (wire (pts (xy 251.46 279.4) (xy 264.16 279.4)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 44a42df7-f289-4075-9c1c-ffa2624982fc) + ) + (wire (pts (xy 200.66 194.31) (xy 200.66 196.85)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 44c2b40c-4656-482c-a0d1-3b49689988c5) + ) + (wire (pts (xy 158.75 388.62) (xy 158.75 391.16)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 44c5e8f5-eed2-4312-aff6-82a2cbf64da4) + ) + (wire (pts (xy 176.53 360.68) (xy 176.53 377.19)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 44eea8be-397b-4842-8168-ed359f6e6ed5) + ) + (wire (pts (xy 78.74 147.32) (xy 78.74 149.86)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 455f5765-3058-421d-8a2c-4ae8bcf25476) + ) + (wire (pts (xy 491.49 144.78) (xy 494.03 144.78)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 457af3c5-3fc6-448e-b5e5-bf9861ffda58) + ) + (wire (pts (xy 336.55 111.76) (xy 349.25 111.76)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 45d637bf-60d2-40ea-a2fb-cbc9d6beb301) + ) + (wire (pts (xy 97.79 330.2) (xy 128.27 330.2)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 45dd3737-1c49-42dd-bd32-60e51c4f2424) + ) + (wire (pts (xy 292.1 116.84) (xy 289.56 116.84)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 4607faea-14f5-4156-bab2-48adf6605171) + ) + (wire (pts (xy 148.59 391.16) (xy 151.13 391.16)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 4612e8bd-7100-43ea-b182-99fde63d9135) + ) + (wire (pts (xy 361.95 149.86) (xy 361.95 156.21)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 463542ca-466f-459a-ba2b-cb044ed2ecde) + ) + (wire (pts (xy 148.59 128.27) (xy 148.59 140.97)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 46698934-152a-493f-adb0-bde1cc045f57) + ) + (wire (pts (xy 161.29 281.94) (xy 161.29 284.48)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 468b878d-1240-497c-bcc4-2bc8dcb00b81) + ) + (polyline (pts (xy 576.58 81.28) (xy 518.16 81.28)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 4887b041-b9e9-4002-ba58-5e4cbb3f3134) + ) + (polyline (pts (xy 17.78 86.36) (xy 228.6 86.36)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 4896f049-0a15-4e9d-b393-9d43fb74a0dc) + ) + + (wire (pts (xy 306.07 281.94) (xy 311.15 281.94)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 489d770a-8d64-48be-be65-ba3104a6bb11) + ) + (wire (pts (xy 416.56 48.26) (xy 416.56 59.69)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 48ad4922-3cc5-4b6b-8edf-22c929264047) + ) + (wire (pts (xy 22.86 41.91) (xy 22.86 57.15)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 48d8cc14-18ee-4a68-ac0c-aa1e1b6896c3) + ) + (wire (pts (xy 542.29 152.4) (xy 544.83 152.4)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 48e7cc37-d130-410c-bb71-15858ae84580) + ) + (wire (pts (xy 510.54 52.07) (xy 510.54 59.69)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 48f309fb-a978-4188-90f8-05958c4072f9) + ) + (wire (pts (xy 35.56 57.15) (xy 48.26 57.15)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 48f89818-c2d7-4eb8-9eda-8fc6380e810d) + ) + (wire (pts (xy 238.76 109.22) (xy 251.46 109.22)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 49388404-6bdb-45bf-a9d0-fb7820e15025) + ) + (wire (pts (xy 300.99 29.21) (xy 312.42 29.21)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 495dc0db-8307-46cb-aff0-cec33c5ed984) + ) + (wire (pts (xy 97.79 57.15) (xy 106.68 57.15)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 4972b7c4-a0eb-41e7-afe6-ac90176ab324) + ) + (wire (pts (xy 156.21 388.62) (xy 156.21 391.16)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 4975f7b5-eccb-4895-be16-f2bea13d334e) + ) + (wire (pts (xy 542.29 208.28) (xy 544.83 208.28)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 4a50ba85-6ca5-4533-a71e-525377d27c3e) + ) + (wire (pts (xy 389.89 165.1) (xy 392.43 165.1)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 4ad13d79-d338-44f8-9d3e-8ea54a31ddaf) + ) + (polyline (pts (xy 406.4 17.78) (xy 406.4 81.28)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 4ae549eb-96ae-4923-ba27-02b494dbf65d) + ) + + (wire (pts (xy 44.45 105.41) (xy 46.99 105.41)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 4b481b8d-7dcf-495c-b133-2e81ea7684c5) + ) + (wire (pts (xy 438.15 204.47) (xy 438.15 198.12)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 4b692530-b410-43d7-b738-28cab19edc1e) + ) + (wire (pts (xy 96.52 124.46) (xy 120.65 124.46)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 4bb5f948-2e2d-4a47-b8c1-3457bdf9c8fd) + ) + (wire (pts (xy 388.62 41.91) (xy 391.16 41.91)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 4c1494e1-4e5d-45a8-b9b5-a6404f16e383) + ) + (wire (pts (xy 327.66 111.76) (xy 327.66 114.3)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 4c7d674b-e2ec-43cd-8ea0-76c57613cf71) + ) + (wire (pts (xy 173.99 358.14) (xy 179.07 358.14)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 4ce07e42-8058-40a8-9df6-e561e74b0e8a) + ) + (wire (pts (xy 173.99 353.06) (xy 179.07 353.06)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 4d06065b-9700-4016-a585-9780eb3bb168) + ) + (wire (pts (xy 458.47 218.44) (xy 458.47 220.98)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 4d6798ca-a6ed-4225-b621-d077a4abf5fa) + ) + (wire (pts (xy 21.59 128.27) (xy 21.59 130.81)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 4eee8140-419d-4229-8be5-a11f72104388) + ) + (wire (pts (xy 156.21 281.94) (xy 156.21 312.42)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 4f3b0730-2195-4c8e-a518-46970ea1f9f4) + ) + (wire (pts (xy 389.89 144.78) (xy 392.43 144.78)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 4faa0718-c638-4977-8bea-320646164ef8) + ) + (wire (pts (xy 22.86 57.15) (xy 35.56 57.15)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 4ff8542a-239c-4e10-b5ee-bc8b1ea971a1) + ) + (wire (pts (xy 491.49 170.18) (xy 494.03 170.18)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 51cbccc5-0729-40bf-96e5-40d9df055cdc) + ) + (wire (pts (xy 118.11 342.9) (xy 109.22 342.9)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 5200c365-5811-42c3-98cc-b992f4aaa315) + ) + (wire (pts (xy 330.2 62.23) (xy 335.28 62.23)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 520e0e79-ca53-4b53-adbc-2d50f1a1b8fe) + ) + (wire (pts (xy 542.29 111.76) (xy 544.83 111.76)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 541297ed-4fdc-4e28-8f22-6964ec06ec34) + ) + (wire (pts (xy 306.07 261.62) (xy 311.15 261.62)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 544c60f8-33c3-4072-9128-159b26301f32) + ) + (wire (pts (xy 306.07 254) (xy 311.15 254)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 5674ce8a-3392-4411-803e-631fd34945b3) + ) + (polyline (pts (xy 290.83 17.78) (xy 290.83 81.28)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 57b01e3e-5658-4790-9929-5d90e4a6ac77) + ) + + (wire (pts (xy 44.45 156.21) (xy 46.99 156.21)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 5842915e-9024-45f3-9575-72eafc47d951) + ) + (wire (pts (xy 532.13 40.64) (xy 534.67 40.64)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 584c993d-729f-4ee6-b6f1-5acc7e0dc030) + ) + (wire (pts (xy 21.59 133.35) (xy 24.13 133.35)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 58661765-9006-4c50-8c1a-11bfaf7ed73e) + ) + (wire (pts (xy 173.99 335.28) (xy 179.07 335.28)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 58ddc084-f217-4103-913d-bc5a8b35375b) + ) + (wire (pts (xy 289.56 132.08) (xy 292.1 132.08)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 58fa3498-d26c-43f5-b462-6d8e4aa5295d) + ) + (wire (pts (xy 491.49 139.7) (xy 494.03 139.7)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 59136047-fd9d-485b-874e-a42e2aa5019b) + ) + (wire (pts (xy 96.52 121.92) (xy 120.65 121.92)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 59397d93-cd1d-41c3-992f-687297bb7e0d) + ) + (wire (pts (xy 471.17 31.75) (xy 436.88 31.75)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 5949260e-6ef7-48df-b2d9-7a94dadf44e1) + ) + (wire (pts (xy 140.97 391.16) (xy 140.97 393.7)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 59563e5d-9e9a-4b67-88d4-07736b4f3459) + ) + (wire (pts (xy 238.76 259.08) (xy 251.46 259.08)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 59641644-8f2f-4354-9478-808e9d971f89) + ) + (wire (pts (xy 306.07 287.02) (xy 311.15 287.02)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 59b4f748-5947-453e-b4c9-9178c5709e25) + ) + (wire (pts (xy 440.69 139.7) (xy 443.23 139.7)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 59c670dd-2b3f-4e0c-80b0-b01fee5ab382) + ) + (polyline (pts (xy 17.78 86.36) (xy 17.78 229.87)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 59e51687-5919-4761-865f-edc78958d8e1) + ) + + (wire (pts (xy 175.26 140.97) (xy 175.26 143.51)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 59f1922b-ef31-42a2-95db-c8077cfa26ba) + ) + (wire (pts (xy 22.86 250.19) (xy 57.15 250.19)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 5a0a6747-ad16-4ae8-a8e4-2d81a6811c41) + ) + (wire (pts (xy 175.26 127) (xy 177.8 127)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 5a22dff5-dc54-4a37-9297-eed8858a3d90) + ) + (wire (pts (xy 21.59 133.35) (xy 21.59 135.89)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 5b28ed00-ea6e-4664-aa3f-5d08f7cb95e6) + ) + (wire (pts (xy 78.74 262.89) (xy 81.28 262.89)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 5b2bad01-f188-4594-8072-59482286ba79) + ) + (wire (pts (xy 327.66 119.38) (xy 327.66 121.92)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 5be3b952-085f-4e5a-b81c-c48fc56c2d66) + ) + (wire (pts (xy 327.66 121.92) (xy 327.66 124.46)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 5c5aa0c4-e754-4a21-8948-2bff40a8da7b) + ) + (wire (pts (xy 172.72 137.16) (xy 173.99 137.16)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 5c7fbc08-48df-4cee-ac4d-072ce61f930d) + ) + (wire (pts (xy 327.66 124.46) (xy 325.12 124.46)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 5caa2afa-d37a-4ed4-95de-976453ff4a85) + ) + (wire (pts (xy 386.08 49.53) (xy 391.16 49.53)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 5cc0b56b-6e50-48f8-a271-b96ccdef6334) + ) + (wire (pts (xy 173.99 330.2) (xy 179.07 330.2)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 5cce4fdf-c32e-410c-b603-9d82067f4584) + ) + (wire (pts (xy 152.4 181.61) (xy 157.48 181.61)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 5d766113-be3b-4679-a51e-bf7dec18de71) + ) + (wire (pts (xy 48.26 39.37) (xy 48.26 57.15)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 5d87a124-ebd0-4542-9631-a0e6b149ee74) + ) + (wire (pts (xy 156.21 391.16) (xy 158.75 391.16)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 5dc5ce79-d1a9-4c6f-b91f-ce48e019ebf5) + ) + (wire (pts (xy 336.55 264.16) (xy 341.63 264.16)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 5e756d85-fd85-4dc5-aab1-145aeaf87068) + ) + (wire (pts (xy 542.29 198.12) (xy 544.83 198.12)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 5eb79551-c28a-42e1-97cf-20f81bd34e12) + ) + (polyline (pts (xy 17.78 17.78) (xy 204.47 17.78)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 5ecca5d2-4b1b-47ad-9be0-1d485a30441b) + ) + + (wire (pts (xy 44.45 123.19) (xy 46.99 123.19)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 5f76939c-8290-4ee4-aaa6-3327a3b929fa) + ) + (wire (pts (xy 440.69 134.62) (xy 443.23 134.62)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 5f9f11d6-db7f-46e6-a4b5-29330f05ab48) + ) + (wire (pts (xy 191.77 57.15) (xy 175.26 57.15)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 614d4afa-5e55-4cb3-945a-8a1798d58fd8) + ) + (wire (pts (xy 175.26 104.14) (xy 175.26 106.68)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 61733783-d68e-4a73-b814-31179468e1c1) + ) + (wire (pts (xy 109.22 391.16) (xy 125.73 391.16)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 61733783-d68e-4a73-b814-31179468e1c1) + ) + (wire (pts (xy 57.15 250.19) (xy 57.15 252.73)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 6200df05-5505-446c-8b9e-cbeeddcf712d) + ) + (wire (pts (xy 336.55 156.21) (xy 336.55 158.75)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 6209ab14-dd67-4fee-bd42-c87805aa955f) + ) + (wire (pts (xy 60.96 31.75) (xy 72.39 31.75)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 623aae9c-8b7d-4010-992c-f19b52e4be17) + ) + (wire (pts (xy 327.66 137.16) (xy 327.66 139.7)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 6273470a-fbc7-4819-9aee-78ad6f6934a8) + ) + (wire (pts (xy 289.56 119.38) (xy 289.56 121.92)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 62a28c55-5434-4a6d-bbea-99355a92128d) + ) + (wire (pts (xy 251.46 259.08) (xy 264.16 259.08)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 62db9421-f1fe-4dc5-bcf1-a88f4b5a4786) + ) + (wire (pts (xy 67.31 262.89) (xy 78.74 262.89)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 62fe8319-36cd-4ffd-a478-3bf25968d988) + ) + (wire (pts (xy 542.29 200.66) (xy 544.83 200.66)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 62fecbdd-c088-4a6b-87a3-18ae1a7c69ba) + ) + (wire (pts (xy 97.79 187.96) (xy 97.79 196.85)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 63142c32-d538-4a5e-a542-53b49d9e802e) + ) + (wire (pts (xy 349.25 149.86) (xy 349.25 156.21)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 64c2bfad-4f1f-4fb3-bb82-9f1b5ce4775b) + ) + (wire (pts (xy 116.84 111.76) (xy 116.84 127)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 6565f24a-5f0d-4498-9852-220e8600cf88) + ) + (polyline (pts (xy 209.55 17.78) (xy 209.55 81.28)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 65897012-ac5e-4cb6-a0d3-0e232acf9fb5) + ) + + (wire (pts (xy 440.69 129.54) (xy 443.23 129.54)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 65947a26-28bf-4ab1-a9a4-9d7cb6cda77d) + ) + (wire (pts (xy 440.69 132.08) (xy 443.23 132.08)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 65efa0b8-a73f-467d-960e-eb70c0bb5938) + ) + (wire (pts (xy 510.54 36.83) (xy 510.54 52.07)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 66733400-82c7-41db-ae5c-900cb7fdec9c) + ) + (wire (pts (xy 57.15 246.38) (xy 78.74 246.38)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 667a182c-7214-4410-820f-fa530c29e4fc) + ) + (wire (pts (xy 143.51 388.62) (xy 143.51 391.16)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 66da2dc1-3733-4c42-9648-3274768afd41) + ) + (wire (pts (xy 440.69 121.92) (xy 443.23 121.92)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 672715c8-ec89-4e83-a2e4-cd73a547132a) + ) + (wire (pts (xy 440.69 154.94) (xy 443.23 154.94)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 676e0639-c9a2-4f32-90c5-277c771397d4) + ) + (wire (pts (xy 55.88 31.75) (xy 60.96 31.75)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 6778f539-2748-42f3-9455-7e20c9f1a506) + ) + (wire (pts (xy 238.76 246.38) (xy 251.46 246.38)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 67dfec32-3b46-4636-a8b7-fc59a119451c) + ) + (wire (pts (xy 21.59 140.97) (xy 24.13 140.97)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 67eb1e70-b10a-4e49-9562-63ed86a5c816) + ) + (wire (pts (xy 306.07 269.24) (xy 311.15 269.24)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 6837edd1-0808-44ba-871a-f13c8040a564) + ) + (wire (pts (xy 74.93 41.91) (xy 72.39 41.91)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 68b708eb-9e04-42e0-8ce6-e41b36399d55) + ) + (wire (pts (xy 508 36.83) (xy 510.54 36.83)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 6926dded-2187-4cf2-83a9-679765ab09fd) + ) + (wire (pts (xy 152.4 184.15) (xy 157.48 184.15)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 69347941-7484-441b-ae55-5f32bf3273fa) + ) + (wire (pts (xy 327.66 127) (xy 327.66 124.46)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 694619e0-d7f3-4ea9-95e9-79284d5ffbda) + ) + (wire (pts (xy 289.56 104.14) (xy 292.1 104.14)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 69726e01-87d0-467e-ba2a-d3d04e232bb1) + ) + (wire (pts (xy 151.13 391.16) (xy 153.67 391.16)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 69d38d8b-b567-4fb0-9b50-87a2258dc1b2) + ) + (wire (pts (xy 487.68 52.07) (xy 500.38 52.07)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 6a26dcc3-ce01-4b39-8e01-e1e8213ed59d) + ) + (wire (pts (xy 194.31 377.19) (xy 194.31 381)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 6bb113d6-aada-4745-91b4-acb131501a2c) + ) + (wire (pts (xy 466.09 208.28) (xy 468.63 208.28)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 6c4551ce-f223-43ad-98b8-af893027b975) + ) + (wire (pts (xy 93.98 114.3) (xy 107.95 114.3)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 6c57792e-d779-4f49-b4d8-0fb7ed1f5cf7) + ) + (wire (pts (xy 440.69 124.46) (xy 443.23 124.46)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 6c6dc3f8-bc8b-4e5c-94f0-1fe3ce0e22e8) + ) + (wire (pts (xy 491.49 182.88) (xy 494.03 182.88)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 6dcf6886-0a4d-4ce0-98e0-92f7fd125525) + ) + (wire (pts (xy 173.99 322.58) (xy 179.07 322.58)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 6de676ee-fd66-4848-abf6-0fc8eb2b2349) + ) + (wire (pts (xy 389.89 127) (xy 392.43 127)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 6e08c789-a001-41e3-95e7-dc5023624672) + ) + (wire (pts (xy 289.56 142.24) (xy 289.56 144.78)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 6e128c27-fed1-456a-90ba-e010261300eb) + ) + (wire (pts (xy 336.55 276.86) (xy 341.63 276.86)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 6e48c4e0-e120-4fc4-bae1-0626aa353135) + ) + (wire (pts (xy 491.49 157.48) (xy 494.03 157.48)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 6e7303ce-08f4-490a-8be1-5139e695cb9c) + ) + (wire (pts (xy 201.93 281.94) (xy 215.9 281.94)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 6e92d3cb-5aa5-43b2-b768-b37a51525714) + ) + (wire (pts (xy 93.98 124.46) (xy 96.52 124.46)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 6ed2551d-e016-419d-8439-28ab90ad896e) + ) + (polyline (pts (xy 406.4 81.28) (xy 295.91 81.28)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 6ed78892-26f4-4bfb-a91d-d467e66e2921) + ) + + (wire (pts (xy 175.26 292.1) (xy 175.26 294.64)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 6f1866a2-0786-4b19-96b7-fed0c2abbd13) + ) + (wire (pts (xy 82.55 41.91) (xy 87.63 41.91)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 6f565934-79e0-4309-ab8c-f5b6b7725ad3) + ) + (wire (pts (xy 87.63 41.91) (xy 109.22 41.91)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 6f626a1a-2a74-4126-a54b-3e4f1469b8ef) + ) + (wire (pts (xy 481.33 36.83) (xy 487.68 36.83)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 6fc6f5da-0a45-47ef-a130-ac1b7a6148de) + ) + (wire (pts (xy 349.25 129.54) (xy 361.95 129.54)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 6fd3c2e0-b1ae-416f-96ed-2801614c742a) + ) + (wire (pts (xy 153.67 281.94) (xy 156.21 281.94)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 700efc3b-aed1-4eca-a2ca-fe128f14e18a) + ) + (wire (pts (xy 269.24 39.37) (xy 274.32 39.37)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 70265dc7-e112-4355-9932-7c409a42b6f2) + ) + (wire (pts (xy 264.16 101.6) (xy 276.86 101.6)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 70db106e-7b54-4552-95ff-502a2ea9e990) + ) + (wire (pts (xy 44.45 161.29) (xy 46.99 161.29)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 71870540-9d93-49ff-b300-aa1d15a588a0) + ) + (wire (pts (xy 440.69 147.32) (xy 443.23 147.32)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 718dba53-7a9f-4c42-aaa5-07406806c2ac) + ) + (wire (pts (xy 21.59 143.51) (xy 21.59 146.05)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 72a2303c-183a-45df-afea-e9a78495c8a5) + ) + (wire (pts (xy 542.29 187.96) (xy 544.83 187.96)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 72a4d0d7-f47b-410e-8b56-8f545f82e60a) + ) + (wire (pts (xy 127 36.83) (xy 137.16 36.83)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 72d42c4b-208c-4c3e-8ce1-bcb7b53aa6b2) + ) + (wire (pts (xy 128.27 327.66) (xy 83.82 327.66)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 72fceee3-0776-4261-aed4-3427d990421b) + ) + (wire (pts (xy 306.07 276.86) (xy 311.15 276.86)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 735f37c0-266e-4e04-9f8a-f6cde3127c80) + ) + (polyline (pts (xy 209.55 17.78) (xy 290.83 17.78)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 737ce8b1-8914-4f82-97b3-5d0ab9426ee4) + ) + (polyline (pts (xy 17.78 81.28) (xy 17.78 17.78)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 73b96d6c-9858-40cf-90a4-3f443e492358) + ) + + (wire (pts (xy 389.89 157.48) (xy 392.43 157.48)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 73d3b36f-4187-4742-b28c-8bf79bacfdc4) + ) + (wire (pts (xy 118.11 347.98) (xy 109.22 347.98)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 7429922b-5c79-4449-bd3b-7c7f42578f08) + ) + (wire (pts (xy 107.95 134.62) (xy 107.95 147.32)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 745b9cfe-1b51-434b-b534-3ed480225cee) + ) + (wire (pts (xy 455.93 46.99) (xy 458.47 46.99)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 74867926-ead4-4ddb-98f9-13f7e3cd9af3) + ) + (wire (pts (xy 83.82 327.66) (xy 83.82 332.74)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 756af4c0-469a-459a-b932-2c391a01d8bd) + ) + (wire (pts (xy 44.45 148.59) (xy 46.99 148.59)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 757d58ea-1107-47cd-9203-33d58c26a22d) + ) + (wire (pts (xy 36.83 307.34) (xy 36.83 313.69)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 75cefb66-2d1d-4da9-a049-46cede6bf401) + ) + (wire (pts (xy 327.66 109.22) (xy 327.66 111.76)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 75d33f47-1b62-463b-919d-b7c37f40bdb9) + ) + (wire (pts (xy 238.76 289.56) (xy 238.76 292.1)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 75ea435e-15e2-4376-8253-d2a0028e9826) + ) + (wire (pts (xy 44.45 128.27) (xy 46.99 128.27)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 7617bc3d-7441-440e-b56c-c546be3284f0) + ) + (wire (pts (xy 173.99 325.12) (xy 179.07 325.12)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 76430964-8daf-473d-b38f-ddc08d95f19d) + ) + (wire (pts (xy 389.89 175.26) (xy 392.43 175.26)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 76c62c32-475f-454d-bc7f-a0a51e8818d2) + ) + (wire (pts (xy 349.25 111.76) (xy 361.95 111.76)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 77522421-42d1-4113-95eb-6245131a8c34) + ) + (wire (pts (xy 151.13 281.94) (xy 151.13 312.42)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 77b08392-9ec1-4f8d-95ed-a08e2ee74f99) + ) + (wire (pts (xy 491.49 137.16) (xy 494.03 137.16)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 77df6eea-04b3-43ad-898d-803792ec18cb) + ) + (wire (pts (xy 389.89 132.08) (xy 391.16 132.08)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 77e5169f-0ea3-4de8-adfb-6176e496a736) + ) + (wire (pts (xy 436.88 48.26) (xy 436.88 59.69)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 77f1eb26-0f3d-4a68-915e-f4f4dd70bf2b) + ) + (wire (pts (xy 440.69 157.48) (xy 443.23 157.48)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 781cbca6-3f23-4561-a1d6-407d66d0ae71) + ) + (wire (pts (xy 251.46 292.1) (xy 264.16 292.1)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 783df723-d0be-47ad-a095-76da41eec13f) + ) + (wire (pts (xy 544.83 39.37) (xy 557.53 39.37)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 7843e435-cc51-47ef-aa60-6fc6d001b317) + ) + (wire (pts (xy 491.49 172.72) (xy 494.03 172.72)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 79104898-9d62-49a1-be0a-aaee2e9d93e5) + ) + (wire (pts (xy 109.22 342.9) (xy 109.22 325.12)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 795b0752-16f5-4549-97dc-cc3b68ca175d) + ) + (wire (pts (xy 95.25 190.5) (xy 100.33 190.5)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 79a8835f-5274-4415-8a48-68e7013550ae) + ) + (wire (pts (xy 21.59 151.13) (xy 21.59 153.67)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 79dcfac6-9187-44f5-8dcc-e81970b713dc) + ) + (wire (pts (xy 83.82 340.36) (xy 83.82 391.16)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 7a9639ff-5642-4975-947f-1d6ab42b0daf) + ) + (wire (pts (xy 156.21 281.94) (xy 161.29 281.94)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 7b096b15-5a48-41f1-a10c-404350e5cbf5) + ) + (wire (pts (xy 336.55 256.54) (xy 341.63 256.54)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 7b591666-9ad7-49bf-a2e5-bfca0931771c) + ) + (wire (pts (xy 238.76 256.54) (xy 238.76 259.08)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 7b71a269-bdff-412b-9d8e-8ce9f53cdd1e) + ) + (wire (pts (xy 256.54 54.61) (xy 256.54 57.15)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 7b91cb09-fbc7-45b5-9f37-7f59d72f1395) + ) + (wire (pts (xy 127 44.45) (xy 129.54 44.45)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 7be707c0-10a5-4a1b-a370-783cfed0feaa) + ) + (wire (pts (xy 57.15 293.37) (xy 57.15 295.91)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 7be77875-26ca-4826-b1b9-03ba6e6fd888) + ) + (wire (pts (xy 289.56 121.92) (xy 292.1 121.92)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 7bf356cb-503c-41e6-b3c9-3332f8ab613c) + ) + (wire (pts (xy 64.77 303.53) (xy 67.31 303.53)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 7c0b91af-fa0b-4244-80e4-0c168735aed0) + ) + (wire (pts (xy 149.86 41.91) (xy 149.86 34.29)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 7c4d372d-95c0-4365-91f5-16f7881ecc0f) + ) + (wire (pts (xy 173.99 360.68) (xy 176.53 360.68)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 7c52f412-bb84-4fc9-8abd-77bbfd17d063) + ) + (wire (pts (xy 468.63 29.21) (xy 416.56 29.21)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 7c591d0f-3f4e-4316-915b-96ac7272144e) + ) + (wire (pts (xy 44.45 133.35) (xy 46.99 133.35)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 7cfd98b1-482b-4b1e-a7f1-adec7ceeb7ef) + ) + (wire (pts (xy 95.25 187.96) (xy 97.79 187.96)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 7d176c82-e187-4ead-85be-1f09678736ce) + ) + (wire (pts (xy 389.89 137.16) (xy 392.43 137.16)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 7d596d0e-e140-442b-b46b-285a8b8170ca) + ) + (wire (pts (xy 175.26 294.64) (xy 175.26 297.18)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 7d5c5631-6160-496e-be40-b4b350ac2046) + ) + (wire (pts (xy 321.31 320.04) (xy 321.31 322.58)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 7e29385a-9af1-49cc-ac08-bee58ab5e943) + ) + (wire (pts (xy 542.29 116.84) (xy 544.83 116.84)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 7e7936e7-43e1-47fd-831d-ee03ca65e882) + ) + (wire (pts (xy 327.66 116.84) (xy 327.66 114.3)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 7ee96a14-a063-4a18-a64f-deb51e00f58f) + ) + (wire (pts (xy 57.15 267.97) (xy 57.15 270.51)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 7ef2c318-9c0f-4735-8e86-088668055d83) + ) + (wire (pts (xy 175.26 281.94) (xy 189.23 281.94)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 7efb8515-b86e-4203-b6a2-2ebf487c022e) + ) + (wire (pts (xy 198.12 194.31) (xy 200.66 194.31)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 7f77b110-3d40-431d-8721-b66d4851185a) + ) + (wire (pts (xy 361.95 119.38) (xy 373.38 119.38)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 7f7d76bb-7d18-4c5b-bea9-cf03fdae0bd5) + ) + (wire (pts (xy 256.54 26.67) (xy 256.54 29.21)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 7f967a2e-aab7-4c50-8574-742163e67487) + ) + (wire (pts (xy 60.96 57.15) (xy 48.26 57.15)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 80b1bffa-d7c1-4411-a72a-7b6d513a577b) + ) + (polyline (pts (xy 411.48 17.78) (xy 411.48 81.28)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 80ce55a5-360a-4bb0-a890-11ec650a020c) + ) + + (wire (pts (xy 455.93 41.91) (xy 458.47 41.91)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 80fc088e-ae86-46a5-a569-b087ea723cfb) + ) + (polyline (pts (xy 228.6 234.95) (xy 228.6 402.59)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 81687433-7a8e-4834-805f-3f7720bd7dcf) + ) + + (wire (pts (xy 361.95 109.22) (xy 373.38 109.22)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 81bc9412-767c-420b-8a9a-58c4e5a17120) + ) + (wire (pts (xy 146.05 281.94) (xy 146.05 297.18)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 827e919c-8bcb-4d5f-a787-64274f5c7c3e) + ) + (wire (pts (xy 22.86 264.16) (xy 22.86 270.51)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 82996e6c-12c4-4e2b-a5fe-66c2d5cb2848) + ) + (wire (pts (xy 491.49 152.4) (xy 494.03 152.4)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 82c5b054-f3df-4cb2-99f0-2c7a849da657) + ) + (wire (pts (xy 148.59 281.94) (xy 151.13 281.94)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 82d00928-eb9c-476c-aba3-d4585d56d269) + ) + (wire (pts (xy 44.45 120.65) (xy 46.99 120.65)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 82f8b0d1-d269-4bd4-b3dc-c81bc67a2350) + ) + (wire (pts (xy 173.99 342.9) (xy 179.07 342.9)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 83447a60-2ffe-4c96-a458-f9de9c608642) + ) + (wire (pts (xy 289.56 132.08) (xy 289.56 134.62)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 83643248-92de-4261-8fb3-c5bd84494cf6) + ) + (wire (pts (xy 238.76 292.1) (xy 238.76 294.64)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 8389082b-d736-45b7-a1ab-09e2c25a7513) + ) + (wire (pts (xy 416.56 29.21) (xy 416.56 40.64)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 83aa4f26-f13c-4d41-a989-bafacb170fe4) + ) + (wire (pts (xy 35.56 31.75) (xy 40.64 31.75)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 83bea3af-e7bd-4a04-8a13-96f336971d4b) + ) + (wire (pts (xy 21.59 128.27) (xy 24.13 128.27)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 83ff0daf-b2c4-4465-9223-e20f42436c88) + ) + (wire (pts (xy 325.12 116.84) (xy 327.66 116.84)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 842cd086-f2ed-498f-9b6a-b443248a763d) + ) + (wire (pts (xy 386.08 46.99) (xy 391.16 46.99)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 8464a5cc-4367-4279-a932-036d414cd4ac) + ) + (wire (pts (xy 93.98 121.92) (xy 96.52 121.92)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 851aef86-2f94-4e39-ac9c-6a8adeafbbbc) + ) + (wire (pts (xy 336.55 274.32) (xy 341.63 274.32)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 856b7979-8d92-4922-90e2-b99e43c823f8) + ) + (wire (pts (xy 78.74 147.32) (xy 107.95 147.32)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 859bb1a0-2c8f-4619-aa66-1dac508f2576) + ) + (wire (pts (xy 289.56 137.16) (xy 289.56 139.7)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 85c6f865-06f4-48bd-86a4-06b0bb455a14) + ) + (wire (pts (xy 491.49 147.32) (xy 494.03 147.32)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 85cccb4a-0a02-4c07-a6ca-0456208032b8) + ) + (wire (pts (xy 542.29 203.2) (xy 544.83 203.2)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 8633b2a0-f062-4cbb-b981-515787ed3720) + ) + (wire (pts (xy 201.93 292.1) (xy 201.93 294.64)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 87185968-df4f-49d3-b32f-dfb407ff0ae6) + ) + (wire (pts (xy 189.23 281.94) (xy 189.23 284.48)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 8734117c-1f79-48ae-add5-960a22fa2659) + ) + (wire (pts (xy 97.79 340.36) (xy 97.79 391.16)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 873a79b2-11c6-4929-8566-bf16ae5f8dfb) + ) + (wire (pts (xy 44.45 110.49) (xy 46.99 110.49)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 875fe62b-7a91-4b31-abdf-c0e797445687) + ) + (wire (pts (xy 542.29 195.58) (xy 544.83 195.58)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 87d3a8c6-08cc-4e2d-bd79-2a3104a4bb64) + ) + (wire (pts (xy 109.22 320.04) (xy 128.27 320.04)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 87eda974-678a-4121-8ac4-f75acecbe116) + ) + (wire (pts (xy 44.45 140.97) (xy 46.99 140.97)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 88326a74-734a-44fc-9a28-6f9bcba221c8) + ) + (wire (pts (xy 289.56 111.76) (xy 289.56 109.22)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 88514ba3-3c33-4eef-8020-c02f58bae04f) + ) + (wire (pts (xy 57.15 270.51) (xy 57.15 273.05)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 890a8c9a-5163-48e3-93f9-cc66ee85ed34) + ) + (wire (pts (xy 135.89 128.27) (xy 135.89 140.97)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 89106ef2-acc4-425a-aad8-27315241bdff) + ) + (wire (pts (xy 391.16 105.41) (xy 391.16 132.08)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 89948393-35df-487e-b714-0a18cfc2ef8b) + ) + (polyline (pts (xy 518.16 17.78) (xy 518.16 81.28)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 89f12562-d575-498f-b2b9-c3c853162107) + ) + (polyline (pts (xy 518.16 17.78) (xy 576.58 17.78)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 8a13121f-7f6e-4298-8720-b82e924bf1cb) + ) + + (wire (pts (xy 189.23 294.64) (xy 201.93 294.64)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 8a21fa8f-f55c-480a-b34c-282e21eaadc7) + ) + (wire (pts (xy 162.56 52.07) (xy 162.56 57.15)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 8afb5bef-2837-4d02-b864-31fc5df72220) + ) + (wire (pts (xy 129.54 186.69) (xy 134.62 186.69)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 8b0992b3-bbdf-47ea-80c8-23e663cd4501) + ) + (wire (pts (xy 389.89 121.92) (xy 392.43 121.92)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 8b5d8da3-35b9-4019-87b0-0cf35fc64d17) + ) + (wire (pts (xy 325.12 109.22) (xy 327.66 109.22)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 8b90afdf-5b75-4c38-9e9d-7b7d99faa7c5) + ) + (wire (pts (xy 386.08 62.23) (xy 391.16 62.23)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 8bc8c3ae-9451-41e6-a70b-d700ad6748a3) + ) + (wire (pts (xy 21.59 148.59) (xy 24.13 148.59)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 8c7b0831-1ebc-4ebb-aa1c-e66b008f225d) + ) + (wire (pts (xy 107.95 147.32) (xy 116.84 147.32)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 8c887745-fbfd-4482-ae6a-49cee474d447) + ) + (wire (pts (xy 238.76 281.94) (xy 238.76 279.4)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 8cbd6768-47a0-4c4a-867f-adeeb5d285cd) + ) + (wire (pts (xy 327.66 101.6) (xy 327.66 104.14)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 8cf18105-108f-4b95-8671-31f202ef9854) + ) + (wire (pts (xy 389.89 180.34) (xy 392.43 180.34)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 8d244b70-20ae-4c55-a2cd-a84698adbb40) + ) + (wire (pts (xy 194.31 374.65) (xy 194.31 377.19)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 8d349747-f503-4312-b5cb-ace97d4c5ca4) + ) + (wire (pts (xy 175.26 59.69) (xy 175.26 57.15)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 8d6c8857-c0bb-4d7f-99ff-41ff8072f38a) + ) + (wire (pts (xy 532.13 39.37) (xy 532.13 40.64)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 8d756aea-5495-4870-b54a-784f0bf6efac) + ) + (wire (pts (xy 317.5 39.37) (xy 317.5 54.61)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 8da57899-efad-4e7f-ab59-706aa1030beb) + ) + (wire (pts (xy 491.49 165.1) (xy 494.03 165.1)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 8dcad18a-bd7e-45c6-ad07-7a70e3257d54) + ) + (wire (pts (xy 172.72 129.54) (xy 177.8 129.54)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 8e6351d1-0b1d-444c-b1d7-68a8b93abb0f) + ) + (wire (pts (xy 481.33 46.99) (xy 481.33 52.07)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 8e93d6c7-1c5a-45fa-89a5-f65017d979fa) + ) + (wire (pts (xy 175.26 294.64) (xy 189.23 294.64)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 8e9f0149-64fc-4baa-821b-cf2dca6f8541) + ) + (wire (pts (xy 440.69 160.02) (xy 443.23 160.02)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 8f0037eb-80d3-4bdd-b87e-6a9354ddc4db) + ) + (wire (pts (xy 44.45 125.73) (xy 46.99 125.73)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 8fcd2ba2-efac-4b4f-a706-883667cd6e6e) + ) + (wire (pts (xy 127 41.91) (xy 149.86 41.91)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 90134005-5851-4997-9f09-a94ec90e9fe6) + ) + (wire (pts (xy 289.56 127) (xy 289.56 129.54)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 90b018c7-8a55-4435-b822-2b1c1d08774e) + ) + (wire (pts (xy 175.26 134.62) (xy 177.8 134.62)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 91490558-3308-4697-9029-61f2f1bc372e) + ) + (wire (pts (xy 332.74 71.12) (xy 332.74 73.66)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 91687566-796d-43be-8c6f-f14d80b2d32c) + ) + (wire (pts (xy 256.54 29.21) (xy 214.63 29.21)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 91953eca-2749-4adf-9224-bf911faadfdd) + ) + (wire (pts (xy 44.45 163.83) (xy 46.99 163.83)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 91c524b9-eb2b-427e-a9f3-7970fb32c42e) + ) + (wire (pts (xy 264.16 279.4) (xy 276.86 279.4)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 9208977a-3c02-466f-9747-ba7d551bcf7f) + ) + (wire (pts (xy 158.75 139.7) (xy 173.99 139.7)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 921481b3-2aa4-4b77-9de3-316405421fda) + ) + (wire (pts (xy 306.07 304.8) (xy 311.15 304.8)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 9260c3eb-1ba6-49e8-babc-e5ce8ba957fa) + ) + (wire (pts (xy 173.99 350.52) (xy 179.07 350.52)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 92b5d4be-93af-499b-8f3a-73b7f5f9c89f) + ) + (wire (pts (xy 542.29 185.42) (xy 544.83 185.42)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 92f3cd7d-d6fe-45b9-b280-44f3ff8bc571) + ) + (wire (pts (xy 215.9 292.1) (xy 215.9 294.64)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 9371be6c-7c24-4546-a599-8fd31b068067) + ) + (wire (pts (xy 44.45 257.81) (xy 46.99 257.81)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 9396c74e-8fcd-47a5-8c19-299f98c8171a) + ) + (wire (pts (xy 161.29 292.1) (xy 161.29 294.64)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 939bb037-beda-4a11-b373-3d097823a655) + ) + (wire (pts (xy 87.63 54.61) (xy 87.63 57.15)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 93b59317-627f-4c9e-825c-d30ee44cb934) + ) + (wire (pts (xy 173.99 327.66) (xy 179.07 327.66)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 93bff9d7-9c26-4269-a132-426a43cb9dad) + ) + (wire (pts (xy 215.9 284.48) (xy 215.9 281.94)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 94265119-4919-46ad-9e6a-f2d12d28d23d) + ) + (wire (pts (xy 321.31 322.58) (xy 321.31 325.12)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 946797dd-b5d8-4b37-929b-65dcdd5268fc) + ) + (wire (pts (xy 72.39 31.75) (xy 137.16 31.75)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 953ba648-32ba-437b-beee-09f47b973a4c) + ) + (wire (pts (xy 311.15 299.72) (xy 308.61 299.72)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 957bd002-371c-4542-ab50-90c1c2fcacad) + ) + (polyline (pts (xy 513.08 81.28) (xy 411.48 81.28)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 95c93166-e336-47df-a605-7f79594456dc) + ) + + (wire (pts (xy 151.13 388.62) (xy 151.13 391.16)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 95cf3d8b-7c23-4c53-a955-c725274fbc3c) + ) + (wire (pts (xy 251.46 246.38) (xy 251.46 248.92)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 96062ebf-6b65-4238-9b78-0ab472a3c91b) + ) + (wire (pts (xy 325.12 104.14) (xy 327.66 104.14)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 96707812-5f14-443a-97d6-ec9e987b4583) + ) + (wire (pts (xy 327.66 142.24) (xy 336.55 142.24)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 96d9b3f5-eeb3-4120-8e71-035f5334ddff) + ) + (wire (pts (xy 109.22 281.94) (xy 109.22 320.04)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 96dc7585-706d-4cbf-bee0-c8cea18f982e) + ) + (wire (pts (xy 336.55 149.86) (xy 336.55 156.21)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 9758da75-d830-4d37-8b3a-b5ba0c2c9b0a) + ) + (wire (pts (xy 194.31 364.49) (xy 194.31 367.03)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 97e6b77f-ec18-4eb8-9c4a-4f1c5709d4c0) + ) + (wire (pts (xy 542.29 193.04) (xy 544.83 193.04)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 97f668d8-a549-47ec-b868-5fabf1bccdca) + ) + (wire (pts (xy 300.99 44.45) (xy 300.99 29.21)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 98062cb1-a2dd-4613-9aa4-dcd39b4861ea) + ) + (wire (pts (xy 440.69 114.3) (xy 443.23 114.3)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 983208f8-2e3f-4b58-b27e-bf1756f9db86) + ) + (wire (pts (xy 95.25 193.04) (xy 100.33 193.04)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 98d1248b-3a9a-481f-8dcd-94af924602e8) + ) + (wire (pts (xy 276.86 279.4) (xy 276.86 281.94)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 98d7fac1-a2c2-4c59-84d3-bd4a92632a26) + ) + (wire (pts (xy 386.08 54.61) (xy 391.16 54.61)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 999eb7dc-fb5a-40eb-a2fc-23c706341213) + ) + (wire (pts (xy 510.54 52.07) (xy 508 52.07)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 9a0baa06-c1d4-4d03-b40e-71754829df76) + ) + (wire (pts (xy 388.62 39.37) (xy 388.62 41.91)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 9a0edb64-e68d-4791-95b8-c7577838a022) + ) + (wire (pts (xy 327.66 134.62) (xy 327.66 137.16)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 9a5862ee-851f-46dc-b537-f62deebf8adb) + ) + (wire (pts (xy 251.46 279.4) (xy 251.46 281.94)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 9acf61f1-5c02-4025-8ace-ef4972417af5) + ) + (wire (pts (xy 238.76 259.08) (xy 238.76 261.62)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 9b0d9600-3f53-41c7-ab53-32ba848dd815) + ) + (wire (pts (xy 323.85 246.38) (xy 321.31 246.38)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 9b0e9ba3-fed2-48c7-9e52-6f7b8aacfd69) + ) + (wire (pts (xy 129.54 57.15) (xy 162.56 57.15)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 9b1b7805-740d-4d79-99e4-884c294c8371) + ) + (wire (pts (xy 327.66 114.3) (xy 325.12 114.3)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 9ba05756-bd3d-41df-90c2-8473f668051f) + ) + (wire (pts (xy 132.08 191.77) (xy 132.08 196.85)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 9cb28d85-622e-4054-abe5-ba17b2f52d35) + ) + (wire (pts (xy 327.66 132.08) (xy 361.95 132.08)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 9cc5c1ba-359d-458c-81ec-94773f4eb252) + ) + (wire (pts (xy 542.29 119.38) (xy 544.83 119.38)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 9f27558a-7f77-476d-943a-29357ddfd502) + ) + (wire (pts (xy 389.89 170.18) (xy 392.43 170.18)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 9f30acdd-ff73-4c09-bfc2-dc678b064b8e) + ) + (wire (pts (xy 306.07 292.1) (xy 311.15 292.1)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 9f891ed1-c16c-482c-9d03-c742e7110c33) + ) + (wire (pts (xy 129.54 181.61) (xy 134.62 181.61)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 9fe2d872-768c-41a0-84fc-4d2de2cecb24) + ) + (wire (pts (xy 22.86 270.51) (xy 57.15 270.51)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid a04afd0f-dae2-45a3-b793-4b24560568c6) + ) + (wire (pts (xy 152.4 186.69) (xy 157.48 186.69)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid a07929ec-f0c8-4259-9904-309e1dae4c67) + ) + (wire (pts (xy 468.63 59.69) (xy 510.54 59.69)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid a10705d6-83b1-46d5-af89-78b6f7ddb5a1) + ) + (wire (pts (xy 153.67 388.62) (xy 153.67 391.16)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid a1161bc9-0969-4a80-9c9e-acd901d602c6) + ) + (wire (pts (xy 93.98 119.38) (xy 96.52 119.38)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid a17e6b7c-4624-4ef0-a7fe-7b11426894b5) + ) + (wire (pts (xy 128.27 378.46) (xy 125.73 378.46)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid a1b92711-5470-4f31-8c8c-ed9f387d6f3d) + ) + (wire (pts (xy 332.74 67.31) (xy 332.74 71.12)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid a1d7cbc8-1978-4b3e-8597-f1f714d9144f) + ) + (wire (pts (xy 389.89 152.4) (xy 392.43 152.4)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid a25ab37e-7b6d-495b-92ec-310023e4088a) + ) + (wire (pts (xy 44.45 151.13) (xy 46.99 151.13)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid a2708814-14f3-4fc6-af9d-82469b0925ce) + ) + (wire (pts (xy 21.59 100.33) (xy 21.59 105.41)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid a2d203fe-bb06-46c2-9862-a90fbaaf5997) + ) + (wire (pts (xy 158.75 391.16) (xy 161.29 391.16)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid a2d5c8da-c065-4abd-84cf-63ad2eec3e98) + ) + (wire (pts (xy 389.89 162.56) (xy 392.43 162.56)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid a2e0598d-4f4f-43c9-ba8b-a9254a31d2b3) + ) + (wire (pts (xy 349.25 101.6) (xy 361.95 101.6)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid a31edaf4-0ccd-470a-ab10-a01e0393a9b9) + ) + (wire (pts (xy 471.17 34.29) (xy 471.17 31.75)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid a36ba794-ec41-4d29-9e60-805d8bbca246) + ) + (wire (pts (xy 175.26 121.92) (xy 177.8 121.92)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid a43ee001-7fad-4d7f-a81b-ae600edb8122) + ) + (wire (pts (xy 251.46 101.6) (xy 264.16 101.6)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid a459f4fa-a284-4702-8581-9e1fa06c424b) + ) + (wire (pts (xy 21.59 146.05) (xy 24.13 146.05)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid a47b5d24-c722-4a9c-97a9-50e1193eb2c9) + ) + (wire (pts (xy 44.45 186.69) (xy 46.99 186.69)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid a4c8a5d6-3621-4a70-9a88-9650a1354751) + ) + (wire (pts (xy 44.45 166.37) (xy 46.99 166.37)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid a55d44cd-3652-4592-9f4f-19e130b2c711) + ) + (wire (pts (xy 223.52 137.16) (xy 223.52 143.51)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid a560330c-0f7e-4cf4-9551-ec32e7033384) + ) + (wire (pts (xy 256.54 52.07) (xy 256.54 54.61)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid a587a2ad-0ab9-462d-a9d5-36bd718da64f) + ) + (wire (pts (xy 491.49 177.8) (xy 494.03 177.8)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid a5d704ae-c459-4219-8ea6-c7cf8d191a69) + ) + (wire (pts (xy 125.73 378.46) (xy 125.73 391.16)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid a6818933-bef8-4476-bc5b-de46c8170c48) + ) + (wire (pts (xy 440.69 142.24) (xy 443.23 142.24)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid a691f7c8-0fbb-49f5-8615-91af50a749ee) + ) + (wire (pts (xy 306.07 256.54) (xy 311.15 256.54)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid a783f323-1cf4-46a1-ac3a-d481ef43c249) + ) + (wire (pts (xy 97.79 54.61) (xy 97.79 57.15)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid a795055e-fc8e-4160-a5c2-b736d6a4dc04) + ) + (wire (pts (xy 161.29 281.94) (xy 175.26 281.94)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid a85a4105-4c28-4207-a3aa-efa4d1f87a36) + ) + (wire (pts (xy 327.66 121.92) (xy 336.55 121.92)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid a8631a38-6d10-4b03-a2fe-8a4d7bbfe8e1) + ) + (wire (pts (xy 135.89 140.97) (xy 148.59 140.97)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid a9a3a97c-98bf-42d9-8a6e-27650a8d280f) + ) + (wire (pts (xy 251.46 289.56) (xy 251.46 292.1)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid aa8b50fc-c901-4c8e-8f81-7181f9761faf) + ) + (wire (pts (xy 325.12 127) (xy 327.66 127)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid ab9a9293-adbe-4c9c-9592-545e98595b02) + ) + (wire (pts (xy 172.72 124.46) (xy 177.8 124.46)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid abd4c53a-9695-4bd1-b99f-2c8a769a690a) + ) + (wire (pts (xy 251.46 256.54) (xy 251.46 259.08)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid ae477a67-8292-4807-ad44-2611ff2ebeb2) + ) + (wire (pts (xy 436.88 31.75) (xy 436.88 40.64)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid ae781d3c-3eab-4695-85d4-b9098b2703a5) + ) + (wire (pts (xy 91.44 262.89) (xy 91.44 260.35)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid ae84813a-7193-49dc-b4bd-0a957b319357) + ) + (wire (pts (xy 129.54 44.45) (xy 129.54 57.15)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid aea2bef4-417e-4f61-b981-c54ff155319c) + ) + (wire (pts (xy 175.26 39.37) (xy 175.26 44.45)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid b0263f23-e9c4-44dc-9eb6-2a685bb454e7) + ) + (wire (pts (xy 330.2 41.91) (xy 332.74 41.91)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid b0c3f19f-7257-49e8-8a15-8c312087c4b9) + ) + (wire (pts (xy 327.66 144.78) (xy 327.66 142.24)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid b0d0f6e3-6e13-400d-8c3c-fd810ff6c2df) + ) + (wire (pts (xy 308.61 299.72) (xy 308.61 246.38)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid b14f1ca0-49d1-4b10-b0b5-9cf006837624) + ) + (wire (pts (xy 389.89 116.84) (xy 392.43 116.84)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid b17d6108-6100-4333-ba80-0bdf73e51951) + ) + (wire (pts (xy 491.49 142.24) (xy 494.03 142.24)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid b18db09d-c0d3-487b-ab58-074acd698774) + ) + (polyline (pts (xy 233.68 234.95) (xy 360.68 234.95)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid b262fc8b-b9fd-4fa3-8320-e26033473846) + ) + + (wire (pts (xy 289.56 121.92) (xy 289.56 124.46)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid b2bc23db-f340-4c8c-92ea-5ac12aaacdb8) + ) + (wire (pts (xy 491.49 119.38) (xy 494.03 119.38)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid b36bfce4-b975-4a8d-97db-9c8dc37798b5) + ) + (wire (pts (xy 289.56 106.68) (xy 289.56 104.14)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid b3a696eb-d3f3-4532-8099-33634820097f) + ) + (wire (pts (xy 491.49 180.34) (xy 494.03 180.34)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid b3e2dce7-dc88-4b47-a97e-56627cd5c768) + ) + (wire (pts (xy 21.59 138.43) (xy 21.59 140.97)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid b3fb54d9-e1cf-4bbe-9605-589f0917679b) + ) + (wire (pts (xy 36.83 293.37) (xy 36.83 299.72)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid b3fb7231-5b2e-47ba-8cca-371822d6a34d) + ) + (wire (pts (xy 21.59 151.13) (xy 24.13 151.13)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid b460bdc3-dd59-4f22-a60e-75e4f0832123) + ) + (wire (pts (xy 175.26 57.15) (xy 175.26 52.07)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid b4f88096-08f8-4a32-8d3f-75cdc0ba46f6) + ) + (wire (pts (xy 388.62 44.45) (xy 388.62 71.12)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid b5a8d6d5-00ff-45c3-b1ab-a4423a6d7177) + ) + (wire (pts (xy 44.45 107.95) (xy 46.99 107.95)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid b6b105b4-7ec3-4420-8e35-7b6235a9f590) + ) + (wire (pts (xy 542.29 157.48) (xy 544.83 157.48)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid b6daac44-8a6a-4a36-bda0-dcfe97993990) + ) + (wire (pts (xy 332.74 41.91) (xy 335.28 41.91)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid b734ae5a-4944-409f-9ae8-3300d6dbe0f0) + ) + (wire (pts (xy 440.69 116.84) (xy 443.23 116.84)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid b78411d0-1a11-47d1-a15c-49374fa94375) + ) + (wire (pts (xy 557.53 39.37) (xy 560.07 39.37)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid b785546e-bd61-449b-9e37-9ef0ba694e1a) + ) + (wire (pts (xy 22.86 31.75) (xy 22.86 34.29)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid b7d28958-0ed6-48eb-8d95-79237caec674) + ) + (wire (pts (xy 146.05 391.16) (xy 148.59 391.16)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid b7f72e68-f6ac-4475-a654-95069d56804a) + ) + (wire (pts (xy 336.55 292.1) (xy 341.63 292.1)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid b8230444-d6e5-4876-93ed-fc033f08698d) + ) + (wire (pts (xy 373.38 119.38) (xy 373.38 129.54)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid b828e985-d2ad-4efd-9377-dfe9ffbf457a) + ) + (wire (pts (xy 332.74 29.21) (xy 388.62 29.21)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid b82c78f4-ede7-4a2b-ba95-bd922f8d98b1) + ) + (wire (pts (xy 251.46 109.22) (xy 264.16 109.22)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid b8729dae-4901-4fcc-86f7-e540f25adf79) + ) + (wire (pts (xy 21.59 110.49) (xy 21.59 107.95)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid b8909ea4-d194-40d6-98ec-bde854c2b6ee) + ) + (wire (pts (xy 44.45 135.89) (xy 46.99 135.89)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid b8c5f1f2-99b2-4c88-9bc2-9130bc42eb04) + ) + (wire (pts (xy 336.55 279.4) (xy 341.63 279.4)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid b8e6a200-fef6-4796-80f0-477646f03c42) + ) + (wire (pts (xy 542.29 124.46) (xy 544.83 124.46)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid b90a2a9a-4e83-4b1d-a941-9e887c39432b) + ) + (wire (pts (xy 289.56 127) (xy 292.1 127)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid b9a64084-699a-49ae-9375-6681e49eb0ae) + ) + (wire (pts (xy 97.79 391.16) (xy 109.22 391.16)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid b9b23809-1ec4-4571-b890-26829d44d515) + ) + (wire (pts (xy 201.93 294.64) (xy 215.9 294.64)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid b9c09c8e-1eea-42f7-afef-3ce3ede0a151) + ) + (wire (pts (xy 386.08 64.77) (xy 391.16 64.77)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid b9dfa8a2-1cec-49e4-a761-390519d0a330) + ) + (wire (pts (xy 200.66 184.15) (xy 200.66 181.61)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid babf4ff0-c4d4-4274-86f5-191c50fc7600) + ) + (polyline (pts (xy 295.91 17.78) (xy 295.91 81.28)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid bb0010cd-2cc9-48c3-8587-ff16d7d89250) + ) + + (wire (pts (xy 148.59 140.97) (xy 175.26 140.97)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid bbbede46-09c0-4439-a4ae-317a14b22160) + ) + (wire (pts (xy 300.99 52.07) (xy 300.99 67.31)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid bc47c703-8b5e-439f-a62b-7f8291840162) + ) + (wire (pts (xy 327.66 101.6) (xy 336.55 101.6)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid bca69ba6-fabe-46c8-a9b3-bf3507624468) + ) + (wire (pts (xy 386.08 57.15) (xy 391.16 57.15)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid bca772b9-5e5a-45fa-979f-d2e067a423a9) + ) + (wire (pts (xy 170.18 109.22) (xy 175.26 109.22)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid bcbd198f-0b25-4f12-a1c5-5bf7c3023afd) + ) + (wire (pts (xy 542.29 167.64) (xy 544.83 167.64)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid bcf30438-e74b-43eb-9e85-a1bdcf81f9ba) + ) + (wire (pts (xy 21.59 130.81) (xy 24.13 130.81)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid bdef97ed-ccf5-4cc9-82ad-11143185c297) + ) + (wire (pts (xy 349.25 156.21) (xy 336.55 156.21)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid be0d9c80-3e15-4e66-827d-3719925318cf) + ) + (wire (pts (xy 21.59 138.43) (xy 24.13 138.43)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid be24866a-0f6c-466a-81d8-e54889840cce) + ) + (wire (pts (xy 386.08 59.69) (xy 391.16 59.69)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid be669c5b-210c-4aac-ad90-e43d520d0d69) + ) + (polyline (pts (xy 204.47 81.28) (xy 17.78 81.28)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid beccd7ff-f25a-4c33-ae0d-1c73da72c645) + ) + + (wire (pts (xy 491.49 134.62) (xy 494.03 134.62)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid c0c90633-3ea8-4831-a917-601f63129690) + ) + (wire (pts (xy 289.56 124.46) (xy 292.1 124.46)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid c0e06899-5f16-459d-bc7d-19bfd9344269) + ) + (wire (pts (xy 458.47 198.12) (xy 458.47 200.66)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid c124cefa-ec30-4bb2-84c7-6eecfbbdc6f0) + ) + (wire (pts (xy 215.9 294.64) (xy 215.9 308.61)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid c1644c73-f0a1-43e5-b628-f52691e35f5d) + ) + (wire (pts (xy 173.99 137.16) (xy 177.8 137.16)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid c1e35ecb-5e6e-4b21-b700-1492e3da1d0c) + ) + (wire (pts (xy 67.31 257.81) (xy 93.98 257.81)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid c26548db-bdb5-471b-9b53-6e00c26d037d) + ) + (wire (pts (xy 391.16 132.08) (xy 392.43 132.08)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid c265f969-5ac2-4466-856b-934853781621) + ) + (wire (pts (xy 289.56 116.84) (xy 289.56 119.38)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid c276a43f-574a-469c-8f97-6058cf85b48a) + ) + (wire (pts (xy 109.22 281.94) (xy 146.05 281.94)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid c2d39fd4-7599-4721-9435-a92a142e9ae4) + ) + (wire (pts (xy 389.89 167.64) (xy 392.43 167.64)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid c3a67447-4b0d-4c60-8ad0-6e037a436dd9) + ) + (wire (pts (xy 289.56 129.54) (xy 289.56 132.08)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid c3d8a844-fc2e-4b52-a493-26fcae0caeff) + ) + (wire (pts (xy 109.22 347.98) (xy 109.22 391.16)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid c405d147-8a2a-4d87-9ecb-bafbc88988af) + ) + (wire (pts (xy 152.4 189.23) (xy 157.48 189.23)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid c451a534-b461-4978-8761-587a9b54dabf) + ) + (wire (pts (xy 491.49 127) (xy 494.03 127)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid c48d6524-c444-4aa6-9596-1194d975a3c4) + ) + (wire (pts (xy 557.53 36.83) (xy 557.53 39.37)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid c5a80fcd-740c-4c2b-8fae-0ab54362534d) + ) + (wire (pts (xy 317.5 29.21) (xy 317.5 31.75)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid c6d500e1-e8ba-46de-ba6f-07913a227629) + ) + (wire (pts (xy 388.62 44.45) (xy 391.16 44.45)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid c7a492f0-492f-4fca-b1e6-8e4fd98b9c36) + ) + (wire (pts (xy 198.12 184.15) (xy 200.66 184.15)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid c7baddaf-d7b5-47f9-91f9-af98cd421ac9) + ) + (wire (pts (xy 125.73 353.06) (xy 128.27 353.06)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid c7be62d8-ab87-4292-a55e-4c1bec19522a) + ) + (wire (pts (xy 325.12 106.68) (xy 327.66 106.68)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid c80b9c10-f473-4acf-a9ab-3efd30724259) + ) + (wire (pts (xy 468.63 59.69) (xy 436.88 59.69)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid c81f1f51-d36d-4f82-a0fd-d0fa7847b54b) + ) + (wire (pts (xy 327.66 129.54) (xy 327.66 127)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid c99fa6d2-9561-4c9d-990f-95ea4f4be910) + ) + (wire (pts (xy 276.86 101.6) (xy 289.56 101.6)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid ca110188-0cf8-48ea-95bb-7b420e3725c2) + ) + (wire (pts (xy 238.76 101.6) (xy 251.46 101.6)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid ca525e19-94ec-470d-b357-92e4ba8d84e9) + ) + (wire (pts (xy 389.89 119.38) (xy 392.43 119.38)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid caa379f3-c736-4566-a068-a504e3883107) + ) + (polyline (pts (xy 233.68 234.95) (xy 233.68 334.01)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid cac309c9-9f42-49e4-b2de-59df4bed8769) + ) + + (wire (pts (xy 336.55 281.94) (xy 341.63 281.94)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid caf1f198-f263-4e73-814a-30439c072582) + ) + (wire (pts (xy 44.45 179.07) (xy 46.99 179.07)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid caf32f7d-63ad-4300-992d-f6152925e087) + ) + (wire (pts (xy 175.26 281.94) (xy 175.26 284.48)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid caf604c3-ec42-4830-9b6d-247d766b7fd7) + ) + (wire (pts (xy 289.56 101.6) (xy 289.56 95.25)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid cb0bc55f-947e-4bf8-96da-1465bfd156a3) + ) + (wire (pts (xy 256.54 29.21) (xy 256.54 31.75)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid cb6b11cf-6a9d-4353-b2c3-b87e56b7560a) + ) + (wire (pts (xy 389.89 160.02) (xy 392.43 160.02)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid cba9495e-3fce-41c5-a038-191cabb8b6c1) + ) + (wire (pts (xy 162.56 39.37) (xy 175.26 39.37)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid cba9ceeb-0a8d-4f9a-9d33-f74959b58ad1) + ) + (wire (pts (xy 440.69 149.86) (xy 443.23 149.86)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid cbae81bd-794f-40b2-8a9c-10e929c6f1d0) + ) + (wire (pts (xy 336.55 284.48) (xy 341.63 284.48)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid cbb8e5e0-8be3-43f9-9538-ec5891622206) + ) + (wire (pts (xy 172.72 132.08) (xy 177.8 132.08)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid cc48121f-359d-41f1-84e4-233f0326a734) + ) + (wire (pts (xy 125.73 358.14) (xy 128.27 358.14)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid cc6f284e-88cb-4aa5-82a4-31b7275917ec) + ) + (wire (pts (xy 107.95 114.3) (xy 107.95 127)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid cc8e1723-cf4e-4b13-9669-aa634a901193) + ) + (wire (pts (xy 312.42 29.21) (xy 317.5 29.21)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid ccf137bc-7447-43f2-9a98-a1e055b68c46) + ) + (wire (pts (xy 388.62 31.75) (xy 388.62 29.21)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid cd1040e7-dfc7-4ef0-8b37-286b733900a9) + ) + (wire (pts (xy 487.68 48.26) (xy 487.68 52.07)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid cdad9efa-afe7-4070-966e-0444df96d2f0) + ) + (wire (pts (xy 440.69 137.16) (xy 443.23 137.16)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid cdbd410d-bb76-4ab4-a67a-f41e754afbf1) + ) + (wire (pts (xy 289.56 104.14) (xy 289.56 101.6)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid ce3e8bc2-83d4-4eae-ba12-94d60e8f53e1) + ) + (wire (pts (xy 35.56 31.75) (xy 35.56 34.29)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid cea66a57-36bc-489a-af51-7aad0f982d71) + ) + (wire (pts (xy 487.68 36.83) (xy 500.38 36.83)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid cf1fac16-3074-4bbf-8651-5c51c763830d) + ) + (wire (pts (xy 146.05 308.61) (xy 175.26 308.61)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid cf7d50b1-032b-492b-a56e-dd0c68a8cc1d) + ) + (wire (pts (xy 542.29 57.15) (xy 544.83 57.15)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid cff16bd8-2ac1-40e3-83c5-2cf36753106c) + ) + (wire (pts (xy 289.56 139.7) (xy 289.56 142.24)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid cfff217e-bf79-4946-a5a8-542cc9be35ee) + ) + (wire (pts (xy 542.29 170.18) (xy 544.83 170.18)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid d11c557f-18b0-4d82-9c3a-81a4e54a61f4) + ) + (wire (pts (xy 389.89 124.46) (xy 392.43 124.46)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid d134d42c-4cf6-4ad5-a43b-9a2e45153cf8) + ) + (wire (pts (xy 289.56 109.22) (xy 289.56 106.68)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid d1462381-26ee-4da5-a8e6-c9bbec6c7c11) + ) + (wire (pts (xy 21.59 153.67) (xy 21.59 196.85)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid d14c4c6e-a160-4e47-8362-be2921fe9399) + ) + (wire (pts (xy 532.13 57.15) (xy 534.67 57.15)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid d1d7bf84-d1f8-4324-b370-aac12df172f8) + ) + (wire (pts (xy 312.42 26.67) (xy 312.42 29.21)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid d1d8ff4d-3cdc-4892-9742-314533dce770) + ) + (wire (pts (xy 149.86 41.91) (xy 191.77 41.91)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid d2706a4f-49f2-41d4-ac63-66a38a1bfa06) + ) + (wire (pts (xy 87.63 57.15) (xy 97.79 57.15)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid d29a9ecb-5d3c-45ce-b557-2d5d8b5db571) + ) + (wire (pts (xy 163.83 388.62) (xy 163.83 391.16)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid d2e0f7f8-3c2f-4a02-88cf-f4674c78884b) + ) + (wire (pts (xy 336.55 129.54) (xy 349.25 129.54)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid d2ebb05c-4ea8-4d33-9cb9-e460bb3268bc) + ) + (wire (pts (xy 440.69 119.38) (xy 443.23 119.38)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid d2ee9686-3bf2-474b-8d44-307ec7b9718c) + ) + (wire (pts (xy 173.99 355.6) (xy 179.07 355.6)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid d2f6bb7f-ab68-487c-9224-14290b8f2f24) + ) + (wire (pts (xy 194.31 388.62) (xy 194.31 391.16)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid d3069b49-29d2-4816-881e-4421a7b29b74) + ) + (wire (pts (xy 148.59 120.65) (xy 148.59 106.68)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid d3238d91-1dcf-4ad3-bf1b-290dfe0c4ba2) + ) + (wire (pts (xy 24.13 107.95) (xy 21.59 107.95)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid d34bf6ca-0984-43a9-a35c-dc02e47ac452) + ) + (wire (pts (xy 327.66 142.24) (xy 327.66 139.7)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid d40067e4-6c42-4d7b-8c5b-095c37b41202) + ) + (wire (pts (xy 129.54 189.23) (xy 134.62 189.23)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid d43cb3e5-0a0a-40e0-8f4c-775565629383) + ) + (wire (pts (xy 289.56 129.54) (xy 292.1 129.54)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid d44da2e9-d54d-4999-a6da-9d646bf1253c) + ) + (wire (pts (xy 438.15 212.09) (xy 438.15 218.44)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid d51a3680-9bd8-45a3-bf1e-8dabe485fe96) + ) + (wire (pts (xy 153.67 281.94) (xy 153.67 312.42)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid d5304cfd-7f74-4c2e-9f15-4c2968cbfdb3) + ) + (wire (pts (xy 336.55 254) (xy 341.63 254)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid d53af91d-13da-4bb9-a864-b98912dda3cf) + ) + (wire (pts (xy 21.59 148.59) (xy 21.59 151.13)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid d5402645-de4e-426f-8341-6d5658413d42) + ) + (wire (pts (xy 289.56 144.78) (xy 292.1 144.78)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid d54307ac-2c27-4d68-b192-7b0c7d168d74) + ) + (wire (pts (xy 154.94 176.53) (xy 154.94 191.77)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid d5454e98-084c-4ac2-ac77-1b4dd835b3ef) + ) + (wire (pts (xy 289.56 156.21) (xy 289.56 158.75)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid d57253a5-51e6-4777-8139-6bb5ab19b813) + ) + (wire (pts (xy 336.55 271.78) (xy 341.63 271.78)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid d5f3c190-b3be-48f3-b8a6-e41bba2e269e) + ) + (wire (pts (xy 327.66 95.25) (xy 327.66 101.6)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid d7705d4b-87f2-4eff-b8b0-cd5c3205c1af) + ) + (wire (pts (xy 200.66 186.69) (xy 198.12 186.69)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid d7afaf78-16ab-4075-a792-2eadc732a504) + ) + (wire (pts (xy 97.79 46.99) (xy 97.79 44.45)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid d7bd9c1b-e481-484d-ac1f-d68051f7403e) + ) + (wire (pts (xy 161.29 294.64) (xy 161.29 297.18)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid d85c6aab-e7f8-4c13-8a6d-5d962f8ce045) + ) + (wire (pts (xy 306.07 266.7) (xy 311.15 266.7)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid d9b4b9ec-e761-4f6a-8f3c-09025e57fd3d) + ) + (wire (pts (xy 109.22 325.12) (xy 128.27 325.12)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid d9fc8702-3911-4d6c-9c7d-629c8a7053c9) + ) + (wire (pts (xy 325.12 119.38) (xy 327.66 119.38)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid da2fc784-27ec-4c61-85d5-aa3629f8da09) + ) + (wire (pts (xy 389.89 149.86) (xy 392.43 149.86)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid da4349c5-9342-4c74-8c10-cca4c3af9ad1) + ) + (wire (pts (xy 317.5 54.61) (xy 335.28 54.61)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid da63cb36-8e80-4669-84f8-474e9a010aba) + ) + (wire (pts (xy 386.08 41.91) (xy 388.62 41.91)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid dafe236c-cf83-4c42-aa6c-801ceac80a46) + ) + (wire (pts (xy 389.89 154.94) (xy 392.43 154.94)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid db283921-b795-4168-9a9f-bee458e120cd) + ) + (wire (pts (xy 24.13 110.49) (xy 21.59 110.49)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid db4764ce-fff9-4e4f-9897-8fed6ea5be43) + ) + (polyline (pts (xy 411.48 17.78) (xy 513.08 17.78)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid db4b01d3-fd7b-4e5b-a35f-fef1da493ebf) + ) + (polyline (pts (xy 228.6 86.36) (xy 228.6 229.87)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid dc2ea5dc-fa1b-4205-b184-e65ae65fe33f) + ) + + (wire (pts (xy 21.59 113.03) (xy 21.59 110.49)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid dc520f92-46eb-4137-82c5-95d93320b925) + ) + (wire (pts (xy 532.13 57.15) (xy 532.13 59.69)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid dc555b3b-f6ff-47b0-a514-427b9969285f) + ) + (wire (pts (xy 134.62 191.77) (xy 132.08 191.77)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid dc93a231-3cd3-4ab3-9d1d-1d7ea48cd178) + ) + (wire (pts (xy 440.69 167.64) (xy 443.23 167.64)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid dcdf219b-4964-462d-9dfb-f7cbaee2f1b0) + ) + (wire (pts (xy 389.89 142.24) (xy 392.43 142.24)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid dd86796e-e669-4951-848f-949f102a5640) + ) + (wire (pts (xy 440.69 172.72) (xy 443.23 172.72)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid dddf22f0-745b-4711-98d4-14835975980e) + ) + (wire (pts (xy 57.15 246.38) (xy 57.15 250.19)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid de1dac42-7679-46f2-8099-4174341e7212) + ) + (wire (pts (xy 332.74 31.75) (xy 332.74 29.21)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid deae57c7-4455-4b7f-bf61-ad6f596f885e) + ) + (wire (pts (xy 173.99 337.82) (xy 179.07 337.82)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid df0bf2f1-4df4-4fc0-a7cf-1162a7a4f163) + ) + (wire (pts (xy 67.31 260.35) (xy 91.44 260.35)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid df52a7b4-1cf4-4543-94d4-1b9284abea4d) + ) + (wire (pts (xy 161.29 388.62) (xy 161.29 391.16)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid df858fae-c7ce-43aa-b111-d4cfab14f185) + ) + (wire (pts (xy 175.26 26.67) (xy 175.26 39.37)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid e0754c4d-2d36-456c-af8f-b1fd6dd21f7d) + ) + (wire (pts (xy 158.75 109.22) (xy 158.75 139.7)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid e0f0b9bd-3d84-4884-ad91-3997b3ec133e) + ) + (wire (pts (xy 148.59 304.8) (xy 148.59 307.34)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid e151b314-4345-45b3-a60e-b6f5563ed4b9) + ) + (wire (pts (xy 173.99 139.7) (xy 173.99 137.16)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid e1633004-76e0-497c-984b-b645fb97919f) + ) + (wire (pts (xy 109.22 279.4) (xy 109.22 281.94)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid e1e4008b-36ca-4755-abc1-0eb90b4b8c20) + ) + (wire (pts (xy 21.59 153.67) (xy 24.13 153.67)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid e28be3fd-41a4-4c93-9ce3-ba1085fbabf5) + ) + (wire (pts (xy 327.66 106.68) (xy 327.66 104.14)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid e29a3715-8d20-4298-8e4f-7a77be781033) + ) + (wire (pts (xy 161.29 304.8) (xy 161.29 307.34)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid e2afca88-9038-4254-b8d9-6f15a8305a6f) + ) + (wire (pts (xy 336.55 119.38) (xy 349.25 119.38)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid e2ed3ef5-d68d-43b7-98a3-8729998bd090) + ) + (wire (pts (xy 330.2 44.45) (xy 335.28 44.45)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid e3215ccf-9cd9-4be7-aa3b-52ac20afb41a) + ) + (wire (pts (xy 36.83 313.69) (xy 57.15 313.69)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid e32f4b0c-9151-4bdc-8087-3fad50bdb172) + ) + (wire (pts (xy 336.55 121.92) (xy 349.25 121.92)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid e3434fe1-feba-43a2-a398-e4f0e266a9e8) + ) + (wire (pts (xy 269.24 46.99) (xy 274.32 46.99)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid e36d46ca-2b4f-4af1-9770-b8553d08a25c) + ) + (wire (pts (xy 491.49 129.54) (xy 494.03 129.54)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid e3e8b31f-612a-49c5-a4fa-ca8e91491de4) + ) + (wire (pts (xy 325.12 129.54) (xy 327.66 129.54)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid e3f48072-f34f-43bb-926e-42f6d9470f85) + ) + (wire (pts (xy 440.69 144.78) (xy 443.23 144.78)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid e41e1060-6da7-4166-8666-48f594fd985b) + ) + (wire (pts (xy 491.49 162.56) (xy 494.03 162.56)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid e4cc12e0-2fa6-4bcb-84fc-5847f16bee62) + ) + (wire (pts (xy 264.16 279.4) (xy 264.16 281.94)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid e4cfccb9-df3e-4739-b834-5892227f4fbc) + ) + (wire (pts (xy 542.29 121.92) (xy 544.83 121.92)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid e4d20ccb-1704-4245-91d9-02ce186e1618) + ) + (polyline (pts (xy 576.58 17.78) (xy 576.58 81.28)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid e548067e-9a67-48e5-b727-7f8e72e4bbb3) + ) + + (wire (pts (xy 60.96 59.69) (xy 60.96 57.15)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid e5700b4c-7818-4e4c-a2e1-735a496335df) + ) + (wire (pts (xy 135.89 106.68) (xy 148.59 106.68)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid e5858076-ee6f-447a-9d8e-025438c112b6) + ) + (wire (pts (xy 325.12 139.7) (xy 327.66 139.7)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid e60d70b4-49e6-4edd-bfe5-bd0e14ccd3ef) + ) + (wire (pts (xy 264.16 109.22) (xy 276.86 109.22)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid e6502c95-79e4-4afa-812d-d3690a9a6bc7) + ) + (wire (pts (xy 440.69 162.56) (xy 443.23 162.56)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid e66d8f6f-aa8b-48d8-bb2b-bde00dc24d64) + ) + (wire (pts (xy 289.56 134.62) (xy 289.56 137.16)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid e673ed95-1154-4163-bdd6-b28f8dfd0f81) + ) + (wire (pts (xy 44.45 118.11) (xy 46.99 118.11)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid e6a0fec0-6731-4ba3-a373-7651f4b2ce46) + ) + (wire (pts (xy 440.69 182.88) (xy 443.23 182.88)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid e6a74ddc-6f8f-4868-9ad0-5812e222ffa6) + ) + (polyline (pts (xy 233.68 86.36) (xy 576.58 86.36)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid e72217ac-e7b8-4ce5-a96b-669262d3b6bf) + ) + + (wire (pts (xy 349.25 121.92) (xy 361.95 121.92)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid e7dfada0-47c3-45d7-ba34-76e6ec69eac0) + ) + (wire (pts (xy 44.45 143.51) (xy 46.99 143.51)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid e7f4d28b-abfe-4186-8f14-ad53731ae1eb) + ) + (polyline (pts (xy 204.47 17.78) (xy 204.47 81.28)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid e81ed50a-7f91-472c-a704-74a47e21ac7f) + ) + + (wire (pts (xy 306.07 279.4) (xy 311.15 279.4)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid e83de6d9-e2fe-48ab-aeb7-834d6c1c834b) + ) + (wire (pts (xy 172.72 119.38) (xy 177.8 119.38)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid e8466cba-89a7-485e-b76c-d442ce94bbe9) + ) + (wire (pts (xy 542.29 190.5) (xy 544.83 190.5)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid e85c5154-4677-476b-9bed-f2f83c8e2f68) + ) + (wire (pts (xy 146.05 304.8) (xy 146.05 308.61)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid ea089efc-1be6-472b-bb5e-7b4b3bcae926) + ) + (wire (pts (xy 127 39.37) (xy 162.56 39.37)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid ead397d7-fe3a-4943-b342-4dc70134b94f) + ) + (wire (pts (xy 306.07 314.96) (xy 311.15 314.96)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid eae90ec1-ed12-4b1e-8ed4-822c258078e9) + ) + (wire (pts (xy 214.63 29.21) (xy 214.63 38.1)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid eafdceeb-1570-4757-9e9c-645e29bfe170) + ) + (polyline (pts (xy 576.58 86.36) (xy 576.58 229.87)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid ec8948ea-321d-4dc8-897f-981423f8604b) + ) + + (wire (pts (xy 306.07 307.34) (xy 311.15 307.34)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid ec9fabc3-8861-4da2-9a99-2b0406fbb553) + ) + (wire (pts (xy 440.69 170.18) (xy 443.23 170.18)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid eca6f3e6-732e-401b-b739-344833f71d09) + ) + (wire (pts (xy 327.66 111.76) (xy 336.55 111.76)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid ede13543-bff9-44a1-9ad7-8de025fa7832) + ) + (wire (pts (xy 269.24 36.83) (xy 274.32 36.83)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid edeb6750-0e14-4138-9cc3-0c4a7d724d6e) + ) + (wire (pts (xy 214.63 54.61) (xy 214.63 45.72)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid ee453272-ef64-43f6-b4ff-46485323c4fb) + ) + (wire (pts (xy 189.23 281.94) (xy 201.93 281.94)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid eea724ef-6f3d-443e-9ff8-3e910fec73e4) + ) + (wire (pts (xy 163.83 391.16) (xy 166.37 391.16)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid eecea968-486c-4d93-b94a-b3c8b1626844) + ) + (wire (pts (xy 306.07 309.88) (xy 311.15 309.88)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid eeea6827-16ca-4b7c-b901-57b705cf9c39) + ) + (wire (pts (xy 491.49 132.08) (xy 494.03 132.08)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid ef9e72f8-74ef-43a3-91fc-acccc6bac796) + ) + (wire (pts (xy 327.66 109.22) (xy 327.66 106.68)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid f07ae5b5-2f6d-4e83-adf9-96b53a8b1d2a) + ) + (wire (pts (xy 321.31 243.84) (xy 321.31 246.38)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid f12725af-4878-45d0-8304-ba03ff81cb90) + ) + (wire (pts (xy 148.59 388.62) (xy 148.59 391.16)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid f18ad9a4-fcc3-476e-b36f-7fc7a81592d9) + ) + (wire (pts (xy 335.28 52.07) (xy 332.74 52.07)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid f275ea7b-1b27-40e1-9930-3cdcc075845c) + ) + (wire (pts (xy 151.13 281.94) (xy 153.67 281.94)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid f2a25151-8206-4b96-a888-c16727723c2b) + ) + (wire (pts (xy 332.74 52.07) (xy 332.74 67.31)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid f357ab18-5825-45c8-bddc-93d2109b876e) + ) + (polyline (pts (xy 360.68 234.95) (xy 360.68 334.01)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid f381e827-6118-495d-b6d6-9af95822cc66) + ) + + (wire (pts (xy 44.45 113.03) (xy 46.99 113.03)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid f3b353bc-c020-49ed-a59c-698245e9a214) + ) + (polyline (pts (xy 360.68 334.01) (xy 233.68 334.01)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid f3d20a35-4fcb-41d8-8227-be3d4125fd41) + ) + + (wire (pts (xy 125.73 335.28) (xy 128.27 335.28)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid f3d33590-a77a-4006-89d2-a55c6d28410f) + ) + (wire (pts (xy 125.73 363.22) (xy 128.27 363.22)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid f44e5373-35da-4578-9066-0215d8508c51) + ) + (wire (pts (xy 125.73 391.16) (xy 140.97 391.16)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid f51087bd-747d-451a-ab8e-669243f79e14) + ) + (wire (pts (xy 194.31 391.16) (xy 166.37 391.16)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid f51fe8a5-7338-4fc2-8013-2c34df109998) + ) + (wire (pts (xy 325.12 144.78) (xy 327.66 144.78)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid f644e274-cd95-4455-ad41-b865ccb60875) + ) + (wire (pts (xy 146.05 388.62) (xy 146.05 391.16)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid f662967b-e25e-42a0-b2e8-90c400c87df5) + ) + (wire (pts (xy 152.4 191.77) (xy 154.94 191.77)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid f673cc4e-9328-4574-91a8-bcc0266b5337) + ) + (wire (pts (xy 78.74 247.65) (xy 78.74 246.38)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid f6dd8702-1cad-493e-a50b-7318d229e68d) + ) + (wire (pts (xy 146.05 308.61) (xy 146.05 312.42)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid f7f2dfb0-c966-46f2-8503-18a9bf1bbf64) + ) + (wire (pts (xy 21.59 125.73) (xy 21.59 128.27)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid f7fdc2c1-610a-4aa3-90aa-43dbb5fe3c4c) + ) + (wire (pts (xy 327.66 134.62) (xy 327.66 132.08)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid f860ba0c-b181-4f81-8cb0-1638b4e7dc7a) + ) + (wire (pts (xy 161.29 294.64) (xy 175.26 294.64)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid f92e25a3-2bd6-4c7c-8a71-43568d5efc35) + ) + (polyline (pts (xy 17.78 234.95) (xy 228.6 234.95)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid f92e802f-1859-4dae-a194-bf624c520b98) + ) + + (wire (pts (xy 335.28 49.53) (xy 312.42 49.53)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid f98167cb-072a-4b60-b8eb-28e59876abf6) + ) + (wire (pts (xy 416.56 59.69) (xy 436.88 59.69)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid f9b277c9-635b-45d3-bf00-aee87acd7540) + ) + (wire (pts (xy 93.98 127) (xy 96.52 127)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid f9fe60aa-39c5-4d53-8798-2510c6017369) + ) + (wire (pts (xy 468.63 26.67) (xy 468.63 29.21)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid fa0e6f4b-ffb2-4c0b-b5fb-d27ac5b4e92b) + ) + (wire (pts (xy 336.55 109.22) (xy 349.25 109.22)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid fabcfa4c-7c28-4ae0-a64b-b04db51a0a6a) + ) + (wire (pts (xy 542.29 205.74) (xy 544.83 205.74)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid fad47e64-9478-468f-bb73-e47674324327) + ) + (wire (pts (xy 491.49 154.94) (xy 494.03 154.94)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid fae4e572-a89f-4fa2-9d6a-8ff41dcbfaac) + ) + (wire (pts (xy 21.59 143.51) (xy 24.13 143.51)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid fb0aa5d3-bcc6-41df-b946-81b5f17f5c13) + ) + (wire (pts (xy 24.13 105.41) (xy 21.59 105.41)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid fbcc4657-d449-4b8d-8c42-303656a4991c) + ) + (wire (pts (xy 440.69 152.4) (xy 443.23 152.4)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid fbf50745-10fe-4c33-9a3d-ff70ba6fa352) + ) + (wire (pts (xy 148.59 307.34) (xy 161.29 307.34)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid fc2e233c-dc7d-44a6-bb1f-62a7f1c46333) + ) + (wire (pts (xy 60.96 31.75) (xy 60.96 34.29)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid fcbe23d2-e5a1-404f-a784-3ddbe2cafbe8) + ) + (wire (pts (xy 175.26 304.8) (xy 175.26 308.61)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid fd2d39fd-041e-4756-a705-58ea583888b8) + ) + (wire (pts (xy 21.59 107.95) (xy 21.59 105.41)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid fd4e3ee8-8d9a-4836-911f-7be3c9ff0aa8) + ) + (wire (pts (xy 458.47 195.58) (xy 458.47 198.12)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid fdbb8ec3-b81f-44e2-89f5-da3e1713fa8c) + ) + (wire (pts (xy 532.13 40.64) (xy 532.13 41.91)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid fdc88220-530d-47e3-acd3-0e14091633c2) + ) + (wire (pts (xy 238.76 44.45) (xy 243.84 44.45)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid fe1c90dd-b73c-4b68-a065-44b52c7dca37) + ) + (wire (pts (xy 36.83 293.37) (xy 57.15 293.37)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid feb80df0-431a-4e2d-aad7-22f113da6699) + ) + (wire (pts (xy 44.45 115.57) (xy 46.99 115.57)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid feea34ae-02b2-48f5-afdd-9193e07ebe12) + ) + (wire (pts (xy 468.63 29.21) (xy 468.63 34.29)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid ff55cc5f-6d3d-40b4-970d-4b5962f70f1e) + ) + (wire (pts (xy 175.26 106.68) (xy 175.26 109.22)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid ff8e96be-43e4-4668-920f-7369f88edd2d) + ) + (wire (pts (xy 264.16 289.56) (xy 264.16 292.1)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid ff952b39-2a8f-432a-92db-4fe67d1759e5) + ) + + (text "Power" (at 19.05 81.28 0) + (effects (font (size 5.08 5.08)) (justify left bottom)) + (uuid 181ec476-59d8-4ca8-b56f-20ef96951bec) + ) + (text "USB" (at 19.05 402.59 0) + (effects (font (size 5.08 5.08)) (justify left bottom)) + (uuid 1aca072d-3bf5-4be7-9f8b-5fa770455b52) + ) + (text "LED & Button" (at 519.43 81.28 0) + (effects (font (size 5.08 5.08)) (justify left bottom)) + (uuid 3ddca920-30b5-43d4-97ca-93296b73b6d1) + ) + (text "RTC" (at 412.75 81.28 0) + (effects (font (size 5.08 5.08)) (justify left bottom)) + (uuid 47fa67f7-fe93-4ef9-9237-929deafbf4fa) + ) + (text "Connectors" (at 19.05 229.87 0) + (effects (font (size 5.08 5.08)) (justify left bottom)) + (uuid 8cfe3348-7196-480a-bd70-d4fe79a432d2) + ) + (text "MCU" (at 297.18 81.28 0) + (effects (font (size 5.08 5.08)) (justify left bottom)) + (uuid 99878fed-f1cd-468b-aae1-96d870469aad) + ) + (text "FPGA" (at 234.95 229.87 0) + (effects (font (size 5.08 5.08)) (justify left bottom)) + (uuid aae68b2c-1fe3-4e70-930b-c4060129cd42) + ) + (text "FLASH" (at 210.82 81.28 0) + (effects (font (size 5.08 5.08)) (justify left bottom)) + (uuid dba1726c-c812-4b1d-b90d-7cf14c3fe07b) + ) + (text "SDRAM" (at 234.95 334.01 0) + (effects (font (size 5.08 5.08)) (justify left bottom)) + (uuid eaf158fd-9575-40ea-92b5-19ec9465d3c5) + ) + + (label "USB_D+" (at 97.79 124.46 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 9e9366d2-556b-49f8-a14e-9220d0bb8efe) + ) + (label "USB_D-" (at 97.79 121.92 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid d86f1a5c-1f1e-489f-ab60-43f1ee05f57c) + ) + + (global_label "~{SDRAM_WE}" (shape output) (at 440.69 160.02 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 00a06083-ab41-4a2e-8b43-cc818a4e5a5b) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 428.4193 159.9406 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "N64_AD10" (shape bidirectional) (at 491.49 121.92 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 00e58770-65d9-4547-9ba4-506fa5973cc7) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 479.5821 121.8406 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "SD_DAT2" (shape bidirectional) (at 389.89 124.46 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 0306f75a-e32f-4822-9f1c-a1788a4d9bc6) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 379.494 124.3806 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "N64_VIDEO_SYNC" (shape input) (at 542.29 114.3 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 05063ff0-713b-494f-b9c3-de6c35ed0f12) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 523.8507 114.2206 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "FTDI_C3" (shape bidirectional) (at 542.29 200.66 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 06167227-8edd-4e80-b5ff-fc9f1e2a7454) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 532.4988 200.5806 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "FTDI_D4" (shape bidirectional) (at 542.29 154.94 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 088442ca-c759-4cfb-944c-83bc7126be7d) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 532.4988 154.8606 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "N64_AD7" (shape bidirectional) (at 46.99 123.19 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 08a5259a-f133-4df2-9fe4-1ba986288be5) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 57.6883 123.1106 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "~{SDRAM_RAS}" (shape input) (at 306.07 314.96 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 0a3ac61f-fde0-4eb7-976d-e3487b257626) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 292.8317 314.8806 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "FTDI_D5" (shape bidirectional) (at 542.29 152.4 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 0b5e9a24-936f-4e88-8c7f-46fd39fcd0a7) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 532.4988 152.3206 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "I2C_SDA" (shape bidirectional) (at 455.93 41.91 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 0ba7afce-3a8c-49ce-87fa-f8fcfd969b62) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 445.8969 41.8306 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "SDRAM_DQ0" (shape bidirectional) (at 341.63 254 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 0d3057ba-a28d-468d-865f-30a920c82db4) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 355.1102 253.9206 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "SWCLK" (shape output) (at 129.54 181.61 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 0f544804-3f69-434f-8a5b-9f4238bbada4) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 120.8979 181.5306 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "SDRAM_A5" (shape input) (at 306.07 274.32 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 10426801-9ec3-48ce-8c77-adc8af15e9f8) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 294.1017 274.2406 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "SDRAM_DQ4" (shape bidirectional) (at 440.69 172.72 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 10ab0831-83d8-44f1-8179-a00a20f8e1bc) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 427.2098 172.7994 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "N64_AD0" (shape bidirectional) (at 46.99 105.41 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 122710c9-807b-4552-98d7-2ebe8536c117) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 57.6883 105.3306 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "I2C_SCL" (shape output) (at 391.16 41.91 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 13e7859c-efb9-43b6-bc33-24636fab908a) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 401.1326 41.8306 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "SDRAM_A10" (shape output) (at 440.69 134.62 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 15042f97-76de-47f1-be4d-07dd09f69db4) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 427.5121 134.5406 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "SDRAM_BA0" (shape input) (at 306.07 254 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 15ad0be4-d88a-454e-8874-b245deb28fdf) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 292.8317 253.9206 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "SDRAM_A7" (shape output) (at 491.49 175.26 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 161da9c0-3456-4d4e-a5f7-b9a7fc75b43d) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 479.5217 175.1806 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "SD_DAT3" (shape bidirectional) (at 389.89 121.92 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 164b74f4-264e-4b83-afd8-5ee6098941f7) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 379.494 121.8406 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "USB_EEDATA" (shape bidirectional) (at 125.73 358.14 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 16ceeae1-5829-4dc3-8c7f-82a742a7f9d2) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 111.8264 358.0606 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "LED" (shape output) (at 389.89 160.02 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 16d1d39e-6357-4df8-ac9d-613e4d9e2c45) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 384.0298 159.9406 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "~{N64_READ}" (shape input) (at 491.49 132.08 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 16fb8642-092d-497a-a729-5686239cb3da) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 479.5821 132.0006 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "N64_AD6" (shape bidirectional) (at 491.49 116.84 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 171d328e-476e-436c-a2a1-347c91ef48ce) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 480.7917 116.7606 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "MCU_MOSI" (shape output) (at 391.16 57.15 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 175eeb88-1a0a-4e50-be6f-758fe7d90441) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 403.1888 57.0706 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "SDRAM_DQ1" (shape bidirectional) (at 440.69 180.34 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 1812e8c2-ddeb-4e65-a6c4-25799ef8187c) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 427.2098 180.4194 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "FTDI_D6" (shape bidirectional) (at 179.07 335.28 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 18b4f64c-da4b-41c6-9793-a1fcf330b566) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 188.8612 335.2006 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "N64_AD9" (shape bidirectional) (at 491.49 119.38 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 19cd7078-26d8-4c17-985a-2d22dc934fce) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 480.7917 119.3006 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "N64_AD10" (shape bidirectional) (at 46.99 130.81 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 1acbc1d1-9e24-456f-a33c-05ff24b12310) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 58.8979 130.7306 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "TEST1" (shape bidirectional) (at 542.29 167.64 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 1b001e17-bc47-4028-a68f-22267377fa4b) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 534.3736 167.5606 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "USB_D+" (shape bidirectional) (at 125.73 337.82 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 1b17a283-8dd4-48a3-8cf1-f8a02aa16460) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 115.6969 337.7406 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "N64_ALEH" (shape input) (at 491.49 134.62 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 1ca1992b-0d9a-4e0b-b6ac-b7746d19b8b4) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 479.7636 134.5406 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "FTDI_D6" (shape bidirectional) (at 542.29 149.86 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 1cc1e8e4-a2ed-432b-bfa5-d4c881d96c8d) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 532.4988 149.7806 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "~{N64_NMI}" (shape output) (at 46.99 163.83 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 1dab0c09-0962-4eb8-bca5-fa03ea04a165) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 57.5069 163.7506 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "FLASH_CS" (shape input) (at 238.76 39.37 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 1f0ec49a-ac9c-48fb-8376-5425e55d7332) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 227.1545 39.2906 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "JTAG_TDO" (shape input) (at 157.48 189.23 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 1f5164c9-fe47-4ea8-a7b6-b5892d11f734) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 168.7226 189.1506 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "SDRAM_DQ9" (shape bidirectional) (at 341.63 276.86 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 1f63fd73-b7ee-489a-8ec3-2501312312bf) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 355.1102 276.7806 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "SD_DET" (shape input) (at 172.72 137.16 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 1fb15817-e953-4b7f-887d-d512cf5818f2) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 163.4731 137.0806 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "USB_EECS" (shape output) (at 125.73 353.06 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 1fbe8459-ee06-4f79-a6a2-641bca396ac2) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 113.7617 352.9806 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "SDRAM_DQ0" (shape bidirectional) (at 440.69 182.88 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 221e82cb-067f-4ce4-83fa-610bdecb5910) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 427.2098 182.9594 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "SDRAM_A9" (shape input) (at 306.07 284.48 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 224fb088-466c-4b91-af0d-4c05114c3f5f) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 294.1017 284.4006 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "SDRAM_A0" (shape output) (at 440.69 137.16 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 229e750d-87d9-47de-961d-aff2353a3b26) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 428.7217 137.0806 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "SD_DET" (shape input) (at 389.89 127 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 22a39a68-38d7-4cbd-a740-231d1ce9c116) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 380.6431 126.9206 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "FLASH_CLK" (shape input) (at 238.76 44.45 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 2484e395-c6c8-497c-a7ba-8f6b3b07d107) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 226.0659 44.3706 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "N64_AD3" (shape bidirectional) (at 491.49 144.78 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 268071e3-1b8d-4a79-916d-69904ecb20a0) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 480.7917 144.7006 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "N64_AD13" (shape bidirectional) (at 46.99 138.43 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 26925cf4-bed3-4ea0-8814-3c1399112c5d) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 58.8979 138.3506 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "MCU_MOSI" (shape input) (at 389.89 134.62 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 274bf109-e745-4b31-8403-4df85fb6481f) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 377.8612 134.5406 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "SDRAM_DQ6" (shape bidirectional) (at 440.69 167.64 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 2812acda-b90e-4133-ad76-6d93cb80a7f6) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 427.2098 167.7194 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "~{N64_READ}" (shape output) (at 46.99 153.67 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 28bb94ba-0f1a-4d4b-b2a8-eb1898bae9e4) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 58.8979 153.5906 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "N64_AD14" (shape bidirectional) (at 491.49 152.4 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 28f90a22-9b43-4a89-a7e5-29123d0f93e1) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 479.5821 152.3206 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "SDRAM_A2" (shape input) (at 306.07 266.7 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 2944eb7e-1e8d-40ff-bcaf-cc67ebe1d623) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 294.1017 266.6206 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "FTDI_D7" (shape bidirectional) (at 542.29 147.32 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 2d6d5228-e8bc-4002-bb03-eb9cb93e7b1b) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 532.4988 147.2406 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "UART_TX" (shape output) (at 389.89 149.86 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 2f1ffee8-2cf0-492c-8260-d3cec4b21b21) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 379.6755 149.7806 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "N64_AD0" (shape bidirectional) (at 491.49 160.02 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 2fbb2525-21bf-4eeb-8fca-e540768c8bea) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 480.7917 159.9406 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "N64_AD13" (shape bidirectional) (at 491.49 147.32 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 306a167d-44d6-4568-8b6d-00278e0a1a4c) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 479.5821 147.2406 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "SDRAM_A3" (shape output) (at 440.69 144.78 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 30bd9939-5c19-4598-aed3-d323dfcdd6d2) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 428.7217 144.7006 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "FLASH_CS" (shape output) (at 389.89 167.64 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 32f8776e-332c-424e-81b8-7f1727af9fbc) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 378.2845 167.5606 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "SDRAM_DQ5" (shape bidirectional) (at 341.63 266.7 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 379134a3-e40e-433e-8b90-c39a8d291532) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 355.1102 266.6206 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "FTDI_C2" (shape bidirectional) (at 179.07 347.98 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 3a5f46a9-1447-4e19-9388-4201bcce1a38) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 188.8612 348.0594 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "RTC_MFP" (shape output) (at 455.93 46.99 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 3aa7bf30-91da-4859-92c1-f204805b81c1) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 445.2317 46.9106 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "SDRAM_DQ8" (shape bidirectional) (at 440.69 114.3 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 3b374a5d-8ad8-41b1-9e64-a7a6fc3ad48c) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 427.2098 114.2206 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "FTDI_C1" (shape bidirectional) (at 179.07 345.44 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 3bfc06cd-0474-45fb-87c9-bfea6f85b233) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 188.8612 345.5194 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "SDRAM_DQ3" (shape bidirectional) (at 440.69 175.26 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 3ec18ba6-b51b-41bf-9338-022d450a0213) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 427.2098 175.3394 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "USB_EECLK" (shape input) (at 93.98 257.81 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 3fd90899-9eca-4bf4-93e9-d2c40bd39e4f) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 107.0369 257.7306 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "FTDI_D0" (shape bidirectional) (at 179.07 320.04 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 41437513-ad64-4811-b9d9-d41a1b9048f2) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 188.8612 319.9606 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "FTDI_D3" (shape bidirectional) (at 179.07 327.66 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 41abbca5-3db2-4261-8bbd-29935ee3b119) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 188.8612 327.5806 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "N64_AD7" (shape bidirectional) (at 491.49 111.76 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 4261ac5b-6f8a-4079-8c68-e7e7bc97290d) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 480.7917 111.6806 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "JTAG_TDO" (shape output) (at 389.89 165.1 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 450fa7cb-c2f7-4521-8101-553c5bb6a792) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 378.6474 165.0206 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "FLASH_IO2" (shape bidirectional) (at 274.32 44.45 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 471c5a89-94ac-403b-aede-5520b8ca068e) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 286.5907 44.3706 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "MCU_SCLK" (shape output) (at 391.16 62.23 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 4722d1a3-d313-49cc-a6b7-66f91f38454a) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 403.3702 62.1506 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "SDRAM_DQMH" (shape output) (at 440.69 111.76 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 484b9026-3dab-4b12-8a99-7def43120310) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 425.6374 111.6806 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "FTDI_C4" (shape bidirectional) (at 179.07 353.06 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 485cb0db-67df-484e-85fa-88cd0d020e39) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 188.8612 353.1394 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "N64_AD12" (shape bidirectional) (at 46.99 135.89 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 4868bc29-747c-47d2-aca8-af105d60257b) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 58.8979 135.8106 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "N64_CIC_CLK" (shape input) (at 330.2 59.69 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 4b694802-365b-4d7a-80d9-e2b550a05220) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 315.3893 59.6106 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "N64_CIC_CLK" (shape output) (at 46.99 179.07 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 4eb5e4a7-7f09-4dd5-bf24-98b149b9e708) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 61.8007 178.9906 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "FTDI_C6" (shape bidirectional) (at 542.29 193.04 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 4fb8eff1-2b11-45a1-96e2-721db6e2985e) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 532.4988 192.9606 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "FLASH_IO3" (shape bidirectional) (at 389.89 180.34 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 514f53b6-dd93-4b69-b443-5f8fe142d832) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 377.6193 180.2606 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "SWDIO" (shape bidirectional) (at 129.54 184.15 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 52ff9922-6741-4d04-b642-e01198bddbc6) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 121.2607 184.0706 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "TEST3" (shape bidirectional) (at 542.29 111.76 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 589e9103-5974-4036-b792-2d816362fea8) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 534.3736 111.6806 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "N64_PIF_CLK" (shape output) (at 46.99 171.45 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 59958c3b-738e-4c72-8892-e9663f1137ab) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 61.6193 171.3706 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "~{N64_RESET}" (shape input) (at 330.2 57.15 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 59c1180b-6948-47c4-bec5-e267a5910122) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 317.3245 57.0706 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "FLASH_IO0" (shape bidirectional) (at 274.32 36.83 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 5a89e4e8-8836-45b1-aa1d-f1251a454dd2) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 286.5907 36.7506 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "FTDI_C4" (shape bidirectional) (at 542.29 198.12 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 5c71f2c7-0a90-47a3-979c-7bbea66c01f5) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 532.4988 198.0406 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "FTDI_D0" (shape bidirectional) (at 542.29 165.1 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 5cef6ffa-99bd-4d88-a7e1-7d3d4251498c) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 532.4988 165.0206 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "N64_AD12" (shape bidirectional) (at 491.49 142.24 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 5d2015c3-9c7b-4117-809c-2b5703b5b537) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 479.5821 142.1606 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "SDRAM_DQ13" (shape bidirectional) (at 341.63 287.02 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 5d9cdd3a-5ddb-43b9-9423-534bd2d353f1) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 356.3198 286.9406 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "SDRAM_DQ11" (shape bidirectional) (at 440.69 121.92 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 5e16a200-b399-45de-ac4e-709d75fba8a1) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 426.0002 121.8406 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "JTAG_TCK" (shape input) (at 389.89 154.94 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 5fc93e12-178a-49a4-aaa0-658ecff051d9) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 378.7079 154.8606 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "SDRAM_DQ5" (shape bidirectional) (at 440.69 170.18 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 60a7c804-561e-4d86-b07a-0bba0f5df047) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 427.2098 170.2594 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "JTAG_TCK" (shape output) (at 157.48 184.15 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 61dab1f4-dabf-4e4e-92d7-e868e2c97ba6) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 168.6621 184.0706 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "~{N64_INT}" (shape output) (at 46.99 166.37 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 6313e471-0e42-429d-a52d-0b729ecf9e00) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 57.0231 166.2906 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "N64_ALEL" (shape input) (at 491.49 139.7 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 64209906-de57-4476-b26f-3f5bbdb4dc73) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 480.0659 139.7794 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "FTDI_D3" (shape bidirectional) (at 542.29 157.48 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 65e65ec3-f3ad-4545-9772-41357a4ac357) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 532.4988 157.4006 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "FTDI_D5" (shape bidirectional) (at 179.07 332.74 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 667ff85f-404a-44f2-965e-0a85b1b75332) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 188.8612 332.6606 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "N64_3V3" (shape input) (at 149.86 34.29 90) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 66a984fd-6146-48e9-8cac-8a6132b19c5a) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 149.7806 23.6521 90) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "SDRAM_A3" (shape input) (at 306.07 269.24 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 67d4a301-3d17-46ee-8e74-789ada1a1b14) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 294.1017 269.1606 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "USB_D-" (shape bidirectional) (at 120.65 121.92 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 68872b63-fa53-4839-8a57-e48018321df4) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 130.6831 121.8406 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "TEST2" (shape bidirectional) (at 200.66 189.23 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 68d4dd2d-b033-4d72-affe-82bc7ff11d15) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 208.5764 189.1506 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "~{N64_RESET}" (shape input) (at 542.29 121.92 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 69e22a12-dc6d-4aea-bd9f-6d06ace72b14) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 529.4145 121.8406 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "~{N64_INT}" (shape output) (at 542.29 124.46 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 6aba3847-332f-4a0a-b2c7-0ef407a66ca6) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 532.2569 124.3806 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "UART_RX" (shape output) (at 129.54 186.69 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 6b09f293-1d31-4624-b90b-ca76cc616060) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 119.0231 186.6106 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "MCU_MISO" (shape output) (at 389.89 132.08 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 6b186096-5860-4dd8-a677-ad388ac49ddb) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 377.8612 132.0006 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "USB_D+" (shape bidirectional) (at 120.65 124.46 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 6b1d1589-706d-454f-9c2b-68e436b397d6) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 130.6831 124.3806 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "N64_JOYBUS" (shape bidirectional) (at 46.99 173.99 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 6d506282-933c-47ca-ac16-7e1b0facd68d) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 61.3169 173.9106 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "BUTTON" (shape output) (at 560.07 39.37 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 6d532f04-a907-482f-a553-f2e324df260e) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 569.6798 39.2906 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "N64_ALEL" (shape output) (at 46.99 148.59 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 6df9525d-2c65-4c23-9517-3108e1218e4f) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 58.4141 148.5106 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "TEST3" (shape bidirectional) (at 200.66 191.77 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 6e891d4f-ea1a-4f45-a63e-7aa22f76a1f2) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 208.5764 191.6906 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "FTDI_D1" (shape bidirectional) (at 542.29 162.56 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 6fe96dee-a7b5-4c67-8f11-d2b4fd9d8e32) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 532.4988 162.4806 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "FTDI_D7" (shape bidirectional) (at 179.07 337.82 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 704ecc72-e563-4987-9538-5fab6d12f49b) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 188.8612 337.7406 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "LED" (shape output) (at 330.2 64.77 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 71b60253-7c6f-4c85-b3a7-924bb20963af) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 324.3398 64.6906 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "JTAG_TMS" (shape output) (at 157.48 181.61 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 7562de65-506a-4056-bc12-459ec3070c1c) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 168.7831 181.5306 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "FLASH_IO2" (shape bidirectional) (at 389.89 172.72 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 75d8a006-2df4-49e5-bab2-714eb879fb12) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 377.6193 172.7994 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "SDRAM_DQ13" (shape bidirectional) (at 440.69 127 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 7651212f-2e37-4342-90ae-bdedc907dd29) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 426.0002 126.9206 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "N64_AD2" (shape bidirectional) (at 46.99 110.49 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 77ede770-e2ac-45f6-92b1-fde17a7c7561) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 57.6883 110.4106 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "SD_DAT3" (shape input) (at 172.72 116.84 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 791a8d7b-5603-474e-9366-ce400d37bd4a) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 162.324 116.7606 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "USB_EEDATA" (shape bidirectional) (at 93.98 260.35 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 79701ba7-20fb-439f-8c34-8562fbd3502b) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 107.8836 260.2706 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "SDRAM_BA1" (shape output) (at 440.69 147.32 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 79dbfa58-dd69-4580-827e-78b10641ef38) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 427.4517 147.2406 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "SDRAM_A4" (shape input) (at 306.07 271.78 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 79e80610-9854-4673-b257-c5113c6a6b16) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 294.1017 271.7006 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "UART_TX" (shape input) (at 129.54 189.23 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 7af68f95-5cbb-4bb0-8028-34fcb82a7f7d) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 119.3255 189.1506 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "TEST2" (shape bidirectional) (at 542.29 170.18 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 7b96386e-1fe1-470d-8573-eb9522ef09b2) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 534.3736 170.1006 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "FTDI_C7" (shape bidirectional) (at 179.07 360.68 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 7bbe1e1e-35c4-4fd1-b9aa-ec5f7bd68ef6) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 188.8612 360.6006 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "UART_TX" (shape input) (at 100.33 193.04 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 7c1e99a3-418e-4c92-976e-c4d22082f567) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 110.5445 193.1194 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "N64_VIDEO_SYNC" (shape output) (at 46.99 186.69 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 7d1f81c6-c59d-46be-a091-9840303e42c8) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 65.4293 186.6106 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "BUTTON" (shape input) (at 542.29 185.42 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 7e115af1-d8fc-4f5f-a374-c3611a00eb40) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 532.6802 185.3406 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "~{N64_RESET}" (shape output) (at 46.99 161.29 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 7e57d621-144d-4598-95ac-3b4e936f2bc3) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 59.8655 161.2106 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "N64_AD1" (shape bidirectional) (at 491.49 154.94 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 815b25c1-bec1-44ed-9656-de3165677da9) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 480.7917 154.8606 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "SD_DAT1" (shape bidirectional) (at 389.89 111.76 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 8338ddaa-606a-4d1a-a4b0-c54371381637) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 379.494 111.6806 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "USB_CLK" (shape input) (at 125.73 363.22 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 84302795-6e51-4792-a7ea-5c61af300bb2) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 114.9712 363.2994 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "SDRAM_A1" (shape output) (at 440.69 139.7 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 84b8af94-88df-4c85-8c42-5cd3fbbf6625) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 428.7217 139.6206 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "SDRAM_A6" (shape input) (at 306.07 276.86 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 85abfe4c-fae3-42b8-b150-4ad38497e106) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 294.1017 276.7806 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "SDRAM_DQ12" (shape bidirectional) (at 440.69 124.46 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 862fe50e-9b90-4138-a5d1-32a434b90437) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 426.0002 124.3806 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "MCU_MISO" (shape input) (at 391.16 59.69 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 868964db-90df-4e71-bd86-c4b9792bdff7) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 403.1888 59.6106 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "FLASH_IO1" (shape bidirectional) (at 274.32 39.37 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 8848bccd-8ef7-40a1-925f-7255e3788274) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 286.5907 39.2906 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "SDRAM_DQ14" (shape bidirectional) (at 440.69 129.54 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 88bf97ab-56f0-4b4d-90d8-eb8b8e366de0) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 426.0002 129.4606 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "I2C_SDA" (shape bidirectional) (at 330.2 41.91 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 891e0fcf-5b43-4967-90ae-5104b1d25ea3) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 320.1669 41.8306 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "SDRAM_A6" (shape output) (at 491.49 177.8 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 8b5d8678-888b-47b8-863b-0f9a61de133e) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 479.5217 177.7206 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "FLASH_IO0" (shape bidirectional) (at 389.89 175.26 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 8ba948e1-5a90-47ef-9a1c-9553ad875e0a) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 377.6193 175.1806 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "SDRAM_DQ10" (shape bidirectional) (at 341.63 279.4 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 8beeb667-2117-4095-b776-7ebd6ff965ec) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 356.3198 279.3206 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "SDRAM_A9" (shape output) (at 491.49 170.18 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 8bf63e73-0c16-43b1-9b86-649fd19a1cbf) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 479.5217 170.2594 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "N64_AD1" (shape bidirectional) (at 46.99 107.95 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 8d61e013-a8f1-4b32-8c83-dc37c8ec4d11) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 57.6883 107.8706 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "SDRAM_DQMH" (shape input) (at 306.07 307.34 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 8def7a66-ad1d-4f94-9811-e8068a174fc4) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 291.0174 307.2606 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "N64_CIC_DATA" (shape bidirectional) (at 542.29 132.08 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 8e6da4e0-fe1b-4db7-845d-749c54e234f9) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 526.6326 132.0006 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "SDRAM_A5" (shape output) (at 491.49 180.34 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 8fcf8802-7f36-4cdc-9727-0ff587283be6) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 479.5217 180.2606 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "SDRAM_DQ12" (shape bidirectional) (at 341.63 284.48 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 8ffc2dfa-9d31-45fc-834e-cf00010ff044) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 356.3198 284.4006 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "N64_AD2" (shape bidirectional) (at 491.49 149.86 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 91ece52e-0278-4ae8-8fe9-033de700119d) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 480.7917 149.7806 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "~{SDRAM_CS}" (shape input) (at 306.07 297.18 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 920934fc-c396-4292-9af1-cfd5a436444b) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 293.9202 297.1006 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "SWDIO" (shape bidirectional) (at 391.16 46.99 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 923e676f-c0d1-472a-baf7-3f18b47c3276) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 399.4393 47.0694 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "~{SDRAM_CAS}" (shape input) (at 306.07 312.42 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 95082ce9-1d80-43b6-85c9-eeed4c9a0e52) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 292.8317 312.3406 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "MCU_SCLK" (shape input) (at 389.89 137.16 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 96f16218-1eff-499e-8435-9f2494504c7f) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 377.6798 137.0806 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "USB_D-" (shape bidirectional) (at 125.73 335.28 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 977603e8-f004-4a5a-a7e3-0c18eb22953d) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 115.6969 335.2006 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "SDRAM_A12" (shape output) (at 491.49 165.1 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 982d2a84-6872-4224-9e6a-e184848f60f1) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 478.3121 165.1794 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "SD_DAT0" (shape bidirectional) (at 389.89 114.3 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 9a88d170-0a50-4da3-8cfd-74444d3ee7f4) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 379.494 114.2206 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "N64_PIF_CLK" (shape input) (at 542.29 127 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 9ab4f203-b3a7-48a4-be84-79fcdb63ce24) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 527.6607 126.9206 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "JTAG_TMS" (shape input) (at 389.89 152.4 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 9c6b6fcf-8dbd-4444-bb0f-49f0521190a8) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 378.5869 152.3206 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "N64_AD9" (shape bidirectional) (at 46.99 128.27 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 9d0ffb0d-5fa8-4b5d-b87b-114020e1fbc0) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 57.6883 128.1906 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "RTC_MFP" (shape input) (at 389.89 157.48 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 9d4e82d6-f198-4b7e-a7a2-457487cc56a6) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 379.1917 157.5594 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "N64_AD11" (shape bidirectional) (at 46.99 133.35 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 9dac2629-2fac-427d-8440-60e3f8c8ade5) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 58.8979 133.2706 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "N64_CIC_DATA" (shape bidirectional) (at 46.99 181.61 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 9dbbce10-f377-4b65-b48f-cad343022805) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 62.6474 181.5306 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "LED" (shape input) (at 554.99 57.15 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 9dcffbe7-3023-4abb-bf9e-75222ac5befd) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 560.8502 57.0706 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "N64_AD5" (shape bidirectional) (at 46.99 118.11 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 9ec7043d-0b4e-4882-b146-13557be5cab3) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 57.6883 118.0306 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "MCU_CS" (shape output) (at 391.16 64.77 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 9ff07e17-bc53-4106-bcd4-e89484ea3178) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 401.0721 64.6906 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "N64_3V3" (shape output) (at 21.59 100.33 90) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid a0e882ba-d633-4867-91ab-a7665ea8ff2d) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 21.5106 89.6921 90) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "SDRAM_A10" (shape input) (at 306.07 287.02 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid a163dbbd-acf2-4d62-8f57-219ffa673046) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 292.8921 286.9406 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "UART_TX" (shape output) (at 391.16 52.07 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid a18fa5f4-3c36-4e7b-8ec9-8e454f6fa25d) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 401.3745 52.1494 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "SDRAM_A1" (shape input) (at 306.07 264.16 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid a20cd07a-f46f-4d03-9d32-dc9b428ac0ac) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 294.1017 264.0806 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "FTDI_C3" (shape bidirectional) (at 179.07 350.52 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid a2bb5e0a-0840-49ec-bcf3-9b39f5fd069f) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 188.8612 350.5994 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "SDRAM_A12" (shape input) (at 306.07 292.1 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid a42fea39-0387-4c40-8d82-6c8e4a4bfe61) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 292.8921 292.0206 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "~{SDRAM_CS}" (shape output) (at 440.69 152.4 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid a6d12459-33bb-4433-8907-eae2ee913080) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 428.5402 152.3206 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "N64_AD3" (shape bidirectional) (at 46.99 113.03 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid a9c7f496-a1eb-46f3-bd99-8ef0ab5b03bc) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 57.6883 112.9506 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "USB_EECS" (shape input) (at 44.45 257.81 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid aac17daa-d5f6-41e9-bc39-cf4a3950f306) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 32.4817 257.8894 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "SDRAM_A4" (shape output) (at 491.49 182.88 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid ad144fdd-671b-44d0-be89-31f5677852e7) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 479.5217 182.8006 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "SDRAM_DQ3" (shape bidirectional) (at 341.63 261.62 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid adc61e3e-9729-43b9-8b57-b610ce0914f9) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 355.1102 261.5406 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "FTDI_D4" (shape bidirectional) (at 179.07 330.2 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid ae54ce75-c65f-4c0c-8444-58a9607a677e) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 188.8612 330.1206 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "SD_CMD" (shape bidirectional) (at 389.89 119.38 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid aea25a94-25b6-452a-8677-19051a759fb6) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 380.0383 119.3006 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "I2C_SDA" (shape bidirectional) (at 389.89 142.24 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid aeefd264-1af4-49f7-85d3-350e32584d00) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 379.8569 142.1606 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "N64_ALEH" (shape output) (at 46.99 151.13 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid b0af9440-e535-49c7-9c53-ba4c1feffaea) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 58.7164 151.0506 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "FTDI_C0" (shape bidirectional) (at 542.29 208.28 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid b182f5c5-fb63-4e5d-b496-e4a75753fdcf) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 532.4988 208.2006 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "N64_AD11" (shape bidirectional) (at 491.49 127 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid b1f4fa9c-4eb0-4c4d-9ab2-e5411430020f) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 479.5821 126.9206 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "MCU_CS" (shape input) (at 389.89 139.7 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid b202406b-a772-45d0-8be3-5fd90bb325bb) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 379.9779 139.6206 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "N64_CIC_DATA" (shape bidirectional) (at 330.2 62.23 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid b25e98da-26bf-4f1c-b048-233efbdf894c) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 314.5426 62.1506 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "SDRAM_DQ8" (shape bidirectional) (at 341.63 274.32 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid b2ef8eff-383d-44c4-9e67-d98f862692ff) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 355.1102 274.2406 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "SD_CLK" (shape output) (at 389.89 116.84 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid b48acf7f-afbb-482b-be26-7b451c5979d1) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 380.4617 116.7606 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "SDRAM_A7" (shape input) (at 306.07 279.4 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid b5f8c396-a866-48b6-8cd0-8c35f99c7908) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 294.1017 279.3206 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "FLASH_CLK" (shape output) (at 389.89 177.8 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid bb177665-a395-4543-be15-aee430dc634f) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 377.1959 177.8794 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "SDRAM_A0" (shape input) (at 306.07 261.62 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid bc0d8ad1-663b-4e88-945b-555547aa096f) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 294.1017 261.5406 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "USB_CLK" (shape output) (at 67.31 303.53 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid bccc538b-3d08-4a4b-b951-7784053c246a) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 78.0688 303.4506 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "N64_JOYBUS" (shape bidirectional) (at 542.29 116.84 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid bd102889-e0f7-4ac6-a4cb-fa2bca0fb25a) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 527.9631 116.7606 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "FTDI_C2" (shape bidirectional) (at 542.29 203.2 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid bdbf70cd-c8cf-44e8-8357-316d241040fb) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 532.4988 203.1206 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "FTDI_C7" (shape bidirectional) (at 542.29 187.96 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid becc3e13-b590-4677-b378-33261f35196e) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 532.4988 187.8806 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "FPGA_CLK" (shape input) (at 542.29 190.5 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid bf0f029e-687e-4096-b8af-ba71a2f621e4) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 530.624 190.4206 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "SDRAM_DQ10" (shape bidirectional) (at 440.69 119.38 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid c1018502-6a41-488a-892b-ded31b4fdf2c) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 426.0002 119.3006 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "SDRAM_DQ15" (shape bidirectional) (at 341.63 292.1 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid c37f6d7b-cb0d-41e8-bebd-5887732015af) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 356.3198 292.0206 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "SD_DAT2" (shape input) (at 172.72 114.3 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid c3df10c3-fba7-4c5a-9587-075444e684b7) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 162.324 114.2206 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "I2C_SCL" (shape input) (at 389.89 144.78 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid c4030540-d494-4d87-a887-c49bd56508d7) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 379.9174 144.7006 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "SDRAM_A8" (shape input) (at 306.07 281.94 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid c5392dcf-e794-45b4-a5d5-99596dbc8929) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 294.1017 281.8606 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "SDRAM_DQML" (shape input) (at 306.07 304.8 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid c54a76a7-12ab-4fcd-8bcb-4d465a460f36) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 291.3198 304.7206 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "UART_RX" (shape input) (at 391.16 49.53 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid c70aea2c-4995-467d-8979-70c9e44f2a49) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 401.6769 49.6094 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "UART_RX" (shape output) (at 100.33 190.5 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid c928e6d5-dd6c-471f-8dbc-d6273a309270) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 110.8469 190.5794 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "SD_CMD" (shape input) (at 172.72 119.38 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid cb6ed0c3-18c4-4032-8b10-384fa46481b2) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 162.8683 119.3006 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "RTC_MFP" (shape input) (at 330.2 44.45 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid cc0e68c9-0c90-4f05-81cf-ae7638c1dd8f) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 319.5017 44.5294 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "SD_CLK" (shape input) (at 172.72 124.46 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid cc52a326-8541-4213-a5d4-8f0d966505f1) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 163.2917 124.3806 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "N64_AD8" (shape bidirectional) (at 491.49 114.3 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid cc97048b-fae3-414a-b01a-eb93ef628245) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 480.7917 114.2206 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "SDRAM_BA1" (shape input) (at 306.07 256.54 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid cceff764-cfec-4042-acaa-f75f3164b4a3) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 292.8317 256.4606 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "TEST1" (shape bidirectional) (at 200.66 186.69 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid ceb98ec4-6f5c-4899-bb11-b3a1b0002401) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 208.5764 186.6106 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "SDRAM_DQ9" (shape bidirectional) (at 440.69 116.84 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid ceee921b-2a42-4f1f-a90c-7e797d2bdc41) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 427.2098 116.7606 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "SDRAM_BA0" (shape output) (at 440.69 149.86 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid cf99d479-31fa-44b5-973a-dc3cf9297a26) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 427.4517 149.7806 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "~{SDRAM_RAS}" (shape output) (at 440.69 154.94 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid d20cf9c0-ea4a-4f51-8f3f-c2587b9ead11) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 427.4517 154.8606 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "N64_CIC_CLK" (shape input) (at 542.29 129.54 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid d31e0d05-8fef-48fd-8045-5296aa6c9e34) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 527.4793 129.4606 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "UART_RX" (shape input) (at 389.89 147.32 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid d34f3340-49fa-4fc7-88f3-cdcf981be752) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 379.3731 147.2406 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "N64_AD4" (shape bidirectional) (at 491.49 129.54 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid d41bc9ba-a78e-4d1b-a232-2dc47b67dcf8) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 480.7917 129.4606 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "~{SDRAM_WE}" (shape input) (at 306.07 309.88 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid d4ce5c06-c6fa-4977-b319-3b49696f03e6) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 293.7993 309.8006 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "FTDI_D2" (shape bidirectional) (at 179.07 325.12 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid d6203d9c-4f71-496b-a973-77d8a700a3b0) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 188.8612 325.0406 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "SDRAM_DQ6" (shape bidirectional) (at 341.63 269.24 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid da0d8a1b-a98c-4f10-bee1-6dee6985ef79) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 355.1102 269.1606 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "FTDI_D1" (shape bidirectional) (at 179.07 322.58 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid da7a1850-24fc-4e54-8217-b6dc82c8c6a0) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 188.8612 322.5006 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "N64_AD8" (shape bidirectional) (at 46.99 125.73 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid daa12025-52c4-4c32-a1d8-9d6ee43baaf2) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 57.6883 125.6506 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "SDRAM_DQ15" (shape bidirectional) (at 440.69 132.08 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid dade527c-563d-4eb9-83a1-dd65dcbb1b6f) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 426.0002 132.0006 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "FTDI_D2" (shape bidirectional) (at 542.29 160.02 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid dbd8a449-868d-40b6-af49-dcb2c6a6ceb7) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 532.4988 159.9406 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "SDRAM_DQ11" (shape bidirectional) (at 341.63 281.94 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid dbe2158d-4ece-470a-a28e-c0afd4760ef9) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 356.3198 281.8606 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "SDRAM_A2" (shape output) (at 440.69 142.24 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid dc2aa244-f6c0-409f-8b99-de40d061d509) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 428.7217 142.1606 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "SDRAM_A11" (shape input) (at 306.07 289.56 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid dc800378-3706-48bf-8129-57dafbc4a19d) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 292.8921 289.4806 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "SWCLK" (shape input) (at 391.16 44.45 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid dd616c5e-4bd6-4ddf-a478-ed1b96662535) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 399.8021 44.3706 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "SDRAM_DQ7" (shape bidirectional) (at 341.63 271.78 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid de55684a-3078-4db1-ba90-08d4582b4149) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 355.1102 271.7006 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "SDRAM_DQ7" (shape bidirectional) (at 440.69 165.1 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid e034e783-1a58-4cf1-9def-218949b0672f) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 427.2098 165.1794 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "SDRAM_A11" (shape output) (at 491.49 167.64 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid e14528ed-c4f6-4b3c-bc43-7e08efb834ee) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 478.3121 167.7194 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "USB_EECLK" (shape output) (at 125.73 355.6 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid e2100e14-73d9-4521-a524-8edadbd1a3f5) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 112.6731 355.5206 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "FLASH_IO3" (shape bidirectional) (at 274.32 46.99 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid e378de3d-fb8b-4162-829d-a3d45274aea1) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 286.5907 46.9106 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "SDRAM_DQ1" (shape bidirectional) (at 341.63 256.54 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid e4bf007c-078e-4372-814b-421fe93cec7d) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 355.1102 256.4606 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "FTDI_C5" (shape bidirectional) (at 179.07 355.6 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid e63ad843-6dfa-4381-b863-323d40ea6a46) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 188.8612 355.6794 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "~{N64_WRITE}" (shape input) (at 491.49 137.16 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid e71cd9b2-e5cf-4718-b394-5e4eb43249c1) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 478.9169 137.0806 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "I2C_SCL" (shape input) (at 455.93 39.37 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid e81d129e-be98-4675-8a9c-dbea091f173b) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 445.9574 39.2906 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "FTDI_C6" (shape bidirectional) (at 179.07 358.14 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid e865223d-701b-4933-8a43-634eab253cf9) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 188.8612 358.2194 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "MCU_INT" (shape output) (at 389.89 129.54 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid e8fb4f67-b4ca-47ed-95a2-2aefb2346b30) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 379.5545 129.4606 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "~{N64_NMI}" (shape input) (at 542.29 119.38 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid ea04a177-ae39-49a0-a57c-c42701cc1564) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 531.7731 119.3006 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "JTAG_TDI" (shape input) (at 389.89 162.56 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid eca7403d-1100-44b2-887a-70dd973438a1) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 379.3731 162.4806 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "FTDI_C0" (shape bidirectional) (at 179.07 342.9 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid ededeb9b-06f5-4c37-b7c8-e9e7d9c3a823) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 188.8612 342.9794 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "~{N64_WRITE}" (shape output) (at 46.99 156.21 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid ee929389-ba3e-4f6f-8d5c-1f9e74c2c7da) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 59.5631 156.1306 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "SD_DAT0" (shape input) (at 172.72 129.54 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid eef5ab7f-101f-4651-ad58-986ce67617f8) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 162.324 129.4606 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "N64_AD15" (shape bidirectional) (at 491.49 157.48 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid ef3c12f9-3eb9-49e5-914d-7f6a940c19d3) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 479.5821 157.4006 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "N64_AD14" (shape bidirectional) (at 46.99 140.97 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid ef4beeb4-cb87-40bf-afe6-172634115d84) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 58.8979 140.8906 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "SDRAM_CLK" (shape output) (at 491.49 162.56 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid f06e4042-0158-4e88-93a8-8f2abd8d3ad9) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 478.2517 162.6394 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "~{SDRAM_CAS}" (shape output) (at 440.69 157.48 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid f17d9fb0-fa1b-4441-bf7f-5274ca383c63) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 427.4517 157.4006 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "SD_DAT1" (shape input) (at 172.72 132.08 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid f4443ecc-58c4-43a5-a3cc-2201a91029c2) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 162.324 132.0006 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "N64_AD5" (shape bidirectional) (at 491.49 124.46 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid f4db8147-df0c-4bcc-bc33-42d72c6ee011) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 480.7917 124.3806 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "FLASH_IO1" (shape bidirectional) (at 389.89 170.18 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid f4e37a89-61a5-4786-a1ef-7c60f4ed27be) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 377.6193 170.2594 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "FTDI_C5" (shape bidirectional) (at 542.29 195.58 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid f4e96f3d-78ba-4af4-a463-b60a283ff048) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 532.4988 195.5006 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "SDRAM_DQ2" (shape bidirectional) (at 440.69 177.8 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid f56bc09a-e006-4896-a9cd-f6b2d1c2b521) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 427.2098 177.8794 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "N64_AD4" (shape bidirectional) (at 46.99 115.57 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid f60080a4-bbc0-41f1-b528-b314f6fff8c1) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 57.6883 115.4906 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "SDRAM_DQ14" (shape bidirectional) (at 341.63 289.56 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid f6ed7df5-27f5-4627-9192-46fcd6263cc1) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 356.3198 289.4806 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "N64_AD6" (shape bidirectional) (at 46.99 120.65 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid f840a5c8-4149-4ff2-b48e-20614c70e69f) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 57.6883 120.5706 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "FTDI_C1" (shape bidirectional) (at 542.29 205.74 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid f8935f4f-fd5b-4ba9-8e1f-c90d4a4adba1) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 532.4988 205.6606 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "FPGA_CLK" (shape output) (at 468.63 208.28 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid fa0af7a0-5990-4b71-b45b-8f644891ae87) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 480.296 208.2006 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "SDRAM_CLK" (shape input) (at 306.07 302.26 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid fa51ae41-e32f-46c2-8bc0-91a0bc249a8e) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 292.8317 302.1806 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "JTAG_TDI" (shape output) (at 157.48 186.69 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid fb46ad8c-9359-402d-b0bf-c998e11dc57c) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 167.9969 186.6106 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "SDRAM_DQML" (shape output) (at 440.69 162.56 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid fb596e4c-6b9f-4b73-8d91-d86ee0192628) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 425.9398 162.4806 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "MCU_INT" (shape input) (at 391.16 54.61 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid fc89a334-bf97-435f-bf94-8e279b40caaa) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 401.4955 54.5306 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "SDRAM_A8" (shape output) (at 491.49 172.72 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid fcc3a562-3965-45a5-ae04-fe20fefa481a) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 479.5217 172.6406 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "SDRAM_DQ2" (shape bidirectional) (at 341.63 259.08 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid feb72417-aa60-4411-a809-87e616471644) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 355.1102 259.0006 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "SDRAM_DQ4" (shape bidirectional) (at 341.63 264.16 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid ff31b705-37ae-4fc2-ba54-4bd5eeac5314) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 355.1102 264.0806 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "N64_AD15" (shape bidirectional) (at 46.99 143.51 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid ff54d152-d011-4d5f-a511-091b49a5169b) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 58.8979 143.4306 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + + (symbol (lib_id "power:GND") (at 175.26 59.69 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 005d911a-2856-4c79-9c31-c86f93de6d0c) + (property "Reference" "#PWR04" (id 0) (at 175.26 66.04 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 175.26 64.77 0)) + (property "Footprint" "" (id 2) (at 175.26 59.69 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 175.26 59.69 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 67eb998b-8136-4289-902a-697a5cd8166a)) + ) + + (symbol (lib_id "power:GND") (at 321.31 325.12 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 0150f8e7-8dce-4920-83f6-9e75396f39b7) + (property "Reference" "#PWR038" (id 0) (at 321.31 331.47 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 321.31 330.2 0)) + (property "Footprint" "" (id 2) (at 321.31 325.12 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 321.31 325.12 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid d285748a-9fb8-4b09-8ec4-3b6d5a1b64b2)) + ) + + (symbol (lib_id "Device:C") (at 161.29 300.99 180) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 03085d81-2734-4969-bd47-0eafbab0f38e) + (property "Reference" "C8" (id 0) (at 165.1 299.7199 0) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Value" "100nF" (id 1) (at 165.1 302.2599 0) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 160.3248 297.18 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 161.29 300.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 78ec5f65-40cb-4bc4-9206-7033a2c1d5a4)) + (pin "2" (uuid be5f343b-4288-423b-a394-2956b55b2d61)) + ) + + (symbol (lib_id "Device:C") (at 215.9 288.29 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 04819b2b-cb43-4cae-baac-01caae26be72) + (property "Reference" "C15" (id 0) (at 219.71 287.0199 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "100nF" (id 1) (at 219.71 289.5599 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 216.8652 292.1 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 215.9 288.29 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid f0fc3ca8-9400-43e1-9bc6-c01d231ed10d)) + (pin "2" (uuid 67414286-2a97-4a35-85d5-6b93fee117c2)) + ) + + (symbol (lib_id "Connector:Micro_SD_Card_Det") (at 200.66 124.46 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 09e47e41-fce2-4be6-a894-63ccba0f1215) + (property "Reference" "J4" (id 0) (at 201.295 102.87 0)) + (property "Value" "104031-0811" (id 1) (at 201.295 105.41 0)) + (property "Footprint" "Connector_Card:microSD_HC_Molex_104031-0811" (id 2) (at 252.73 106.68 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://www.hirose.com/product/en/download_file/key_name/DM3/category/Catalog/doc_file_id/49662/?file_category_id=4&item_id=195&is_series=1" (id 3) (at 200.66 121.92 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 8c8dd503-e03c-4d4c-9cf5-8ddbd1c80ac9)) + (pin "10" (uuid bf0d2ead-6363-401f-add7-220acad33022)) + (pin "11" (uuid 29a9cadd-8f72-4a15-849b-caff12ce470b)) + (pin "2" (uuid 45662729-4175-444c-a13e-dc3726b5538c)) + (pin "3" (uuid 0f11ff74-6e4d-4eca-8896-2fb594e0e16b)) + (pin "4" (uuid 31d88c23-2026-4b6e-92c0-c11072f45df0)) + (pin "5" (uuid ac8191f6-e782-4d4f-a65d-4d1c206e81d9)) + (pin "6" (uuid fa74ec4e-9ace-4228-b828-dd508f169201)) + (pin "7" (uuid 030c91b3-0dc9-4ce1-9cd9-a9d93e85ec88)) + (pin "8" (uuid b7979eef-147c-4605-aa50-92978529eb46)) + (pin "9" (uuid d59cdd67-b268-4271-ad81-bab8561091ad)) + ) + + (symbol (lib_id "Device:C") (at 36.83 303.53 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 0a51cd04-5336-4bfb-ac7b-21533c8d00bb) + (property "Reference" "C17" (id 0) (at 40.64 302.2599 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "100nF" (id 1) (at 40.64 304.7999 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 37.7952 307.34 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 36.83 303.53 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid e73c6929-39c9-42bb-9df2-bfdb2246d184)) + (pin "2" (uuid 95b8b15a-f750-4928-9a4c-b059161e2eb9)) + ) + + (symbol (lib_id "power:+3V3") (at 57.15 243.84 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 0a5cf21d-6f1b-4b58-968b-d6b8ebd6334f) + (property "Reference" "#PWR07" (id 0) (at 57.15 247.65 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (id 1) (at 57.15 238.76 0)) + (property "Footprint" "" (id 2) (at 57.15 243.84 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 57.15 243.84 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 500b9d1e-84e9-4497-a093-08f6521d738f)) + ) + + (symbol (lib_id "power:GND") (at 223.52 143.51 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 0b54e0b3-595c-47db-bcec-c6a557e236e7) + (property "Reference" "#PWR044" (id 0) (at 223.52 149.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 223.52 148.59 0)) + (property "Footprint" "" (id 2) (at 223.52 143.51 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 223.52 143.51 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 6e4423c1-8cd7-4957-9ea6-b6568da196ea)) + ) + + (symbol (lib_id "Device:R") (at 121.92 347.98 90) (unit 1) + (in_bom yes) (on_board yes) + (uuid 0c635b0d-75d2-43fe-aa37-07274a83e016) + (property "Reference" "R6" (id 0) (at 121.92 345.44 90)) + (property "Value" "12k" (id 1) (at 125.73 345.44 90)) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (id 2) (at 121.92 349.758 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 121.92 347.98 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 774b088d-6e01-4f35-a19c-8e8499e41911)) + (pin "2" (uuid b2a28053-c651-4dc3-b22f-390564c48823)) + ) + + (symbol (lib_id "sc64v2:N64_Cartridge") (at 34.29 102.87 0) (unit 1) + (in_bom no) (on_board yes) + (uuid 0ccace5b-45a7-46eb-82b5-c5e6d2488545) + (property "Reference" "J_N1" (id 0) (at 34.29 99.06 0)) + (property "Value" "N64_Cartridge" (id 1) (at 34.29 101.6 0)) + (property "Footprint" "sc64v2:N64_Edge" (id 2) (at 34.29 76.2 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 34.29 76.2 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 5069c57b-e810-49d9-8aae-d7be5a744afb)) + (pin "10" (uuid b465f0d2-3ae2-4f5e-967c-db7ab60754c3)) + (pin "11" (uuid eaf90d05-c79a-451c-b84b-2c3cb0319974)) + (pin "12" (uuid b76376ee-eaa4-4b97-9f51-8f476e144c56)) + (pin "13" (uuid b4de5fb3-e939-4480-818c-886b3ab6bf9b)) + (pin "14" (uuid 01f80648-ea69-4e7a-b059-f145793c76c4)) + (pin "15" (uuid 781f73ef-153c-49c4-8450-d94e664e0017)) + (pin "16" (uuid d346f018-8e78-4a97-bf3c-b91adaf64498)) + (pin "17" (uuid fb9fbb52-14d4-4062-9475-ec74defe9327)) + (pin "18" (uuid ec7b4d89-a559-420d-a9a4-cd7159e5986d)) + (pin "19" (uuid 333784e4-fd7f-4860-a9e7-4ff64238b409)) + (pin "2" (uuid 5e6cba46-df91-4b36-a35e-6ebfc0595b91)) + (pin "20" (uuid efe2e735-4f3a-4940-b000-9681d2689d11)) + (pin "21" (uuid a09d377c-b35b-4fa3-af2e-1d8a03e33041)) + (pin "22" (uuid e60ea527-20fa-42d3-9be6-6be6076311e4)) + (pin "23" (uuid a6eaf040-48a7-41cb-b884-74f0a13be2af)) + (pin "24" (uuid 4f91a32d-26a4-403b-9fe4-f202a7d18ca1)) + (pin "25" (uuid 37fe2c29-fe26-4b0a-b981-aa090d129b3d)) + (pin "26" (uuid a89a082f-9076-4680-909a-e452a3efcce7)) + (pin "27" (uuid 26448286-f985-46f0-9387-272a4d45b15a)) + (pin "28" (uuid 0a78dbf4-50db-47ff-b551-3e85ce211bd5)) + (pin "29" (uuid 3b4c19c5-840d-42f3-aeca-cb1a8bdf6459)) + (pin "3" (uuid f8163210-6c92-4fb6-80e8-1b20a5dd7da5)) + (pin "30" (uuid 4fc49bfe-6d6d-447d-b945-90c3d4d5e8f3)) + (pin "31" (uuid f3256f02-73e2-47f0-9501-f1e1c4dc6b67)) + (pin "32" (uuid 7a1ca4ab-7ec1-42ff-a27b-73d126182235)) + (pin "33" (uuid d3664878-ce81-4230-b909-09dee5024175)) + (pin "34" (uuid 8c7cfb57-e13d-4a3d-beac-498f9f4de146)) + (pin "35" (uuid f3953bae-c4df-4889-9438-f2c7a8e12eda)) + (pin "36" (uuid 357d4381-714a-4aae-aa1a-b6d69a3491ea)) + (pin "37" (uuid fea712ce-8a4c-45e4-a137-d4e28236cccc)) + (pin "38" (uuid 3ff5cb30-19ec-4110-a1a6-ea12067c0154)) + (pin "39" (uuid d8e3e689-c509-4bd8-b960-1bb37ee4f3bd)) + (pin "4" (uuid ef3abe03-d68b-4855-bc8f-417487ded968)) + (pin "40" (uuid 18e2a33e-8c64-47e2-a97b-f5dbfe233a38)) + (pin "41" (uuid a86e114e-d6ff-43e3-b6ae-910ea32f6fd4)) + (pin "42" (uuid 9a72e10b-191a-4fb1-a40f-b2297f01e083)) + (pin "43" (uuid a75142f2-7a9b-4c12-8657-e47f90013fdb)) + (pin "44" (uuid 9d9315d5-1881-4093-8739-b574faa1fefd)) + (pin "45" (uuid 0970c0c6-ef87-4c89-ac5d-4b35bf51f93b)) + (pin "46" (uuid 4d747a23-5486-4016-a0e6-055ecc089488)) + (pin "47" (uuid 8c9c179f-318f-4b3c-a530-90beee2229b8)) + (pin "48" (uuid cd22000c-bb1e-4204-b747-65ef16ed2b36)) + (pin "49" (uuid 2eb200ba-e438-453e-943c-35f87d853bd8)) + (pin "5" (uuid 4bdde084-d87f-4b06-97a4-ba17eb9193b1)) + (pin "50" (uuid 4ebafd64-3121-41df-bb6e-0c057b15f983)) + (pin "6" (uuid 8d378657-dc8c-4d64-96f5-dba9b79c9424)) + (pin "7" (uuid d73d39f4-5b50-473f-a5b2-482acb60bcfa)) + (pin "8" (uuid b5ff3f55-cea3-4748-879d-af06b37815e3)) + (pin "9" (uuid 981034d3-4ba9-410a-8568-6651f93125bb)) + ) + + (symbol (lib_id "Device:C") (at 97.79 336.55 180) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 0f368328-b928-4c36-bde8-df1e5494dab0) + (property "Reference" "C13" (id 0) (at 101.6 335.2799 0) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Value" "100nF" (id 1) (at 101.6 337.8199 0) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 96.8248 332.74 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 97.79 336.55 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 9e553944-1994-4642-b626-11b621d9344d)) + (pin "2" (uuid 4c5ce193-4baa-4fbe-9fef-6f19d08825b4)) + ) + + (symbol (lib_id "power:+3V3") (at 238.76 276.86 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 0f91af28-d384-42cd-b1de-f16367e46432) + (property "Reference" "#PWR037" (id 0) (at 238.76 280.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (id 1) (at 238.76 271.78 0)) + (property "Footprint" "" (id 2) (at 238.76 276.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 238.76 276.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid bbf05ba4-c85d-4a37-9e7f-c493486418a0)) + ) + + (symbol (lib_id "power:GND") (at 458.47 220.98 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 0fad0d8f-fd7c-4df1-8c91-d5670e93c882) + (property "Reference" "#PWR029" (id 0) (at 458.47 227.33 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 458.47 226.06 0)) + (property "Footprint" "" (id 2) (at 458.47 220.98 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 458.47 220.98 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 8b2b20e8-1e64-40a2-af92-c1b0a02a70de)) + ) + + (symbol (lib_id "Memory_Flash:W25Q128JVS") (at 256.54 41.91 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 0fc6d60f-b51b-46bd-9f02-cc292d56b643) + (property "Reference" "U5" (id 0) (at 258.5594 29.21 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "W25Q128JVSIQ" (id 1) (at 258.5594 31.75 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Package_SO:SOIC-8_5.23x5.23mm_P1.27mm" (id 2) (at 256.54 41.91 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "http://www.winbond.com/resource-files/w25q128jv_dtr%20revc%2003272018%20plus.pdf" (id 3) (at 256.54 41.91 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid fe2962cd-0750-4216-aad2-297e77503161)) + (pin "2" (uuid dd5b3a7f-b9f2-4a69-ab3e-e607b6a52b47)) + (pin "3" (uuid 40b072cb-1e29-412e-99c0-c22d0c5f58fb)) + (pin "4" (uuid d7da42d9-0113-421b-877d-621195b6776e)) + (pin "5" (uuid 15d48852-3ea2-4767-a896-46813dce8589)) + (pin "6" (uuid 1c741d7c-0741-43b4-824a-7f67568e467d)) + (pin "7" (uuid e4bc6609-8a6f-4c56-be99-bb869759cec3)) + (pin "8" (uuid 4ca440eb-2a1f-474e-a9b1-95dc25cddc9e)) + ) + + (symbol (lib_id "Device:C") (at 361.95 146.05 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 109c53cf-c8a3-456a-9546-7c3328fa259a) + (property "Reference" "C39" (id 0) (at 365.76 144.7799 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "100nF" (id 1) (at 365.76 147.3199 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 362.9152 149.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 361.95 146.05 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid bc260325-a1c3-4ee6-9928-87dbab025752)) + (pin "2" (uuid effa66c9-ce65-4f9f-86b5-c0c4531b7e34)) + ) + + (symbol (lib_id "sc64v2:TPS2111A") (at 118.11 34.29 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 11199015-56cf-4f32-a080-c15518c28d0f) + (property "Reference" "U2" (id 0) (at 118.11 30.48 0)) + (property "Value" "TPS2111A" (id 1) (at 118.11 33.02 0)) + (property "Footprint" "Package_SO:TSSOP-8_4.4x3mm_P0.65mm" (id 2) (at 118.11 27.94 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 118.11 34.29 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid e10f9beb-2640-4cd4-b02b-6b7325f3a73e)) + (pin "2" (uuid 7da6179d-2a57-43b8-af5c-68a4f3b134af)) + (pin "3" (uuid 9353b1c6-e8b1-4d0e-b2ee-a35d4776530a)) + (pin "4" (uuid bb124602-4872-42dc-a675-06b1b96b21c1)) + (pin "5" (uuid 7522bc6a-1b61-41f4-b4ed-d83ff7443f2b)) + (pin "6" (uuid 7c1508c3-1070-4962-aac5-546376714306)) + (pin "7" (uuid cd7cde63-d84a-4e48-b120-00006dc30c85)) + (pin "8" (uuid e7bf6b3f-02bf-4404-83ac-ce12db24fe61)) + ) + + (symbol (lib_id "Device:C") (at 504.19 52.07 270) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 13265650-3af2-4401-adaf-15efd42a756b) + (property "Reference" "C22" (id 0) (at 504.19 44.45 90)) + (property "Value" "12pF" (id 1) (at 504.19 46.99 90)) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 500.38 53.0352 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 504.19 52.07 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 513a7c8d-2a85-445b-a77b-d9a549890b8e)) + (pin "2" (uuid a6d331cb-2bd2-483f-a339-1064b8eb9002)) + ) + + (symbol (lib_id "Device:C") (at 175.26 48.26 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 13d2b351-5091-4e28-9a5e-2a00667fbc07) + (property "Reference" "C5" (id 0) (at 179.07 46.9899 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "100nF" (id 1) (at 179.07 49.5299 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 176.2252 52.07 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 175.26 48.26 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 9d3cba64-32da-4819-9222-df6ed78d7f59)) + (pin "2" (uuid b46ad284-2af0-41d1-9c41-ae5fabceb913)) + ) + + (symbol (lib_id "power:GND") (at 132.08 196.85 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 170387e1-6ac2-4b17-ba7d-81085fc7fcd1) + (property "Reference" "#PWR016" (id 0) (at 132.08 203.2 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 132.08 201.93 0)) + (property "Footprint" "" (id 2) (at 132.08 196.85 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 132.08 196.85 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 23f9f3df-5a48-4655-89e7-c1f3276af62b)) + ) + + (symbol (lib_id "Connector:Conn_01x03_Male") (at 90.17 190.5 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 18505a27-e048-4c99-939f-13bbdf43eb7b) + (property "Reference" "J2" (id 0) (at 90.805 182.88 0)) + (property "Value" "Conn_01x03_Male" (id 1) (at 90.805 185.42 0)) + (property "Footprint" "Connector_PinHeader_2.54mm:PinHeader_1x03_P2.54mm_Vertical" (id 2) (at 90.17 190.5 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 90.17 190.5 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid b12e3d1c-ca3c-4418-aa7b-42dd51df6cdd)) + (pin "2" (uuid 1ebf271f-930e-438c-b0a2-66834e719963)) + (pin "3" (uuid e203e995-5e7f-4c58-bfca-13f6e9b68658)) + ) + + (symbol (lib_id "power:+3V3") (at 289.56 95.25 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 18a12c40-b756-4576-b5ce-524bd6741009) + (property "Reference" "#PWR030" (id 0) (at 289.56 99.06 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (id 1) (at 289.56 90.17 0)) + (property "Footprint" "" (id 2) (at 289.56 95.25 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 289.56 95.25 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid cbe9e152-1419-4aae-b3d2-b01c455e571c)) + ) + + (symbol (lib_id "power:+3V3") (at 557.53 26.67 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 1c597b4f-8d32-41b8-a401-ea79b7e354de) + (property "Reference" "#PWR017" (id 0) (at 557.53 30.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (id 1) (at 557.53 21.59 0)) + (property "Footprint" "" (id 2) (at 557.53 26.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 557.53 26.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 552194df-dadd-407e-9b6c-56b8334ee310)) + ) + + (symbol (lib_id "Memory_RAM:MT48LC16M16A2TG") (at 323.85 284.48 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 1dbbd042-b212-4933-895e-d1a10aa96c60) + (property "Reference" "U9" (id 0) (at 325.8694 246.38 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "IS42S16320F-7TL" (id 1) (at 325.8694 248.92 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Package_SO:TSOP-II-54_22.2x10.16mm_P0.8mm" (id 2) (at 323.85 320.04 0) + (effects (font (size 1.27 1.27) italic) hide) + ) + (property "Datasheet" "https://www.micron.com/-/media/client/global/documents/products/data-sheet/dram/256mb_sdr.pdf" (id 3) (at 323.85 290.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid fb86327d-efb2-45c0-bf20-16055d4b7806)) + (pin "10" (uuid fa1b5a6e-f150-4519-bc47-45d93fe8d11e)) + (pin "11" (uuid 0cf46e81-69e2-4789-8e57-a257d534e594)) + (pin "12" (uuid f2d3d108-862a-46e6-afdd-410f2bf8c492)) + (pin "13" (uuid ba5245a5-3473-40d0-8d61-7cc9e09d5d94)) + (pin "14" (uuid b686f8f0-5f0e-4848-b6d0-ab11e25d12d6)) + (pin "15" (uuid b0c3e341-ba2e-4e8c-9f68-c00d101de174)) + (pin "16" (uuid 5f86609c-6996-43b8-bb7a-f47694d2ae52)) + (pin "17" (uuid 6cc6419e-2483-4cd1-9f74-364b6b34e338)) + (pin "18" (uuid 06c51193-9653-4373-b83c-2bcd44e203e7)) + (pin "19" (uuid 5a186585-adac-4d2a-a473-4b316305a84f)) + (pin "2" (uuid 70918317-9ade-4314-bc9a-0027e04b9df0)) + (pin "20" (uuid 25cff1ca-78d7-4128-89ab-da7bf70f2602)) + (pin "21" (uuid da125f13-a6cd-4354-abfa-08431ff5a0e9)) + (pin "22" (uuid 5f5e4896-2da0-47c3-b996-c9b61ed5d77e)) + (pin "23" (uuid 1baa054f-91c8-4b60-a0fc-158a3773663d)) + (pin "24" (uuid 6a75c6b3-7e60-479d-bd02-45c0d17eb5cd)) + (pin "25" (uuid 8ea7cb43-2675-4007-910e-6aae7ef8a57e)) + (pin "26" (uuid b2f2574c-f18a-40e8-94e4-c63441a9fff3)) + (pin "27" (uuid 5c2e7ed4-1fa4-4cba-be6e-d15be51ce7d7)) + (pin "28" (uuid fd3be9c5-5665-4801-8155-84892f99ff19)) + (pin "29" (uuid a8495160-9c42-4a74-abdc-e223a140ab94)) + (pin "3" (uuid 234b3a37-930c-45d0-b18e-51e4d46299c6)) + (pin "30" (uuid 5a925200-55da-4ec8-8e3e-73bdefb745dc)) + (pin "31" (uuid 56b7ca5e-87b3-4c82-8b18-50ff81e0b72e)) + (pin "32" (uuid 3f599525-8211-46a7-a6bb-60db6a40aae0)) + (pin "33" (uuid 8a9f6e4a-e586-4ee7-9fe6-4e0137792ea0)) + (pin "34" (uuid 0bc364ab-c5b3-44f8-bec5-4094e38768f3)) + (pin "35" (uuid 49ad1df5-1ee4-4b4d-a502-67993612e8ae)) + (pin "36" (uuid bb21b2cd-3c58-44b7-8fd7-3d562d77419b)) + (pin "37" (uuid b7bc38c0-b47c-4f75-89f9-254c7fe4befe)) + (pin "38" (uuid ef20f7d0-a715-4df5-ae72-8b86e9262b9a)) + (pin "39" (uuid 35295ad0-1053-463c-a321-beb70a7aafb9)) + (pin "4" (uuid f0f7e5fe-5ffe-4196-8f9b-fe35a7f7f218)) + (pin "40" (uuid 19242da7-36d2-4a1d-8607-95b8164cb992)) + (pin "41" (uuid 419d0d48-ad3f-47ad-828b-d29bd36c7a06)) + (pin "42" (uuid 4765d818-81cd-4ea3-bd7b-485a3258ee1e)) + (pin "43" (uuid 3c6d702f-cace-46eb-adf0-11e4f0368ceb)) + (pin "44" (uuid c239a046-1e55-4fbe-a08c-e47326a0d23d)) + (pin "45" (uuid 827637da-92e9-4fe3-b90f-9308a19f7a00)) + (pin "46" (uuid 76849968-5890-4c2d-bd20-8d4b8baa9319)) + (pin "47" (uuid ac9ba36e-7d8d-4e9e-a34f-86e725a9202c)) + (pin "48" (uuid 28b39963-0f35-4e53-8780-ca410174b519)) + (pin "49" (uuid 17c2725f-9658-4fb4-b7ca-c275b3a5c755)) + (pin "5" (uuid 9225788f-dc25-426b-b356-6cb8e457b2b3)) + (pin "50" (uuid 96ec85ef-b9a0-4053-ad51-259c4bac5a98)) + (pin "51" (uuid db0215bf-317d-49df-a9b0-f8e5ecdbcc97)) + (pin "52" (uuid c8c4efb3-406d-4dd7-82e3-03fbcce00f48)) + (pin "53" (uuid ffb7d6a6-2123-45e3-a2e5-a5d5e053aba7)) + (pin "54" (uuid 8cb82971-52a3-44d9-a584-022d9394ce70)) + (pin "6" (uuid ac188212-e7eb-4b46-830f-31306ae230ba)) + (pin "7" (uuid 9de299e1-acc8-42a4-ac13-52d42c8fd559)) + (pin "8" (uuid 355b9530-f920-4d58-82da-be4e11708515)) + (pin "9" (uuid 122bcd35-e801-4408-a510-20a648a1a2e1)) + ) + + (symbol (lib_id "Device:C") (at 251.46 285.75 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 1df87e80-2d07-4a8a-bb5b-e5faf9d9c905) + (property "Reference" "C44" (id 0) (at 255.27 284.4799 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "100nF" (id 1) (at 255.27 287.0199 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 252.4252 289.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 251.46 285.75 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid cf4efc07-6ef6-45af-9aea-7b3e75134c25)) + (pin "2" (uuid 808c6f35-8a5f-44e6-940b-7e37a3716959)) + ) + + (symbol (lib_id "power:+3V3") (at 321.31 243.84 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 1ee21910-144e-4257-a76f-0adfeca68e45) + (property "Reference" "#PWR032" (id 0) (at 321.31 247.65 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (id 1) (at 321.31 238.76 0)) + (property "Footprint" "" (id 2) (at 321.31 243.84 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 321.31 243.84 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 223892b5-4401-4fd4-b3c2-36cadf7f3ef7)) + ) + + (symbol (lib_id "power:GND") (at 532.13 41.91 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 1efd382c-77ba-44cc-854c-77f44cf4adc4) + (property "Reference" "#PWR018" (id 0) (at 532.13 48.26 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 532.13 46.99 0)) + (property "Footprint" "" (id 2) (at 532.13 41.91 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 532.13 41.91 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid b89504aa-9d44-465c-a629-a4da64fefcc9)) + ) + + (symbol (lib_id "Device:R") (at 317.5 35.56 180) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 218503c2-8dbd-4619-ac7b-4c01fe0226fe) + (property "Reference" "R13" (id 0) (at 320.04 34.2899 0) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Value" "10k" (id 1) (at 320.04 36.8299 0) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (id 2) (at 319.278 35.56 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 317.5 35.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 4f0b6391-10dd-468c-9bdb-6168afe5a8fd)) + (pin "2" (uuid 904fee75-2ae6-421c-beec-f7c72f8375ba)) + ) + + (symbol (lib_id "Device:C") (at 22.86 38.1 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 2263c8e5-5551-4045-b466-779e9e5fd361) + (property "Reference" "C1" (id 0) (at 26.67 36.8299 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "4.7uF" (id 1) (at 26.67 39.3699 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 23.8252 41.91 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 22.86 38.1 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid a5723b07-dc9c-44a3-a5b8-be403a64434a)) + (pin "2" (uuid 99afb3ba-3a35-4529-9514-a36e09f2cf09)) + ) + + (symbol (lib_id "Device:C") (at 35.56 38.1 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 23f0bb46-eb5d-4798-b7ac-fd1aad5c7d6a) + (property "Reference" "C2" (id 0) (at 39.37 36.8299 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "100nF" (id 1) (at 39.37 39.3699 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 36.5252 41.91 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 35.56 38.1 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 9ba6f386-abe4-4119-94dd-8931c9eb05e5)) + (pin "2" (uuid a5148bbe-5507-4466-8a74-a533b8f0b0ab)) + ) + + (symbol (lib_id "Device:C") (at 135.89 124.46 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 25b1fb90-87c1-483e-8933-09b55f4fd3ab) + (property "Reference" "C47" (id 0) (at 139.7 123.1899 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "100nF" (id 1) (at 139.7 125.7299 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 136.8552 128.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 135.89 124.46 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 141e10e1-b0a4-421c-814f-05c077c1f9b4)) + (pin "2" (uuid 2b652fcd-ff28-4bae-bd6c-a4a3783b6ac4)) + ) + + (symbol (lib_id "Device:Battery_Cell") (at 436.88 45.72 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 29bdd9c1-a511-41a4-81ee-696b6cbdf543) + (property "Reference" "BT1" (id 0) (at 433.07 43.18 0) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Value" "1060" (id 1) (at 433.07 45.72 0) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "Battery:BatteryHolder_Keystone_1060_1x2032" (id 2) (at 436.88 44.196 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 436.88 44.196 90) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 829c06f1-fb75-4b63-bb5a-e244955425e5)) + (pin "2" (uuid 182d89c2-53e5-49ac-9766-d578fb676c72)) + ) + + (symbol (lib_id "Device:C") (at 349.25 105.41 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 2bbbf7e2-fec0-4e0e-af5e-d1d876305dd1) + (property "Reference" "C29" (id 0) (at 353.06 104.1399 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "100nF" (id 1) (at 353.06 106.6799 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 350.2152 109.22 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 349.25 105.41 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid e76e2371-64e2-49bb-befd-3ab506a639c7)) + (pin "2" (uuid 30106391-6a34-4ba1-a6a9-3ac26f20bc10)) + ) + + (symbol (lib_id "power:GND") (at 60.96 59.69 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 2c68f5da-25e5-4b38-8f43-a5fa3c8fbaf6) + (property "Reference" "#PWR03" (id 0) (at 60.96 66.04 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 60.96 64.77 0)) + (property "Footprint" "" (id 2) (at 60.96 59.69 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 60.96 59.69 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 210a999d-1d04-4446-98e8-20a41fa2f9e8)) + ) + + (symbol (lib_id "Regulator_Linear:TC1262-33") (at 48.26 31.75 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 2d0fed17-815d-432f-a7eb-ae8520ef2c33) + (property "Reference" "U1" (id 0) (at 48.26 24.13 0)) + (property "Value" "TC1264-3.3VDB" (id 1) (at 48.26 26.67 0)) + (property "Footprint" "Package_TO_SOT_SMD:SOT-223-3_TabPin2" (id 2) (at 48.26 26.035 0) + (effects (font (size 1.27 1.27) italic) hide) + ) + (property "Datasheet" "http://ww1.microchip.com/downloads/en/DeviceDoc/21373C.pdf" (id 3) (at 48.26 39.37 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid bbc2b036-3f97-45ce-a2a8-2f25a26f004f)) + (pin "2" (uuid 929089dd-a477-419f-8582-7057af5126ec)) + (pin "3" (uuid fef16bfc-b094-4595-bd39-b7e5968bdb00)) + ) + + (symbol (lib_id "Device:C") (at 161.29 288.29 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 2fe178e5-f305-4d73-9f34-5dc926fbad07) + (property "Reference" "C9" (id 0) (at 165.1 287.0199 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "100nF" (id 1) (at 165.1 289.5599 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 162.2552 292.1 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 161.29 288.29 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 8bf07274-0e26-4d88-9c75-3611837f60f3)) + (pin "2" (uuid dbdae8d2-1a14-4ca5-84b9-4a4ef2d71fcb)) + ) + + (symbol (lib_id "Device:R") (at 332.74 35.56 180) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 303e7d15-a601-4397-8721-b9f3cf051807) + (property "Reference" "R14" (id 0) (at 335.28 34.2899 0) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Value" "2.2k" (id 1) (at 335.28 36.8299 0) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (id 2) (at 334.518 35.56 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 332.74 35.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 3e6b5ab8-9e22-4e2d-8b54-778e455e63d4)) + (pin "2" (uuid a2eb79cd-55e5-45c6-8014-298e7653bb5c)) + ) + + (symbol (lib_id "Device:R") (at 548.64 57.15 270) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 351bd7d9-24e6-4a96-9b71-d9b4f5d9bd9a) + (property "Reference" "R18" (id 0) (at 548.64 50.8 90)) + (property "Value" "470R" (id 1) (at 548.64 53.34 90)) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (id 2) (at 548.64 55.372 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 548.64 57.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 8557d29b-1845-48fc-99c3-72c457090ad2)) + (pin "2" (uuid c020f6c1-f97e-4b34-9717-f580fa1b2ea9)) + ) + + (symbol (lib_id "Device:C") (at 336.55 146.05 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 368cbd0e-6260-44d9-af09-bc08be9667bd) + (property "Reference" "C37" (id 0) (at 340.36 144.7799 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "100nF" (id 1) (at 340.36 147.3199 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 337.5152 149.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 336.55 146.05 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 4c86ef8e-571c-4323-a2d0-5da22853b9ad)) + (pin "2" (uuid d01b07b9-55a7-40d0-9bfb-5f944831fe61)) + ) + + (symbol (lib_id "power:GND") (at 468.63 62.23 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 37f7588a-351f-492a-b5e9-a86d93620781) + (property "Reference" "#PWR027" (id 0) (at 468.63 68.58 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 468.63 67.31 0)) + (property "Footprint" "" (id 2) (at 468.63 62.23 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 468.63 62.23 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 4acd6a17-ea60-4062-a93f-8718e5c7c8f1)) + ) + + (symbol (lib_id "Device:R") (at 87.63 50.8 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 38d3e0bc-e7f3-4fcf-b28b-1dd2ebbed152) + (property "Reference" "R2" (id 0) (at 90.17 49.5299 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "3.3k" (id 1) (at 90.17 52.0699 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (id 2) (at 85.852 50.8 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 87.63 50.8 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 24342104-877e-40a1-b189-b3992346cd5e)) + (pin "2" (uuid f8c80f73-db00-4c73-8c80-fd5b67bde0b3)) + ) + + (symbol (lib_id "Device:R") (at 194.31 370.84 180) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 38f041d3-336d-4c45-9e25-efeece1e2340) + (property "Reference" "R9" (id 0) (at 196.85 369.5699 0) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Value" "5.1k" (id 1) (at 196.85 372.1099 0) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (id 2) (at 196.088 370.84 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 194.31 370.84 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid a0f9be0b-1417-44d7-aba5-b865489be675)) + (pin "2" (uuid 006db38e-02df-4364-a2aa-4a3e749ffd52)) + ) + + (symbol (lib_id "Device:C") (at 60.96 38.1 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 3994f405-55be-4a04-8c8b-3ed658926389) + (property "Reference" "C3" (id 0) (at 64.77 36.8299 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "100nF" (id 1) (at 64.77 39.3699 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 61.9252 41.91 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 60.96 38.1 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 5f5202ff-b120-4548-b40b-83e4abc7085e)) + (pin "2" (uuid ad0f3ac1-f325-4fd3-8d0a-8a97a5791a8b)) + ) + + (symbol (lib_id "power:+3V3") (at 238.76 243.84 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 3bf9f7aa-1b89-456b-9f4e-e9562a554fa8) + (property "Reference" "#PWR036" (id 0) (at 238.76 247.65 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (id 1) (at 238.76 238.76 0)) + (property "Footprint" "" (id 2) (at 238.76 243.84 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 238.76 243.84 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 106a686d-d6ba-4158-96ef-14da1190e5cc)) + ) + + (symbol (lib_id "power:GND") (at 140.97 393.7 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 41638c83-80bc-4d96-917f-dab37e760733) + (property "Reference" "#PWR011" (id 0) (at 140.97 400.05 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 140.97 398.78 0)) + (property "Footprint" "" (id 2) (at 140.97 393.7 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 140.97 393.7 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 313132d2-7050-405d-bb5c-0a1f6a5577b9)) + ) + + (symbol (lib_id "Device:LED") (at 538.48 57.15 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 45c5d7eb-fb02-4045-bae4-ce53aa49b4f2) + (property "Reference" "D1" (id 0) (at 536.8925 50.8 0)) + (property "Value" "150060RS75000" (id 1) (at 536.8925 53.34 0)) + (property "Footprint" "LED_SMD:LED_0603_1608Metric" (id 2) (at 538.48 57.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 538.48 57.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid d52b3c80-c929-4181-ad2a-7dc97fc56205)) + (pin "2" (uuid 652078c8-5c07-455c-8bf1-2b7bc915c944)) + ) + + (symbol (lib_id "Device:L") (at 148.59 300.99 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 45ec13fe-5f93-4814-b0a9-2ef724502115) + (property "Reference" "L2" (id 0) (at 149.86 297.18 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "470R" (id 1) (at 149.86 293.37 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Inductor_SMD:L_0603_1608Metric" (id 2) (at 148.59 300.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 148.59 300.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 9c143ef4-9b89-4801-9343-4de1ec938123)) + (pin "2" (uuid 82e84d3f-a4c7-49db-8497-5ae8c1bf7029)) + ) + + (symbol (lib_id "power:+3V3") (at 154.94 176.53 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 47f234bb-8c8e-4f7a-abbf-247429f54ebd) + (property "Reference" "#PWR014" (id 0) (at 154.94 180.34 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (id 1) (at 154.94 171.45 0)) + (property "Footprint" "" (id 2) (at 154.94 176.53 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 154.94 176.53 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid e76e450c-a5ca-41cb-9c46-1180005bdf24)) + ) + + (symbol (lib_id "Device:C") (at 438.15 208.28 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 49f3385c-4a1a-4ed1-a308-2c37f51240ba) + (property "Reference" "C23" (id 0) (at 441.96 207.0099 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "100nF" (id 1) (at 441.96 209.5499 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 439.1152 212.09 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 438.15 208.28 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 7e373579-0f11-4a22-bc30-ab910ddf1681)) + (pin "2" (uuid 7cc210e7-9675-481e-a95d-a328a4a8e6fb)) + ) + + (symbol (lib_id "Device:C") (at 238.76 285.75 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 4a8503ee-5770-4f04-9dfc-cfd261659fa9) + (property "Reference" "C43" (id 0) (at 242.57 284.4799 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "100nF" (id 1) (at 242.57 287.0199 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 239.7252 289.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 238.76 285.75 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid a7a42b76-5007-4414-a5fa-8aca321f7195)) + (pin "2" (uuid 57cec389-30d0-4687-8fcd-d921740d15f7)) + ) + + (symbol (lib_id "Device:C") (at 162.56 48.26 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 4d57f96b-3724-40e6-ab93-f23413cb8642) + (property "Reference" "C4" (id 0) (at 166.37 46.9899 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "47uF" (id 1) (at 166.37 49.5299 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Capacitor_SMD:C_0805_2012Metric" (id 2) (at 163.5252 52.07 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 162.56 48.26 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 169f68fb-2bf7-499e-8531-c06b1ebd48bf)) + (pin "2" (uuid 2d918c75-7089-4846-be61-e05e39c26032)) + ) + + (symbol (lib_id "Device:C") (at 336.55 105.41 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 4f8814bc-1ddc-4d9d-8341-e717fa829f27) + (property "Reference" "C28" (id 0) (at 340.36 104.1399 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "100nF" (id 1) (at 340.36 106.6799 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 337.5152 109.22 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 336.55 105.41 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 98480886-c9c0-4798-a409-72036d4ef8c9)) + (pin "2" (uuid f76be317-538c-4c0a-a1c2-b700a717e626)) + ) + + (symbol (lib_id "sc64v2:TC2050-FP") (at 143.51 179.07 0) (unit 1) + (in_bom no) (on_board yes) + (uuid 51744168-bfea-4a8e-8692-c99342c4a1b3) + (property "Reference" "J3" (id 0) (at 143.51 175.26 0)) + (property "Value" "TC2050-FP" (id 1) (at 143.51 177.8 0)) + (property "Footprint" "sc64v2:TC2050-FP" (id 2) (at 143.51 184.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 143.51 184.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 55239abb-9d4e-4d99-832d-cf1400c6c4cb)) + (pin "10" (uuid fafaaf9c-782e-46eb-b8b8-ec50999639f9)) + (pin "2" (uuid 67253d7a-9a5c-41bb-96c3-92719b2902e6)) + (pin "3" (uuid e416f08f-83aa-4154-9fc9-d42a7488879c)) + (pin "4" (uuid 51e1c19d-f8a7-4a1a-b1e8-cef5ae8d7825)) + (pin "5" (uuid d9abe738-6a47-4980-b4d3-316a7ed1f400)) + (pin "6" (uuid fcd53bb6-afcb-49c3-a34b-d9f070e5a3bd)) + (pin "7" (uuid f053964b-24b4-4410-8cb9-c69ce7405b6c)) + (pin "8" (uuid 30e965b0-bf6d-431a-9321-548f5b5d1765)) + (pin "9" (uuid 78b9464b-1b7e-40ca-8f65-428d0443e7ba)) + ) + + (symbol (lib_id "Device:R") (at 121.92 342.9 90) (unit 1) + (in_bom yes) (on_board yes) + (uuid 53a3914e-49ab-47c6-9e8c-471b61f99f60) + (property "Reference" "R7" (id 0) (at 121.92 340.36 90)) + (property "Value" "12k" (id 1) (at 125.73 340.36 90)) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (id 2) (at 121.92 344.678 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 121.92 342.9 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid aaa009e6-afba-4469-ab3f-9d28abe92766)) + (pin "2" (uuid 6ed97f44-5dc4-4a4a-9877-0711ec832fbf)) + ) + + (symbol (lib_id "Device:C") (at 361.95 105.41 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 5641d56b-9829-49e8-b5b5-4c281f49578e) + (property "Reference" "C30" (id 0) (at 365.76 104.1399 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "100nF" (id 1) (at 365.76 106.6799 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 362.9152 109.22 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 361.95 105.41 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 18811c8e-995c-499d-9120-dbc4d23ee763)) + (pin "2" (uuid fcb30744-a514-4633-ac63-063796e5a31b)) + ) + + (symbol (lib_id "Device:R") (at 166.37 109.22 90) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 57757361-0691-4a91-bc3d-0dc7562fcbe2) + (property "Reference" "R19" (id 0) (at 166.37 102.87 90)) + (property "Value" "10k" (id 1) (at 166.37 105.41 90)) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (id 2) (at 166.37 110.998 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 166.37 109.22 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid ea7336cd-7833-4d46-9779-02780b1deb5c)) + (pin "2" (uuid 5dac5643-48b8-43c5-af48-46d29aa73d38)) + ) + + (symbol (lib_id "sc64v2:LCMXO2-7000Hx-xTG144x") (at 408.94 105.41 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 577a15d5-96bb-4ab6-91f7-9846e15282cb) + (property "Reference" "U8" (id 0) (at 408.94 101.6 0)) + (property "Value" "LCMXO2-7000Hx-xTG144x" (id 1) (at 408.94 104.14 0)) + (property "Footprint" "Package_QFP:TQFP-144_20x20mm_P0.5mm" (id 2) (at 408.94 101.6 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 407.67 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "109" (uuid fb4657fa-57f3-4a38-9bff-4baf8173538b)) + (pin "110" (uuid 16557af3-f5c5-470c-afd8-4096e4889e59)) + (pin "111" (uuid a4130b36-7e75-4d3d-8e78-414b307bbf21)) + (pin "112" (uuid da62d8ba-842c-4be7-95a8-5392ee0cd4a8)) + (pin "113" (uuid 68db1b88-e4de-49d4-a644-3b4a2ab570cd)) + (pin "114" (uuid b2dd5bc7-9128-4930-bc49-ecd82a262149)) + (pin "115" (uuid d52e24e1-1cb4-4e77-82db-a8e5113a6214)) + (pin "117" (uuid 498af2d3-4c56-41b5-98f6-7430147eb88c)) + (pin "119" (uuid 4cf83064-5a9f-4471-b9e2-cc44680e0cb9)) + (pin "120" (uuid c33f11c7-cdf7-4f60-acd7-751a0cc1048a)) + (pin "121" (uuid 67fe0a90-fda6-4ccf-8b8b-8216c9a4cac5)) + (pin "122" (uuid f3b34128-d2e3-42c0-a73e-4dc31c55fb29)) + (pin "125" (uuid 3d6fe5a5-761b-432f-9a60-2b10bf333922)) + (pin "126" (uuid 2e60c2bd-0e9b-485d-a6eb-a6ea114be825)) + (pin "127" (uuid 22c99fb1-9437-4d20-a986-841e97cd5748)) + (pin "128" (uuid 3e8e016f-b9fe-4c14-a642-496fa80b8750)) + (pin "130" (uuid 45138835-950e-4196-b0f3-bd87aeff9740)) + (pin "131" (uuid cb7929f0-78a7-435b-97c3-e7152db98287)) + (pin "132" (uuid 4ef331c4-ab88-4736-9ed6-58d0b617e2a2)) + (pin "133" (uuid 4b0e1279-fe65-4a4f-abb0-8a160ba1df59)) + (pin "136" (uuid ae5957ad-2eb1-458b-9fa5-9e9502ff64b9)) + (pin "137" (uuid 2e7f8740-74db-4d60-87db-38382db3f8bd)) + (pin "138" (uuid 71387787-5d64-4f8d-a5e8-f5a21e2f0aee)) + (pin "139" (uuid ff067746-b5ff-4f34-9e22-33e270ce059d)) + (pin "140" (uuid 3942668a-d46d-4b4f-a9d3-ec34509f84bb)) + (pin "141" (uuid 49940803-ba35-407d-84f6-00259ab13a4e)) + (pin "142" (uuid 588d11e5-456e-425a-8783-f06e68cdb795)) + (pin "143" (uuid f5fe1f7a-1472-4254-8f00-1b29ae900193)) + ) + + (symbol (lib_id "Device:C") (at 349.25 125.73 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 5a894943-2e60-4640-96a2-a55eb4cfef14) + (property "Reference" "C35" (id 0) (at 353.06 124.4599 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "100nF" (id 1) (at 353.06 126.9999 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 350.2152 129.54 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 349.25 125.73 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 33bbbac0-b3ad-47be-846d-146c44997ac1)) + (pin "2" (uuid 9137cd46-421b-4423-ab02-8c6f9fcfd51d)) + ) + + (symbol (lib_id "Device:C") (at 175.26 300.99 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 5eb8655d-026d-48b3-9313-87b558b7f693) + (property "Reference" "C7" (id 0) (at 179.07 299.7199 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "100nF" (id 1) (at 179.07 302.2599 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 176.2252 304.8 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 175.26 300.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid d665d116-2a64-4dfa-a0b4-ebcccc843b56)) + (pin "2" (uuid bd992064-4e87-4e3a-8f99-60b51901d9c2)) + ) + + (symbol (lib_id "Device:C") (at 201.93 288.29 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 5f5a5875-6a56-4582-a73d-ebf515c445e5) + (property "Reference" "C12" (id 0) (at 205.74 287.0199 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "100nF" (id 1) (at 205.74 289.5599 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 202.8952 292.1 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 201.93 288.29 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 07742cf2-73bc-4ee4-8195-f088b4065a5b)) + (pin "2" (uuid 053e85ef-ed2d-44c6-b37b-37e7a9c400d6)) + ) + + (symbol (lib_id "Device:C") (at 214.63 41.91 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 614914c2-3abd-4f26-90db-4b83af4389e9) + (property "Reference" "C18" (id 0) (at 218.44 40.6399 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "100nF" (id 1) (at 218.44 43.1799 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 215.5952 45.72 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 214.63 41.91 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid b81ccbe6-7671-4e81-b2e5-e90db8c64e40)) + (pin "2" (uuid 705c8685-f677-4e9a-99cb-55d613845eb9)) + ) + + (symbol (lib_id "power:GND") (at 57.15 316.23 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 63aa8c01-796d-47a7-87b3-fbae1f65c90c) + (property "Reference" "#PWR013" (id 0) (at 57.15 322.58 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 57.15 321.31 0)) + (property "Footprint" "" (id 2) (at 57.15 316.23 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 57.15 316.23 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 27320a02-c07b-4457-8f24-e0008027e1b6)) + ) + + (symbol (lib_id "power:+5V") (at 96.52 104.14 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 64a62079-b9f5-4f84-9f85-ccc801bedcbe) + (property "Reference" "#PWR06" (id 0) (at 96.52 107.95 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+5V" (id 1) (at 96.52 99.06 0)) + (property "Footprint" "" (id 2) (at 96.52 104.14 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 96.52 104.14 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 91fc4efc-1c26-487a-bd70-5ed8b9629c31)) + ) + + (symbol (lib_id "power:+3V3") (at 458.47 195.58 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 65babc05-73c1-4c42-9a6a-9d66e0a48187) + (property "Reference" "#PWR028" (id 0) (at 458.47 199.39 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (id 1) (at 458.47 190.5 0)) + (property "Footprint" "" (id 2) (at 458.47 195.58 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 458.47 195.58 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 0aaca05e-6437-49a4-bc85-67b9eacb7447)) + ) + + (symbol (lib_id "Device:C") (at 264.16 285.75 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 65dd3f32-98df-4103-ac72-9d5146d33dfe) + (property "Reference" "C45" (id 0) (at 267.97 284.4799 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "100nF" (id 1) (at 267.97 287.0199 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 265.1252 289.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 264.16 285.75 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 2ab6e6a1-9162-405d-a159-ea7921d8595d)) + (pin "2" (uuid 74361fd2-dd17-48b6-952a-019d61812268)) + ) + + (symbol (lib_id "power:+3V3") (at 312.42 26.67 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 67f47780-6924-4e7e-aab1-3e59762a208e) + (property "Reference" "#PWR021" (id 0) (at 312.42 30.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (id 1) (at 312.42 21.59 0)) + (property "Footprint" "" (id 2) (at 312.42 26.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 312.42 26.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 848d7c52-8857-486f-85b0-ed06510ca557)) + ) + + (symbol (lib_id "Device:L") (at 146.05 300.99 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 6a7f3f5f-7361-4bc7-aab9-0a665363dea2) + (property "Reference" "L1" (id 0) (at 147.32 297.18 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "470R" (id 1) (at 147.32 293.37 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Inductor_SMD:L_0603_1608Metric" (id 2) (at 146.05 300.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 146.05 300.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 31f63384-51db-41c5-8e08-ac7c654811c6)) + (pin "2" (uuid 1f4ab7ea-c988-41db-a98a-32da217ef8e5)) + ) + + (symbol (lib_id "Interface_USB:FT232H") (at 151.13 350.52 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 6e901f8d-de31-4712-9607-3a9979e49550) + (property "Reference" "U3" (id 0) (at 158.2294 311.15 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "FT232HL" (id 1) (at 158.2294 313.69 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Package_QFP:LQFP-48_7x7mm_P0.5mm" (id 2) (at 151.13 350.52 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://www.ftdichip.com/Support/Documents/DataSheets/ICs/DS_FT232H.pdf" (id 3) (at 151.13 350.52 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 7a87a459-73eb-4f08-8858-b49ca522be0a)) + (pin "10" (uuid 3b2d4e6e-42d0-4a39-9b9d-544d19e121d7)) + (pin "11" (uuid e503ca88-b2a2-4e3a-ae0a-3b52d22319b0)) + (pin "12" (uuid 28fddfbe-d2c5-4b0f-9bca-621d9d254eff)) + (pin "13" (uuid c6302a3d-b609-4665-93dc-e3435ecdb2fe)) + (pin "14" (uuid 8eb99a3d-5fb1-41f5-99e3-783e0951133b)) + (pin "15" (uuid 062833b0-2159-4216-8af0-596483b473a9)) + (pin "16" (uuid 8408af19-7232-4499-90c5-b8c560d6efdb)) + (pin "17" (uuid ac128739-b2f9-4898-b789-370764845a31)) + (pin "18" (uuid 3936828e-a5aa-42df-af29-dbe71c6335f2)) + (pin "19" (uuid 2775c0b6-7485-48ac-909d-6cfa4be18753)) + (pin "2" (uuid e4f8afb1-ebee-4044-9d6c-387dacc543bb)) + (pin "20" (uuid fbd0dda8-3d20-483a-8856-8351d3927900)) + (pin "21" (uuid ef2a9e5b-9660-47a1-b722-a1620266b6c7)) + (pin "22" (uuid 8309988a-a7c7-4219-9b5f-77ce653bffb0)) + (pin "23" (uuid 62be7e6d-bced-458c-b0ef-6c97061886b0)) + (pin "24" (uuid a26218c6-933b-4c04-8294-5a0f2fa73526)) + (pin "25" (uuid fe4911d9-6679-4873-a51e-24464790eaa0)) + (pin "26" (uuid 2593b5c0-98ac-42d7-8998-3a8a598c9ae8)) + (pin "27" (uuid 66b50d5c-ae0c-4ae5-93fb-277ae3db49f2)) + (pin "28" (uuid a3a5d500-c79f-4b63-8e69-81b2d35d3d9a)) + (pin "29" (uuid ad86b028-df16-41eb-9f9c-520f29100440)) + (pin "3" (uuid f6c00c07-f9fe-4c24-8d8b-6e820287da5a)) + (pin "30" (uuid a0402ac9-02e0-42aa-a1a0-affe07005f5e)) + (pin "31" (uuid 3a15afe5-75cf-4e86-9bd8-122a71b6863b)) + (pin "32" (uuid 5e4a462a-cd9e-453d-8ddc-65f701dafe84)) + (pin "33" (uuid 5094167c-6b7e-4801-9d79-dacbf744ca58)) + (pin "34" (uuid 73ce4310-cf43-4b34-8968-d8ad5a5a4eff)) + (pin "35" (uuid 6ed6a843-73cd-420f-a28e-de5cb2e95fab)) + (pin "36" (uuid 7976da0d-1bd4-47e0-ad0e-411c82a3eddb)) + (pin "37" (uuid 91be2dde-18ea-430b-a791-31c4fb5a6a69)) + (pin "38" (uuid d2cc5bf1-25d4-4f4f-b03d-5ea05232c1cb)) + (pin "39" (uuid 56fbeeb4-2872-4ab0-833d-ad7cbfc11275)) + (pin "4" (uuid ee08476d-9ad7-4017-8f48-fd504d15561b)) + (pin "40" (uuid 376ce23a-cc80-4e67-84d6-dc9d0f1c445f)) + (pin "41" (uuid 7e76920a-c731-4586-b29a-6bfea191b9e3)) + (pin "42" (uuid 991bc940-05a6-44a0-bb23-49d000e100ad)) + (pin "43" (uuid 76abe24f-e706-4ce2-829e-bd6240c0fcfc)) + (pin "44" (uuid 1260b060-d71e-437d-aaed-987d0fb4a8e5)) + (pin "45" (uuid 0ddad5b3-7f77-4f45-b25a-7533c484dbb6)) + (pin "46" (uuid cf80fcf0-8530-4b16-b5ec-ec5dd4c095bd)) + (pin "47" (uuid 35c309c4-3b1d-4974-97db-f2bc225c907c)) + (pin "48" (uuid 9f46a45d-99aa-4772-8725-07a04bac535b)) + (pin "5" (uuid 6eea5d76-24a7-4e5a-bf9a-55961641c157)) + (pin "6" (uuid 214c0606-e05a-4af7-99d5-2eb9aa3b8e5e)) + (pin "7" (uuid 05e97ab9-ca84-4537-9a1c-c12539105538)) + (pin "8" (uuid c9d9348b-2a2a-4b89-a328-b55a51aae637)) + (pin "9" (uuid 2f836bcb-65b5-4251-b1a3-a8e1f456022e)) + ) + + (symbol (lib_id "power:GND") (at 532.13 59.69 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 6ebba947-44db-4edb-8b6b-eeb19c5c6a28) + (property "Reference" "#PWR041" (id 0) (at 532.13 66.04 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 532.13 64.77 0)) + (property "Footprint" "" (id 2) (at 532.13 59.69 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 532.13 59.69 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid a6c51e8c-fb82-494a-b9d5-9a3b7c868169)) + ) + + (symbol (lib_id "Device:C") (at 361.95 115.57 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 6f6c2377-8039-497e-9b10-e130c951f0c3) + (property "Reference" "C33" (id 0) (at 365.76 114.2999 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "100nF" (id 1) (at 365.76 116.8399 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 362.9152 119.38 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 361.95 115.57 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 4a9057b2-f3bd-44bb-ba86-893c77b14d47)) + (pin "2" (uuid dd25349f-f5ce-47a3-b714-fbf32473c6ad)) + ) + + (symbol (lib_id "Device:R") (at 379.73 71.12 90) (unit 1) + (in_bom yes) (on_board yes) + (uuid 7295e019-ebb9-424a-ad6e-06fdc7f816d8) + (property "Reference" "R16" (id 0) (at 379.73 74.93 90)) + (property "Value" "10k" (id 1) (at 379.73 77.47 90)) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (id 2) (at 379.73 72.898 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 379.73 71.12 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 42549dab-3d39-4fd2-a300-f5bac3018b6b)) + (pin "2" (uuid de0b94bb-019b-4eaf-92be-a1824955fcaa)) + ) + + (symbol (lib_id "power:+3V3") (at 109.22 279.4 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 74034037-1cbf-4668-b7ea-b8ab587359f0) + (property "Reference" "#PWR05" (id 0) (at 109.22 283.21 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (id 1) (at 109.22 274.32 0)) + (property "Footprint" "" (id 2) (at 109.22 279.4 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 109.22 279.4 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 5fee4d21-9163-429b-b92c-66806531b19e)) + ) + + (symbol (lib_id "sc64v2:LCMXO2-7000Hx-xTG144x") (at 510.54 105.41 0) (unit 3) + (in_bom yes) (on_board yes) + (uuid 749a3945-389b-4081-ad7d-147241e86892) + (property "Reference" "U8" (id 0) (at 510.54 101.6 0)) + (property "Value" "LCMXO2-7000Hx-xTG144x" (id 1) (at 510.54 104.14 0)) + (property "Footprint" "Package_QFP:TQFP-144_20x20mm_P0.5mm" (id 2) (at 510.54 101.6 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 509.27 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "38" (uuid c17c1775-f429-4f1d-81da-e26d6096aa4d)) + (pin "39" (uuid 0e97e150-366b-4b16-904a-ca282dc2b21a)) + (pin "40" (uuid 8d9a174b-9ec6-4c65-a9a3-d9aea06fa6a3)) + (pin "41" (uuid b5b21369-47c2-465a-b61c-c00e3586f84f)) + (pin "42" (uuid f7a66af8-a882-4399-b05e-bfec5bf4cdd6)) + (pin "43" (uuid 7e3cb3b0-3634-4d87-8c01-fabc2b809bf4)) + (pin "44" (uuid 2e9e347b-3a58-4bc6-a17d-c08a993af17a)) + (pin "45" (uuid d6643b17-e11e-4c27-8215-9609561249e1)) + (pin "47" (uuid c02a268e-d913-419c-975b-511d0cd73d51)) + (pin "48" (uuid 9a0e8de5-c18c-460b-869c-91bdbc649e78)) + (pin "49" (uuid a4c5c09d-4271-463f-afe4-ef7c011d6545)) + (pin "50" (uuid b6644ee6-4048-4f2d-9e3e-f0eef4a94e2f)) + (pin "52" (uuid 44a75638-709b-419c-9f95-93d392366995)) + (pin "54" (uuid c9140885-361c-47f8-a8e3-b4fb88f2bd88)) + (pin "55" (uuid 0dfb0be6-88c8-4877-a49c-16a62f40a0ff)) + (pin "56" (uuid e315ae77-cb56-463b-9266-bd2bee5a1181)) + (pin "57" (uuid 46dfdb1b-2143-41d3-acd0-de8ae969de3b)) + (pin "58" (uuid 6e26182d-ae13-4a6c-9fcd-2eabe2824985)) + (pin "59" (uuid ebe24487-8b30-4bef-a7db-7f8a7d8e2bd7)) + (pin "60" (uuid 048f02c8-501b-4bf0-97df-d26aa205545a)) + (pin "61" (uuid 61cffea8-33cb-441f-9aea-36f0010c68da)) + (pin "62" (uuid b836ad3e-37e5-4dd2-bb88-840d590d5ef7)) + (pin "63" (uuid 1ac7702f-105b-4f20-b7c2-a176db50d34a)) + (pin "65" (uuid ba8959b9-9e2a-42b3-9aaf-6c1295b7caca)) + (pin "67" (uuid 43d5bc29-3948-4d2d-aa05-cafe3d246117)) + (pin "68" (uuid 18fadf89-4b95-4f51-9a60-8a21d2679018)) + (pin "69" (uuid 44aa4be2-4efa-45ca-8a2d-d71f18f8b395)) + (pin "70" (uuid 53770c6b-3d2f-4266-acf5-b541d02d0105)) + (pin "71" (uuid faab6ecc-bf33-4d17-a6a2-1efd24ea4d17)) + ) + + (symbol (lib_id "Timer_RTC:MCP7940N-xST") (at 468.63 44.45 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 766e0844-4cc7-4fee-800a-7bdf93675837) + (property "Reference" "U7" (id 0) (at 470.6494 54.61 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "MCP7940N-x/ST" (id 1) (at 470.6494 57.15 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Package_SO:TSSOP-8_4.4x3mm_P0.65mm" (id 2) (at 468.63 44.45 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "http://ww1.microchip.com/downloads/en/DeviceDoc/20005010F.pdf" (id 3) (at 468.63 44.45 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 1d0bc410-e7bd-4b9c-a1fd-cf256f17ce27)) + (pin "2" (uuid 154b680f-281b-4faf-8ba8-acad07580b47)) + (pin "3" (uuid fa9ea85b-0756-4459-a821-966e59351da9)) + (pin "4" (uuid 55e2cfc2-97a8-4fae-8421-28077155644a)) + (pin "5" (uuid ced9f349-8a4d-4158-af95-01122339d289)) + (pin "6" (uuid 702ec2c7-0b1a-45d3-bb22-4a8dcab2509f)) + (pin "7" (uuid 8afe2534-6137-4456-b2d9-7aee57a1a30b)) + (pin "8" (uuid 0ce0d69e-0001-4dde-b09c-5023c2a816e1)) + ) + + (symbol (lib_id "power:GND") (at 289.56 158.75 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 774c0ae1-062a-41a5-88b0-73b765600eb4) + (property "Reference" "#PWR034" (id 0) (at 289.56 165.1 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 289.56 163.83 0)) + (property "Footprint" "" (id 2) (at 289.56 158.75 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 289.56 158.75 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 00e37dce-69e0-46c2-b309-7b12fc65063c)) + ) + + (symbol (lib_id "Device:C") (at 336.55 125.73 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 79c68ec7-484d-45d8-b8ea-7b0a7306e7c6) + (property "Reference" "C34" (id 0) (at 340.36 124.4599 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "100nF" (id 1) (at 340.36 126.9999 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 337.5152 129.54 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 336.55 125.73 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 779e382f-d36d-406e-b56e-d23206bd0b1c)) + (pin "2" (uuid 5dd31f36-c7f3-4877-9cd5-a4174e509a79)) + ) + + (symbol (lib_id "sc64v2:LCMXO2-7000Hx-xTG144x") (at 308.61 97.79 0) (unit 7) + (in_bom yes) (on_board yes) + (uuid 7adce0b2-9540-4d77-8cf1-4df964c29563) + (property "Reference" "U8" (id 0) (at 308.61 93.98 0)) + (property "Value" "LCMXO2-7000Hx-xTG144x" (id 1) (at 308.61 96.52 0)) + (property "Footprint" "Package_QFP:TQFP-144_20x20mm_P0.5mm" (id 2) (at 308.61 93.98 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 307.34 166.37 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "101" (uuid 2666b010-652e-49ab-81c5-d2bf830f26b5)) + (pin "102" (uuid aae34dde-8ae8-424a-af98-54de85324f5c)) + (pin "108" (uuid c178f7f1-4925-4a54-a3bd-e0140569f708)) + (pin "116" (uuid 1d9dd410-ed16-42a6-8af9-d9eb0c10e8eb)) + (pin "118" (uuid e4392c0b-2440-458e-abee-33d8d1fdf0e5)) + (pin "123" (uuid cf906126-4b1f-4aed-8929-9118a382e683)) + (pin "124" (uuid 3b891ed4-4faa-4ee6-aff9-ceb17fa68753)) + (pin "129" (uuid 6c39050d-93a8-422a-b5f1-d78834ec5cd8)) + (pin "134" (uuid a60af4d2-5d91-4695-8ee9-8d0f881c08cb)) + (pin "135" (uuid 39f0f120-bf0e-4189-8aed-748ee8ea23c8)) + (pin "144" (uuid ce62eb64-1eac-449e-bf08-93978d6d2d74)) + (pin "16" (uuid fb73a17e-a1a3-4dc2-9abf-d6bee284a4d6)) + (pin "18" (uuid 3f64c7fd-f878-4ee9-9a52-cd93f587f7a3)) + (pin "29" (uuid 8a1da469-2f67-4d7c-adb9-2f5defd14349)) + (pin "30" (uuid 3427c520-9c95-4b88-8add-1b14afdb6841)) + (pin "36" (uuid c485be7e-4f3e-48f9-8f6f-7b4141b5ba3b)) + (pin "37" (uuid 70117813-d221-436c-8a3f-2d8087981f7a)) + (pin "46" (uuid 4dd1278c-3bb4-4c03-8b06-dac058c8136f)) + (pin "51" (uuid 86610fbf-d120-4efa-a859-3ec8b83e393f)) + (pin "53" (uuid 8400ed51-570a-4380-aeb9-667b382fb838)) + (pin "64" (uuid d3755158-ee87-4f86-bb23-81fcd0d549f7)) + (pin "66" (uuid 84ec5740-a60b-4496-abe3-c7afc6f4b8ff)) + (pin "7" (uuid 97faf88c-d449-4368-b1b6-9da1233f3329)) + (pin "72" (uuid dc33e51c-c4c6-4c5e-b50d-29c1bde8f9e0)) + (pin "79" (uuid f1573e99-45ae-44ad-b59a-b83aa215ce33)) + (pin "8" (uuid 1089fecb-3964-4aea-b047-f738ca1e8126)) + (pin "80" (uuid 92b423d0-bac0-464b-8337-b462121247c4)) + (pin "88" (uuid 08cb4e03-1054-45f5-a8c5-325b28531320)) + (pin "90" (uuid d9b38dbb-5d51-4bc3-8d7a-1f309cd840df)) + ) + + (symbol (lib_id "sc64v2:LCMXO2-7000Hx-xTG144x") (at 561.34 179.07 0) (unit 6) + (in_bom yes) (on_board yes) + (uuid 7b73b1ca-70af-4411-a26c-1686ab71a5d7) + (property "Reference" "U8" (id 0) (at 561.34 175.26 0)) + (property "Value" "LCMXO2-7000Hx-xTG144x" (id 1) (at 561.34 177.8 0)) + (property "Footprint" "Package_QFP:TQFP-144_20x20mm_P0.5mm" (id 2) (at 561.34 175.26 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 560.07 247.65 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid fc0c55c8-6ce7-4d8d-bc07-a9c777b9fc57)) + (pin "10" (uuid f61e68fd-2bb7-4fbc-8ba8-08a5977f3eba)) + (pin "11" (uuid 7a21823e-6e1e-4f5f-8705-1ba701f5fb6d)) + (pin "12" (uuid c092da90-b2ae-4b4b-8681-391a1bb0fa73)) + (pin "2" (uuid b4253259-e7ae-45da-8382-9c9dcc4f574b)) + (pin "3" (uuid 55b18e33-40e8-44e5-8400-d8e7d3d9b653)) + (pin "4" (uuid f127173d-0797-44ab-a695-9352ea8c6b6c)) + (pin "5" (uuid c4409593-5afe-4ce4-bfe7-02a95121e5d5)) + (pin "6" (uuid 55118e82-e7a0-4f5a-8ba2-9e61b06c845e)) + (pin "9" (uuid 13d69580-9a0f-43a8-871e-d07c275d982f)) + ) + + (symbol (lib_id "power:GND") (at 21.59 196.85 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 7cd28c5a-b83b-4570-9500-d0c58ef05970) + (property "Reference" "#PWR023" (id 0) (at 21.59 203.2 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 21.59 201.93 0)) + (property "Footprint" "" (id 2) (at 21.59 196.85 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 21.59 196.85 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 87b15a21-20a8-403b-8d93-8af3aed19dad)) + ) + + (symbol (lib_id "Device:R") (at 107.95 130.81 180) (unit 1) + (in_bom yes) (on_board yes) + (uuid 7ed06213-5fec-4fc2-a07b-97a7e844b4e4) + (property "Reference" "R5" (id 0) (at 110.49 129.5399 0) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Value" "5.1k" (id 1) (at 110.49 132.0799 0) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (id 2) (at 109.728 130.81 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 107.95 130.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 80e76389-ca1b-4fef-8d5a-21dfa16f65a7)) + (pin "2" (uuid d758e864-ec66-44eb-b6da-56028fa32b86)) + ) + + (symbol (lib_id "power:+3V3") (at 468.63 26.67 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 7ee37472-5ec0-4930-a374-5a36eaeab663) + (property "Reference" "#PWR026" (id 0) (at 468.63 30.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (id 1) (at 468.63 21.59 0)) + (property "Footprint" "" (id 2) (at 468.63 26.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 468.63 26.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid e0cc9569-bb5d-4a14-9f76-e97f0072ac15)) + ) + + (symbol (lib_id "Device:C") (at 264.16 252.73 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 807f06fd-69e9-4462-bc69-40d576fe8720) + (property "Reference" "C42" (id 0) (at 267.97 251.4599 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "100nF" (id 1) (at 267.97 253.9999 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 265.1252 256.54 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 264.16 252.73 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid f33ba777-928f-4541-9db1-0fda157d02d9)) + (pin "2" (uuid 3e21b23b-1493-4311-98fa-b9e5cb8ee4fe)) + ) + + (symbol (lib_id "Device:C") (at 189.23 288.29 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 81faf8c0-1827-4289-ab03-9e47b8583766) + (property "Reference" "C11" (id 0) (at 193.04 287.0199 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "100nF" (id 1) (at 193.04 289.5599 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 190.1952 292.1 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 189.23 288.29 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 8edf48d1-c36e-45d5-9914-79ab1ae508e8)) + (pin "2" (uuid 0a3e0ca6-6843-4a52-b368-684982f57e77)) + ) + + (symbol (lib_id "Device:R") (at 78.74 41.91 90) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 8225742a-f816-4b22-8618-1e188dae72ec) + (property "Reference" "R1" (id 0) (at 78.74 35.56 90)) + (property "Value" "10k" (id 1) (at 78.74 38.1 90)) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (id 2) (at 78.74 43.688 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 78.74 41.91 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 89178ddb-9d99-4f4b-a882-3e17adecba56)) + (pin "2" (uuid 22ea0c2e-95ba-409f-8ad4-6d250acd432d)) + ) + + (symbol (lib_id "Device:R") (at 391.16 101.6 180) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 83ac819d-dfbc-422a-a76f-f95cac775ba2) + (property "Reference" "R17" (id 0) (at 393.7 100.3299 0) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Value" "10k" (id 1) (at 393.7 102.8699 0) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (id 2) (at 392.938 101.6 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 391.16 101.6 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 7175f5b2-db2e-469b-8a0d-8d408e7bcf66)) + (pin "2" (uuid 0a9120f9-62b7-4608-ae34-ee2e4096638c)) + ) + + (symbol (lib_id "Device:C") (at 349.25 146.05 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 84b8b021-1eac-40ec-9d18-9bb490fec58a) + (property "Reference" "C38" (id 0) (at 353.06 144.7799 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "100nF" (id 1) (at 353.06 147.3199 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 350.2152 149.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 349.25 146.05 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 447652e0-3d77-4bdf-a044-458a998db51e)) + (pin "2" (uuid 4ae7b4af-c998-4290-859f-c81b523a4aed)) + ) + + (symbol (lib_id "power:GND") (at 57.15 273.05 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 857ad253-d593-4464-bc94-2d2973ed2c00) + (property "Reference" "#PWR010" (id 0) (at 57.15 279.4 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 57.15 278.13 0)) + (property "Footprint" "" (id 2) (at 57.15 273.05 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 57.15 273.05 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 560c7a08-430c-4501-b9ea-102050b8e1c9)) + ) + + (symbol (lib_id "Device:C") (at 191.77 48.26 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 8875e69d-d89e-41ba-bde2-45767e9df445) + (property "Reference" "C6" (id 0) (at 195.58 46.9899 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "100nF" (id 1) (at 195.58 49.5299 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 192.7352 52.07 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 191.77 48.26 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 49866791-3e38-43b4-9515-5e69202a3d7c)) + (pin "2" (uuid e668a814-581e-45ef-bac3-2b61591b2038)) + ) + + (symbol (lib_id "sc64v2:STM32G030Fx") (at 360.68 39.37 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 8a46818f-80b6-48c6-9d8f-04eb3e3ccdb1) + (property "Reference" "U6" (id 0) (at 360.68 34.29 0)) + (property "Value" "STM32G030F6P6" (id 1) (at 360.68 36.83 0)) + (property "Footprint" "Package_SO:TSSOP-20_4.4x6.5mm_P0.65mm" (id 2) (at 360.68 33.02 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 360.68 39.37 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 38d2314f-cdba-4a73-a6da-51673f93ffba)) + (pin "10" (uuid bf235689-126e-43b0-ba20-617e4f35d81d)) + (pin "11" (uuid 6fa5a4bd-a1ec-4706-8401-07fe8beeadeb)) + (pin "12" (uuid ab16e148-366f-4d63-b7c2-cada265ffae3)) + (pin "13" (uuid 9dc6731c-248a-4759-b563-d5630bbba258)) + (pin "14" (uuid 73baf92f-8ca8-46bb-a4b8-bd6617fa7eee)) + (pin "15" (uuid 461c98cc-c655-4074-a4a6-7552b448ecdf)) + (pin "16" (uuid dc840bb7-4edd-473f-bda6-bed36e44f49e)) + (pin "17" (uuid 3e198ec8-d2e8-4ae0-aa92-a95aa003adc9)) + (pin "18" (uuid d5a6f72f-ebf4-4ecf-92e9-9707f0aec6b5)) + (pin "19" (uuid 1ef87488-8fd4-4f43-b25c-f048fcf053e7)) + (pin "2" (uuid 2440483d-899c-49a1-8cb8-9d610cc254f8)) + (pin "20" (uuid f29dc1ef-c42d-4843-a97c-6ff49382c948)) + (pin "3" (uuid f4480325-6393-4800-8079-de67c4a847db)) + (pin "4" (uuid da28dc44-d065-4c8f-b96d-866a720de0f7)) + (pin "5" (uuid 31a7150c-56bd-4513-9562-3dbe88af23f1)) + (pin "6" (uuid 71df99f4-64d1-402d-9c2a-ce04a930417d)) + (pin "7" (uuid 79ab062a-0ed4-4eed-9f7b-c74a43647482)) + (pin "8" (uuid 752eec4e-ec2c-4970-a1fa-e7a341331689)) + (pin "9" (uuid 5e2fa0f4-fd65-4e49-9644-25ef0629ab5b)) + ) + + (symbol (lib_id "Device:C") (at 361.95 125.73 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 8b893dd0-e97f-4683-8d2e-b0e1c10c6051) + (property "Reference" "C36" (id 0) (at 365.76 124.4599 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "100nF" (id 1) (at 365.76 126.9999 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 362.9152 129.54 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 361.95 125.73 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 3b2f034c-1a00-4f03-b0bc-3e58aa36a7ce)) + (pin "2" (uuid 12fd6bc1-6007-4e78-846a-c7d31b59534d)) + ) + + (symbol (lib_id "sc64v2:LCMXO2-7000Hx-xTG144x") (at 459.74 105.41 0) (unit 2) + (in_bom yes) (on_board yes) + (uuid 93ddce85-c1a8-42b3-a16c-75b607cf3749) + (property "Reference" "U8" (id 0) (at 459.74 101.6 0)) + (property "Value" "LCMXO2-7000Hx-xTG144x" (id 1) (at 459.74 104.14 0)) + (property "Footprint" "Package_QFP:TQFP-144_20x20mm_P0.5mm" (id 2) (at 459.74 101.6 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 458.47 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "100" (uuid f200ece6-1391-4456-b8b4-c7baa982e3fe)) + (pin "103" (uuid 3d748e73-7b2d-4b0c-bc61-50127625d2ae)) + (pin "104" (uuid 61d21745-1426-463c-9df4-16ffbe16133d)) + (pin "105" (uuid 7f4324e0-cf6b-4ee8-82aa-e3271f1c4ca0)) + (pin "106" (uuid e1086ac2-6c23-41e6-9e61-31d537778988)) + (pin "107" (uuid 097eb178-e29e-4759-9a1b-8905942ebe38)) + (pin "73" (uuid 40d29be7-ffab-445e-a554-d86df039b2f1)) + (pin "74" (uuid cb8b37d4-5d82-4f05-9bea-70c287f12695)) + (pin "75" (uuid 6b72f293-8061-42f3-8519-369e151c23bb)) + (pin "76" (uuid 7e5ff8ee-de1d-4e8a-a7fc-8b3f821154eb)) + (pin "77" (uuid 044c83c4-0c67-4e67-a949-01ca1426f1a2)) + (pin "78" (uuid 1ea9e391-2120-4fb7-b5e4-91d83beada20)) + (pin "81" (uuid 3d66127a-ee0a-4d4c-a083-843baab38e37)) + (pin "82" (uuid 85911581-131a-4f5c-90d8-d9d0883e9dbf)) + (pin "83" (uuid fd06c921-4a30-42a0-8786-a8230288b0c8)) + (pin "84" (uuid acf3124c-dd81-4d56-a026-80a9637f580f)) + (pin "85" (uuid 06b06a06-d034-47e5-bf7e-48d51c4e262a)) + (pin "86" (uuid e4f7fbae-c4ff-42f6-8997-18c132fc05a0)) + (pin "87" (uuid 1186481c-877d-4b80-91f6-eb3cc21cccf9)) + (pin "89" (uuid 83135ce5-7216-4523-9ef2-56a94798c873)) + (pin "91" (uuid 68a305a8-a7e3-441d-abce-af2407c94e8d)) + (pin "92" (uuid a8ff4733-863c-45e1-b928-a8974a748681)) + (pin "93" (uuid 930f113c-37db-4bbf-bd73-589090affed8)) + (pin "94" (uuid 74f51382-3501-4606-bb6b-c88190bdc316)) + (pin "95" (uuid 9507338e-bdcd-40b1-9827-32a1eb238f1f)) + (pin "96" (uuid 0a2fbd4b-bd78-4a40-a408-4130e16b7e47)) + (pin "97" (uuid f604e180-2cd6-4148-9bef-1b3386de90f7)) + (pin "98" (uuid 6ab699e1-a17c-4900-9d07-e27029e4aabf)) + (pin "99" (uuid 1cbb8e74-4025-40c0-a3ae-ad53e794bc62)) + ) + + (symbol (lib_id "Device:C") (at 148.59 124.46 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 94dcb139-2876-4a88-9afc-5a91f644dff1) + (property "Reference" "C48" (id 0) (at 152.4 123.1899 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "47uF" (id 1) (at 152.4 125.7299 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Capacitor_SMD:C_0805_2012Metric" (id 2) (at 149.5552 128.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 148.59 124.46 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 2c8fe060-e5fc-4b0b-b25c-7324221653ef)) + (pin "2" (uuid 58d9cee0-0986-462d-b90e-4287cc1abb38)) + ) + + (symbol (lib_id "sc64v2:Tactile_Switch") (at 539.75 39.37 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 95782e76-cb4b-4009-9945-08a041720df8) + (property "Reference" "SW1" (id 0) (at 539.75 31.75 0)) + (property "Value" "TS07-667-37-BK-160-SMT" (id 1) (at 539.75 34.29 0)) + (property "Footprint" "Button_Switch_SMD:SW_SPST_Omron_B3FS-101xP" (id 2) (at 539.75 34.29 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 539.75 34.29 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 40118294-9654-4f84-8f85-ef40caa6bfa2)) + (pin "2" (uuid a2da7b63-4221-48d8-b2e8-eb3b14a1dc64)) + (pin "3" (uuid 31c7e0cd-06a6-47fe-9560-932b5a81b2d2)) + (pin "4" (uuid 835abf66-10f8-40f6-a5b6-d76c2be1d1b5)) + ) + + (symbol (lib_id "Device:R") (at 116.84 130.81 180) (unit 1) + (in_bom yes) (on_board yes) + (uuid 9676acb4-ecdb-4bb9-8697-bbf28b479ff0) + (property "Reference" "R4" (id 0) (at 119.38 129.5399 0) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Value" "5.1k" (id 1) (at 119.38 132.0799 0) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (id 2) (at 118.618 130.81 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 116.84 130.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 2deb37b2-6719-46ba-b05d-9c88fcffb3dd)) + (pin "2" (uuid 006369e9-71c4-41c8-b00a-16990e99acfe)) + ) + + (symbol (lib_id "power:+3V3") (at 256.54 26.67 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 9683b3a6-2a8e-4e75-be41-f2397c3049c6) + (property "Reference" "#PWR019" (id 0) (at 256.54 30.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (id 1) (at 256.54 21.59 0)) + (property "Footprint" "" (id 2) (at 256.54 26.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 256.54 26.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 97de9d96-9660-4a2b-8b73-42d358fd5264)) + ) + + (symbol (lib_id "Device:C") (at 504.19 36.83 90) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 994dc62b-2a7b-4bff-8f5a-68d36773f4c8) + (property "Reference" "C21" (id 0) (at 504.19 29.21 90)) + (property "Value" "12pF" (id 1) (at 504.19 31.75 90)) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 508 35.8648 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 504.19 36.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid d64ac2f2-5ae4-4c77-9c4f-27bbd8b15391)) + (pin "2" (uuid 2b4b3b13-c1be-4546-b2bd-ff3c53e01f60)) + ) + + (symbol (lib_id "Device:C") (at 300.99 48.26 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 9a8905a3-5ca0-46a1-bee9-ca122e954a22) + (property "Reference" "C19" (id 0) (at 304.8 46.9899 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "100nF" (id 1) (at 304.8 49.5299 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 301.9552 52.07 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 300.99 48.26 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 0bb81a15-7972-4312-8d35-8adf42610131)) + (pin "2" (uuid 978d6a17-5663-4ec0-8cb1-d4a85cef070b)) + ) + + (symbol (lib_id "Device:C") (at 22.86 260.35 180) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 9b5d4a16-c0ac-4bd5-96ac-9f9edadfcd60) + (property "Reference" "C16" (id 0) (at 26.67 259.0799 0) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Value" "100nF" (id 1) (at 26.67 261.6199 0) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 21.8948 256.54 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 22.86 260.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid a723eed3-4221-46ad-94ba-672d3f2a0d60)) + (pin "2" (uuid cd84e6b3-3cdf-4d64-bf65-b5ede78317e1)) + ) + + (symbol (lib_id "Device:R") (at 78.74 251.46 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 9f9dfac6-0c0d-4ee0-924b-e95250d2b98f) + (property "Reference" "R11" (id 0) (at 81.28 250.1899 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "10k" (id 1) (at 81.28 252.7299 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (id 2) (at 76.962 251.46 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 78.74 251.46 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 42fe08e5-ecd3-4ab4-92b1-ff7ea902feae)) + (pin "2" (uuid d3a647aa-cdde-42f2-8464-cfb2eab9f099)) + ) + + (symbol (lib_id "Connector:TestPoint") (at 198.12 184.15 90) (unit 1) + (in_bom no) (on_board yes) + (uuid a0e5adb5-40f9-4fc8-a069-cb599973b556) + (property "Reference" "3V1" (id 0) (at 190.5 184.15 90)) + (property "Value" "TestPoint" (id 1) (at 181.61 184.15 90)) + (property "Footprint" "TestPoint:TestPoint_Pad_D1.5mm" (id 2) (at 198.12 179.07 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 198.12 179.07 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 8b8c2431-f3b7-4547-810a-7280fd572125)) + ) + + (symbol (lib_id "Device:C") (at 251.46 252.73 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid a61e6e2e-0cef-4616-939d-fadea790ce4f) + (property "Reference" "C41" (id 0) (at 255.27 251.4599 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "100nF" (id 1) (at 255.27 253.9999 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 252.4252 256.54 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 251.46 252.73 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid d22c883b-1f16-43b2-8570-88faf8941d4a)) + (pin "2" (uuid 49b4517e-2474-4623-bdfa-04edc52180b8)) + ) + + (symbol (lib_id "Memory_EEPROM:93AAxxBT-xOT") (at 57.15 260.35 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid a669f65a-ba28-488f-8876-920a059fc027) + (property "Reference" "U4" (id 0) (at 59.1694 250.19 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "93AA56Bx-x/OT" (id 1) (at 59.1694 252.73 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Package_TO_SOT_SMD:SOT-23-6" (id 2) (at 58.42 251.46 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "http://ww1.microchip.com/downloads/en/DeviceDoc/20001749K.pdf" (id 3) (at 57.15 260.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 4ac6bd4a-9afc-454e-b6f3-11ca777fc4ec)) + (pin "2" (uuid b463f65c-8921-4901-abb1-f4c70bc7efe8)) + (pin "3" (uuid ac18166f-bb66-4db7-b356-9d98d472a57c)) + (pin "4" (uuid 8770d09e-4634-4b01-adda-c140ff050901)) + (pin "5" (uuid ea05f697-d9aa-459a-811b-0d351998e19b)) + (pin "6" (uuid ef40b17d-8216-40b4-93e6-cf596c838ff2)) + ) + + (symbol (lib_id "Oscillator:XO32") (at 57.15 303.53 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid a73f4f5a-6e4d-472e-9b51-954e3d116bd7) + (property "Reference" "X1" (id 0) (at 64.77 298.45 0)) + (property "Value" "ECS-3225MV-120-CN" (id 1) (at 74.93 308.61 0)) + (property "Footprint" "Oscillator:Oscillator_SMD_SeikoEpson_SG8002CE-4Pin_3.2x2.5mm" (id 2) (at 74.93 312.42 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "http://cdn-reichelt.de/documents/datenblatt/B400/XO32.pdf" (id 3) (at 54.61 303.53 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid f6d5aa71-5a46-45d1-a9bd-8e73bf6ab14f)) + (pin "2" (uuid 93b8deca-977a-4094-abfb-3154bf23a961)) + (pin "3" (uuid dbf9e78b-3ef7-49e4-a371-358a9d08b9ce)) + (pin "4" (uuid 0a2cbdd4-037d-4960-83ee-33b78e8b39ba)) + ) + + (symbol (lib_id "power:+5V") (at 194.31 364.49 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid a771b5d7-f1c3-419b-ac2e-e146642a46ee) + (property "Reference" "#PWR09" (id 0) (at 194.31 368.3 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+5V" (id 1) (at 194.31 359.41 0)) + (property "Footprint" "" (id 2) (at 194.31 364.49 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 194.31 364.49 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 19455271-6b57-42c0-850d-0567d5319984)) + ) + + (symbol (lib_id "power:+3V3") (at 200.66 181.61 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid a824162b-b4dd-4d47-803e-8843b8f30173) + (property "Reference" "#PWR022" (id 0) (at 200.66 185.42 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (id 1) (at 200.66 176.53 0)) + (property "Footprint" "" (id 2) (at 200.66 181.61 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 200.66 181.61 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 141de58a-9884-44fc-a8ae-7911995b048a)) + ) + + (symbol (lib_id "power:GND") (at 238.76 294.64 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid a86370aa-3797-4213-9ea9-4badce967c0f) + (property "Reference" "#PWR040" (id 0) (at 238.76 300.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 238.76 299.72 0)) + (property "Footprint" "" (id 2) (at 238.76 294.64 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 238.76 294.64 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 56672355-c54e-4810-8aa9-49427e181f97)) + ) + + (symbol (lib_id "Device:R") (at 388.62 35.56 180) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid aab80820-43a0-4879-aa62-70e3b13add9d) + (property "Reference" "R15" (id 0) (at 391.16 34.2899 0) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Value" "2.2k" (id 1) (at 391.16 36.8299 0) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (id 2) (at 390.398 35.56 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 388.62 35.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 32984ba5-0e7d-496e-a1f1-f793d726ea15)) + (pin "2" (uuid 00f8908a-b852-42a7-84db-d2ea867ff377)) + ) + + (symbol (lib_id "Device:C") (at 264.16 105.41 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid acd48532-9df4-4213-8073-4ea5ab05ba2a) + (property "Reference" "C26" (id 0) (at 267.97 104.1399 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "100nF" (id 1) (at 267.97 106.6799 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 265.1252 109.22 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 264.16 105.41 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 50ff7639-a772-48b2-afa2-4d272fd38be7)) + (pin "2" (uuid 0caac02f-ee8d-4c45-a8a0-90757a5bcd75)) + ) + + (symbol (lib_id "power:+3V3") (at 391.16 95.25 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid adf9f3f1-7538-479d-a50d-194f1e3cefaa) + (property "Reference" "#PWR033" (id 0) (at 391.16 99.06 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (id 1) (at 391.16 90.17 0)) + (property "Footprint" "" (id 2) (at 391.16 95.25 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 391.16 95.25 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid acfa46d2-2453-43f4-bb75-d66c402656e9)) + ) + + (symbol (lib_id "power:GND") (at 256.54 57.15 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid ae9dbf5d-0b80-4163-a4fe-13d2465aa517) + (property "Reference" "#PWR020" (id 0) (at 256.54 63.5 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 256.54 62.23 0)) + (property "Footprint" "" (id 2) (at 256.54 57.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 256.54 57.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 75f19191-0d9a-4878-b1f6-8e5fad6b35b7)) + ) + + (symbol (lib_id "Device:C") (at 276.86 285.75 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid aeb0d0cf-6d04-4e89-836d-342f2e598d6b) + (property "Reference" "C46" (id 0) (at 280.67 284.4799 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "100nF" (id 1) (at 280.67 287.0199 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 277.8252 289.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 276.86 285.75 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid a3e3f524-65c0-44ed-92ee-a49af0cdfd6b)) + (pin "2" (uuid 625333e5-31a2-41d9-91f9-f528dce41de0)) + ) + + (symbol (lib_id "Device:C") (at 175.26 288.29 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid b1eae589-88fc-49de-92a5-165aa1590642) + (property "Reference" "C10" (id 0) (at 179.07 287.0199 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "100nF" (id 1) (at 179.07 289.5599 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 176.2252 292.1 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 175.26 288.29 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 081249a9-c788-4832-a5e6-037fa70ba966)) + (pin "2" (uuid 4423323f-a01c-4fb2-97bc-38280c6af1e7)) + ) + + (symbol (lib_id "Device:C") (at 238.76 252.73 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid bc7d6f8c-6dfc-49b5-ab62-ccadd92d55cc) + (property "Reference" "C40" (id 0) (at 242.57 251.4599 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "100nF" (id 1) (at 242.57 253.9999 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 239.7252 256.54 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 238.76 252.73 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid d458013c-0e33-4cb6-b8ec-e7142ef62ec7)) + (pin "2" (uuid 436e645c-b8ce-405f-afdc-33c4ed5d00d2)) + ) + + (symbol (lib_id "power:GND") (at 78.74 149.86 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid be2d3c52-7f36-4a74-ba8c-05b8700e2bea) + (property "Reference" "#PWR08" (id 0) (at 78.74 156.21 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 78.74 154.94 0)) + (property "Footprint" "" (id 2) (at 78.74 149.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 78.74 149.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 5f9b7781-a3c8-47c3-ba35-a2d2d07d03e3)) + ) + + (symbol (lib_id "power:GND") (at 332.74 73.66 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid c089890d-d148-4ccc-be22-b628d8e27aaf) + (property "Reference" "#PWR025" (id 0) (at 332.74 80.01 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 332.74 78.74 0)) + (property "Footprint" "" (id 2) (at 332.74 73.66 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 332.74 73.66 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 42cb6e31-a2b3-4090-ab0d-0fd92cada699)) + ) + + (symbol (lib_id "power:+3V3") (at 175.26 26.67 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid c109cdee-19da-4a91-98c1-1d51fc988a11) + (property "Reference" "#PWR02" (id 0) (at 175.26 30.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (id 1) (at 175.26 21.59 0)) + (property "Footprint" "" (id 2) (at 175.26 26.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 175.26 26.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid d8a95d2f-7e06-46ad-829e-26f5e5851fc5)) + ) + + (symbol (lib_id "Device:Crystal") (at 487.68 44.45 270) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid c229caf9-bd8e-4df4-8266-2d39e81a3f5d) + (property "Reference" "Y1" (id 0) (at 491.49 43.1799 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "FC-135" (id 1) (at 491.49 45.7199 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Crystal:Crystal_SMD_3215-2Pin_3.2x1.5mm" (id 2) (at 487.68 44.45 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 487.68 44.45 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 85aee7ae-5ef9-4859-b75f-92a9170cc7d2)) + (pin "2" (uuid e7a3216a-5b9f-4f38-b29f-5458ec3aacd4)) + ) + + (symbol (lib_id "Device:C") (at 276.86 105.41 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid c3135751-427f-42d2-9a94-3179d7de2153) + (property "Reference" "C27" (id 0) (at 280.67 104.1399 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "100nF" (id 1) (at 280.67 106.6799 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 277.8252 109.22 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 276.86 105.41 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 56459342-74c4-47d6-bd59-3cdaa695012b)) + (pin "2" (uuid c3cb6156-fc3b-42dd-bffa-a3bf0184c9ad)) + ) + + (symbol (lib_id "power:+3V3") (at 175.26 104.14 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid c6c634ef-4b33-4bf2-aacc-34edbc5160d9) + (property "Reference" "#PWR042" (id 0) (at 175.26 107.95 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (id 1) (at 175.26 99.06 0)) + (property "Footprint" "" (id 2) (at 175.26 104.14 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 175.26 104.14 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 35a57292-b504-4d14-aa47-c3d6abe6bf3c)) + ) + + (symbol (lib_id "power:GND") (at 336.55 158.75 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid c8077704-203f-45b6-95a4-afa8268ff12a) + (property "Reference" "#PWR035" (id 0) (at 336.55 165.1 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 336.55 163.83 0)) + (property "Footprint" "" (id 2) (at 336.55 158.75 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 336.55 158.75 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid e7dfa7b5-e5f3-4c35-b093-b064f5e15b70)) + ) + + (symbol (lib_id "Device:R") (at 194.31 384.81 180) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid d1984805-4314-4f1c-9ab1-479802945b94) + (property "Reference" "R8" (id 0) (at 196.85 383.5399 0) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Value" "12k" (id 1) (at 196.85 386.0799 0) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (id 2) (at 196.088 384.81 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 194.31 384.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid fb2f349c-db94-4f70-8f63-2575709b4f31)) + (pin "2" (uuid bfa5af7e-7d4e-4646-adf1-a3cfc766b689)) + ) + + (symbol (lib_id "power:GND") (at 215.9 308.61 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid d1e1dc07-2364-4207-a1f0-283125671838) + (property "Reference" "#PWR0101" (id 0) (at 215.9 314.96 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 215.9 313.69 0)) + (property "Footprint" "" (id 2) (at 215.9 308.61 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 215.9 308.61 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 0dbb8752-32c8-400f-8916-238b8dd8b4af)) + ) + + (symbol (lib_id "Device:C") (at 416.56 44.45 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid d21e5415-679a-40f4-b9d3-0f558c2e3abf) + (property "Reference" "C20" (id 0) (at 420.37 43.1799 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "100nF" (id 1) (at 420.37 45.7199 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 417.5252 48.26 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 416.56 44.45 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid af309fdb-fb9d-44c7-9273-90630d17ea99)) + (pin "2" (uuid 10b56225-260d-424f-9585-22c9b9fc1ede)) + ) + + (symbol (lib_id "sc64v2:LCMXO2-7000Hx-xTG144x") (at 561.34 105.41 0) (unit 4) + (in_bom yes) (on_board yes) + (uuid d36b5d51-b628-4248-a4a1-d225a2713196) + (property "Reference" "U8" (id 0) (at 561.34 101.6 0)) + (property "Value" "LCMXO2-7000Hx-xTG144x" (id 1) (at 561.34 104.14 0)) + (property "Footprint" "Package_QFP:TQFP-144_20x20mm_P0.5mm" (id 2) (at 561.34 101.6 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 560.07 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "25" (uuid 3fb18ecc-3f3a-41a2-adba-ddc871226166)) + (pin "26" (uuid 9bc4f13a-3bcb-4091-9fa3-f898d0df4711)) + (pin "27" (uuid 0f46136a-95b8-4f04-94e8-834b07ae00bd)) + (pin "28" (uuid dc9c1263-ab13-4dd8-825a-6eb18ea2ed7f)) + (pin "31" (uuid 94fd2423-228f-46cc-be41-97962b123810)) + (pin "32" (uuid c9467401-4446-4fc3-b181-65488aba8557)) + (pin "33" (uuid 07f2b291-8813-491c-b1f8-7ed2cc19157a)) + (pin "34" (uuid 45543745-f29e-4554-bd7a-73d39834b1cb)) + (pin "35" (uuid cfb7c846-8348-4c83-b118-33309857562a)) + ) + + (symbol (lib_id "power:+3V3") (at 327.66 95.25 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid d3a144ca-c295-43c6-a8c7-703b2644694a) + (property "Reference" "#PWR031" (id 0) (at 327.66 99.06 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (id 1) (at 327.66 90.17 0)) + (property "Footprint" "" (id 2) (at 327.66 95.25 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 327.66 95.25 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 8d92c463-a3a2-4d7d-a230-7150605b07aa)) + ) + + (symbol (lib_id "Connector:TestPoint") (at 198.12 189.23 90) (unit 1) + (in_bom no) (on_board yes) + (uuid dc408f8d-04af-4d73-a1d2-90b29f263566) + (property "Reference" "TP2" (id 0) (at 190.5 189.23 90)) + (property "Value" "TestPoint" (id 1) (at 181.61 189.23 90)) + (property "Footprint" "TestPoint:TestPoint_Pad_D1.5mm" (id 2) (at 198.12 184.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 198.12 184.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 485ce1b9-eb7a-41c8-b64d-c31bc0ccb227)) + ) + + (symbol (lib_id "power:GND") (at 97.79 196.85 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid dc7974c1-e6b4-423c-8de3-91dd708511bc) + (property "Reference" "#PWR015" (id 0) (at 97.79 203.2 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 97.79 201.93 0)) + (property "Footprint" "" (id 2) (at 97.79 196.85 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 97.79 196.85 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid bbe16dae-a35e-4f8f-8df8-1af86ba3101e)) + ) + + (symbol (lib_id "Connector:USB_C_Receptacle_USB2.0") (at 78.74 121.92 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid defdcc3f-5dd1-462d-9a3c-629711ed4f38) + (property "Reference" "J1" (id 0) (at 78.74 99.06 0)) + (property "Value" "DX07S016JA3R1500" (id 1) (at 78.74 101.6 0)) + (property "Footprint" "Connector_USB:USB_C_Receptacle_JAE_DX07S016JA1R1500" (id 2) (at 82.55 121.92 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://www.usb.org/sites/default/files/documents/usb_type-c.zip" (id 3) (at 82.55 121.92 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "A1" (uuid a468c054-ab2a-4f77-855a-061c18f5bfe7)) + (pin "A12" (uuid 60822eef-b2dd-44ed-9ea8-fe3e13e51ff8)) + (pin "A4" (uuid 58ce638f-032b-4fb2-8872-043adedc4526)) + (pin "A5" (uuid c3a8d7c2-f273-4384-bce4-28ea730a08e8)) + (pin "A6" (uuid ba6ad123-c897-4e4a-a259-2e8891b52ca0)) + (pin "A7" (uuid 2e2e25eb-1f52-4568-a104-8d9d360bf22b)) + (pin "A8" (uuid 84133c6d-3c2e-48ac-85b7-429da2e9950a)) + (pin "A9" (uuid 8923fbb0-3db4-4688-918f-8e79a51e0d71)) + (pin "B1" (uuid 7df6fdfa-b2b9-456c-9463-7925942a69e5)) + (pin "B12" (uuid 60991980-2d22-436f-a8d6-9d172ab28e9a)) + (pin "B4" (uuid 0091152b-c2f8-415b-b749-238cc80a86d7)) + (pin "B5" (uuid befacab1-3ce9-4336-9354-65f45dcd62dd)) + (pin "B6" (uuid b487884f-d819-43b3-a930-6753691d8f8b)) + (pin "B7" (uuid 8236e5a1-51bf-4faa-8407-8ce7dcfd4acb)) + (pin "B8" (uuid fa0b1147-c05e-46b1-bc1e-8812e3686308)) + (pin "B9" (uuid 21dad6c1-73b2-4ac6-80a4-97474bbf5752)) + (pin "S1" (uuid e71af0a2-dfd9-4840-b219-906b44305ad2)) + ) + + (symbol (lib_id "Device:C") (at 336.55 115.57 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid e1080d6b-d7da-48f7-b6bc-20790315d00d) + (property "Reference" "C31" (id 0) (at 340.36 114.2999 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "100nF" (id 1) (at 340.36 116.8399 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 337.5152 119.38 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 336.55 115.57 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid bae0211a-ef16-420e-81d2-2e64eae0e0dc)) + (pin "2" (uuid 20413beb-f8ca-424d-a588-c083a4b9644d)) + ) + + (symbol (lib_id "Device:C") (at 251.46 105.41 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid e1f00983-a235-4d69-9d9e-906d079c4b90) + (property "Reference" "C25" (id 0) (at 255.27 104.1399 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "100nF" (id 1) (at 255.27 106.6799 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 252.4252 109.22 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 251.46 105.41 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid d1d63a2d-92c6-4332-9bdd-3d6cc44c97b9)) + (pin "2" (uuid 5f53482b-bf6d-4baf-9e55-4c293b9e534f)) + ) + + (symbol (lib_id "Connector:TestPoint") (at 198.12 191.77 90) (unit 1) + (in_bom no) (on_board yes) + (uuid e3a53687-1d2c-4f55-a6dc-3cb068c0e853) + (property "Reference" "TP3" (id 0) (at 190.5 191.77 90)) + (property "Value" "TestPoint" (id 1) (at 181.61 191.77 90)) + (property "Footprint" "TestPoint:TestPoint_Pad_D1.5mm" (id 2) (at 198.12 186.69 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 198.12 186.69 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 1370dd99-5ea4-4193-aeab-4abe4ea7d1f9)) + ) + + (symbol (lib_id "Device:C") (at 349.25 115.57 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid e505a7b3-3ba0-4037-b4e7-40457055df66) + (property "Reference" "C32" (id 0) (at 353.06 114.2999 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "100nF" (id 1) (at 353.06 116.8399 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 350.2152 119.38 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 349.25 115.57 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid f1f1efc2-898d-4213-960a-c9ef33421b9d)) + (pin "2" (uuid 30610ec5-a677-4e1f-bee9-5e9161941912)) + ) + + (symbol (lib_id "Connector:TestPoint") (at 198.12 194.31 90) (unit 1) + (in_bom no) (on_board yes) + (uuid e5375fae-8d12-42aa-b7f4-67a68780c27e) + (property "Reference" "GND1" (id 0) (at 190.5 194.31 90)) + (property "Value" "TestPoint" (id 1) (at 181.61 194.31 90)) + (property "Footprint" "TestPoint:TestPoint_Pad_D1.5mm" (id 2) (at 198.12 189.23 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 198.12 189.23 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 5b83a401-2009-495a-8ea7-d0e11708202c)) + ) + + (symbol (lib_id "power:GND") (at 238.76 261.62 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid ead7dcbd-53dc-4f4f-a8cf-1850e68132be) + (property "Reference" "#PWR039" (id 0) (at 238.76 267.97 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 238.76 266.7 0)) + (property "Footprint" "" (id 2) (at 238.76 261.62 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 238.76 261.62 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 19303d3e-1ad4-44cc-846d-a298cb2b7e06)) + ) + + (symbol (lib_id "power:GND") (at 175.26 143.51 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid ec505995-ff64-4251-b3f6-ca443e0ad59c) + (property "Reference" "#PWR043" (id 0) (at 175.26 149.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 175.26 148.59 0)) + (property "Footprint" "" (id 2) (at 175.26 143.51 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 175.26 143.51 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid d43e3bf9-eccf-4747-b2e7-65e2b8be9d4d)) + ) + + (symbol (lib_id "power:GND") (at 200.66 196.85 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid edeed9d2-2863-47cf-a317-2f2c1d53c297) + (property "Reference" "#PWR024" (id 0) (at 200.66 203.2 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 200.66 201.93 0)) + (property "Footprint" "" (id 2) (at 200.66 196.85 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 200.66 196.85 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 42686e23-c675-42c8-9113-b1a94c5ffdd7)) + ) + + (symbol (lib_id "power:+3V3") (at 57.15 290.83 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid ee558bb1-143d-4f3f-b171-fbc651240e69) + (property "Reference" "#PWR012" (id 0) (at 57.15 294.64 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (id 1) (at 57.15 285.75 0)) + (property "Footprint" "" (id 2) (at 57.15 290.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 57.15 290.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 039eb883-8d92-4a36-bade-662fbb361c87)) + ) + + (symbol (lib_id "Device:R") (at 97.79 50.8 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid f29baa2d-b8f7-45f8-96ad-5b304188d80b) + (property "Reference" "R3" (id 0) (at 100.33 49.5299 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "470R" (id 1) (at 100.33 52.0699 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (id 2) (at 96.012 50.8 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 97.79 50.8 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 0d2f1955-85e8-44fa-b9da-e6f7dc63a44c)) + (pin "2" (uuid 4d730846-1aa3-4922-bddd-bf53cbabe921)) + ) + + (symbol (lib_id "Device:R") (at 85.09 262.89 90) (unit 1) + (in_bom yes) (on_board yes) + (uuid f47d1b56-1473-4960-b027-88c1d1af2f5a) + (property "Reference" "R10" (id 0) (at 85.09 266.7 90)) + (property "Value" "2.2k" (id 1) (at 85.09 269.24 90)) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (id 2) (at 85.09 264.668 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 85.09 262.89 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid fa0cbd7f-4907-4874-bd52-8241b5f4851d)) + (pin "2" (uuid 3b9724c2-9538-4d3f-b4d1-47bd98cd797e)) + ) + + (symbol (lib_id "sc64v2:LCMXO2-7000Hx-xTG144x") (at 561.34 140.97 0) (unit 5) + (in_bom yes) (on_board yes) + (uuid f5284160-b8bd-4591-b634-bcdefecda6c2) + (property "Reference" "U8" (id 0) (at 561.34 137.16 0)) + (property "Value" "LCMXO2-7000Hx-xTG144x" (id 1) (at 561.34 139.7 0)) + (property "Footprint" "Package_QFP:TQFP-144_20x20mm_P0.5mm" (id 2) (at 561.34 137.16 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 560.07 209.55 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "13" (uuid 5129f4c8-e8af-4677-83d8-aa47398f3e0b)) + (pin "14" (uuid 4a18628a-e67b-44c3-8c6c-bdf181839e7f)) + (pin "15" (uuid 2cc2bcb6-10ea-4dcf-b356-ce2c4e73f4cf)) + (pin "17" (uuid 34f465a8-8770-4870-8719-ef29e25ce277)) + (pin "19" (uuid 22af3296-a8c0-44f0-a6da-1f2eb799fdbd)) + (pin "20" (uuid ed68e536-40f2-4d13-8061-3def96fcdef7)) + (pin "21" (uuid 68ac04a6-9a75-408e-9fd0-380c524f27a2)) + (pin "22" (uuid 87c020b6-2a55-4a5b-85b6-eabdc801a313)) + (pin "23" (uuid 122e301e-99d5-44c6-8527-e16c563c8a6c)) + (pin "24" (uuid b89d1e79-58c8-466c-bfdc-f293d0007f73)) + ) + + (symbol (lib_id "Device:R") (at 557.53 33.02 180) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid f9c93d04-ae88-4458-aada-7c0f1c1f482b) + (property "Reference" "R12" (id 0) (at 560.07 31.7499 0) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Value" "3.3k" (id 1) (at 560.07 34.2899 0) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (id 2) (at 559.308 33.02 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 557.53 33.02 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 7ef7c752-4a59-451b-ab3f-f0d2dfb46165)) + (pin "2" (uuid 412c3c31-afc9-4bfb-b84f-38a0e218d4aa)) + ) + + (symbol (lib_id "Device:C") (at 238.76 105.41 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid fd1e1286-95a1-43a4-bd11-65aa433249c2) + (property "Reference" "C24" (id 0) (at 242.57 104.1399 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "100nF" (id 1) (at 242.57 106.6799 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 239.7252 109.22 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 238.76 105.41 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 087c7d56-8bae-4105-811d-f435a8be8e48)) + (pin "2" (uuid d3a3cdb5-bd56-4e37-9d46-2d6e59e029a3)) + ) + + (symbol (lib_id "Oscillator:XO32") (at 458.47 208.28 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid fd95e6e1-2b37-4604-8f85-981efac5e15c) + (property "Reference" "X2" (id 0) (at 466.09 203.2 0)) + (property "Value" "ECS-3225MV-500-BN" (id 1) (at 476.25 213.36 0)) + (property "Footprint" "Oscillator:Oscillator_SMD_SeikoEpson_SG8002CE-4Pin_3.2x2.5mm" (id 2) (at 476.25 217.17 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "http://cdn-reichelt.de/documents/datenblatt/B400/XO32.pdf" (id 3) (at 455.93 208.28 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 19faaf36-574f-4c4e-9fc9-f1aa28b3fa5a)) + (pin "2" (uuid e32c6d5d-91f6-4f79-b290-8a4ab51f1803)) + (pin "3" (uuid 915df0da-3713-4a8b-a049-8d7b0106dd46)) + (pin "4" (uuid 2489abd9-b04d-4a73-8535-a49f1c4f3600)) + ) + + (symbol (lib_id "Connector:TestPoint") (at 198.12 186.69 90) (unit 1) + (in_bom no) (on_board yes) + (uuid fd9f5719-35a0-432f-9a74-8007f2818ce9) + (property "Reference" "TP1" (id 0) (at 190.5 186.69 90)) + (property "Value" "TestPoint" (id 1) (at 181.61 186.69 90)) + (property "Footprint" "TestPoint:TestPoint_Pad_D1.5mm" (id 2) (at 198.12 181.61 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 198.12 181.61 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid d4ede2f0-9d6d-4e48-8597-d648786b8e45)) + ) + + (symbol (lib_id "Device:C") (at 83.82 336.55 180) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid fe7d11ce-921f-4c35-a390-452b3002c6eb) + (property "Reference" "C14" (id 0) (at 87.63 335.2799 0) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Value" "100nF" (id 1) (at 87.63 337.8199 0) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 82.8548 332.74 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 83.82 336.55 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 7cd55f5b-19ec-4576-9061-4683ee95a55b)) + (pin "2" (uuid 7a28bed1-d614-43da-8207-5c5df8079d83)) + ) + + (symbol (lib_id "power:+5V") (at 22.86 26.67 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid fef8c560-dfd2-40f9-8939-71fd101cc4a1) + (property "Reference" "#PWR01" (id 0) (at 22.86 30.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+5V" (id 1) (at 22.86 21.59 0)) + (property "Footprint" "" (id 2) (at 22.86 26.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 22.86 26.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid adef4c3b-bd3c-423f-9129-fc4f486d6e61)) + ) + + (sheet_instances + (path "/" (page "1")) + ) + + (symbol_instances + (path "/fef8c560-dfd2-40f9-8939-71fd101cc4a1" + (reference "#PWR01") (unit 1) (value "+5V") (footprint "") + ) + (path "/c109cdee-19da-4a91-98c1-1d51fc988a11" + (reference "#PWR02") (unit 1) (value "+3V3") (footprint "") + ) + (path "/2c68f5da-25e5-4b38-8f43-a5fa3c8fbaf6" + (reference "#PWR03") (unit 1) (value "GND") (footprint "") + ) + (path "/005d911a-2856-4c79-9c31-c86f93de6d0c" + (reference "#PWR04") (unit 1) (value "GND") (footprint "") + ) + (path "/74034037-1cbf-4668-b7ea-b8ab587359f0" + (reference "#PWR05") (unit 1) (value "+3V3") (footprint "") + ) + (path "/64a62079-b9f5-4f84-9f85-ccc801bedcbe" + (reference "#PWR06") (unit 1) (value "+5V") (footprint "") + ) + (path "/0a5cf21d-6f1b-4b58-968b-d6b8ebd6334f" + (reference "#PWR07") (unit 1) (value "+3V3") (footprint "") + ) + (path "/be2d3c52-7f36-4a74-ba8c-05b8700e2bea" + (reference "#PWR08") (unit 1) (value "GND") (footprint "") + ) + (path "/a771b5d7-f1c3-419b-ac2e-e146642a46ee" + (reference "#PWR09") (unit 1) (value "+5V") (footprint "") + ) + (path "/857ad253-d593-4464-bc94-2d2973ed2c00" + (reference "#PWR010") (unit 1) (value "GND") (footprint "") + ) + (path "/41638c83-80bc-4d96-917f-dab37e760733" + (reference "#PWR011") (unit 1) (value "GND") (footprint "") + ) + (path "/ee558bb1-143d-4f3f-b171-fbc651240e69" + (reference "#PWR012") (unit 1) (value "+3V3") (footprint "") + ) + (path "/63aa8c01-796d-47a7-87b3-fbae1f65c90c" + (reference "#PWR013") (unit 1) (value "GND") (footprint "") + ) + (path "/47f234bb-8c8e-4f7a-abbf-247429f54ebd" + (reference "#PWR014") (unit 1) (value "+3V3") (footprint "") + ) + (path "/dc7974c1-e6b4-423c-8de3-91dd708511bc" + (reference "#PWR015") (unit 1) (value "GND") (footprint "") + ) + (path "/170387e1-6ac2-4b17-ba7d-81085fc7fcd1" + (reference "#PWR016") (unit 1) (value "GND") (footprint "") + ) + (path "/1c597b4f-8d32-41b8-a401-ea79b7e354de" + (reference "#PWR017") (unit 1) (value "+3V3") (footprint "") + ) + (path "/1efd382c-77ba-44cc-854c-77f44cf4adc4" + (reference "#PWR018") (unit 1) (value "GND") (footprint "") + ) + (path "/9683b3a6-2a8e-4e75-be41-f2397c3049c6" + (reference "#PWR019") (unit 1) (value "+3V3") (footprint "") + ) + (path "/ae9dbf5d-0b80-4163-a4fe-13d2465aa517" + (reference "#PWR020") (unit 1) (value "GND") (footprint "") + ) + (path "/67f47780-6924-4e7e-aab1-3e59762a208e" + (reference "#PWR021") (unit 1) (value "+3V3") (footprint "") + ) + (path "/a824162b-b4dd-4d47-803e-8843b8f30173" + (reference "#PWR022") (unit 1) (value "+3V3") (footprint "") + ) + (path "/7cd28c5a-b83b-4570-9500-d0c58ef05970" + (reference "#PWR023") (unit 1) (value "GND") (footprint "") + ) + (path "/edeed9d2-2863-47cf-a317-2f2c1d53c297" + (reference "#PWR024") (unit 1) (value "GND") (footprint "") + ) + (path "/c089890d-d148-4ccc-be22-b628d8e27aaf" + (reference "#PWR025") (unit 1) (value "GND") (footprint "") + ) + (path "/7ee37472-5ec0-4930-a374-5a36eaeab663" + (reference "#PWR026") (unit 1) (value "+3V3") (footprint "") + ) + (path "/37f7588a-351f-492a-b5e9-a86d93620781" + (reference "#PWR027") (unit 1) (value "GND") (footprint "") + ) + (path "/65babc05-73c1-4c42-9a6a-9d66e0a48187" + (reference "#PWR028") (unit 1) (value "+3V3") (footprint "") + ) + (path "/0fad0d8f-fd7c-4df1-8c91-d5670e93c882" + (reference "#PWR029") (unit 1) (value "GND") (footprint "") + ) + (path "/18a12c40-b756-4576-b5ce-524bd6741009" + (reference "#PWR030") (unit 1) (value "+3V3") (footprint "") + ) + (path "/d3a144ca-c295-43c6-a8c7-703b2644694a" + (reference "#PWR031") (unit 1) (value "+3V3") (footprint "") + ) + (path "/1ee21910-144e-4257-a76f-0adfeca68e45" + (reference "#PWR032") (unit 1) (value "+3V3") (footprint "") + ) + (path "/adf9f3f1-7538-479d-a50d-194f1e3cefaa" + (reference "#PWR033") (unit 1) (value "+3V3") (footprint "") + ) + (path "/774c0ae1-062a-41a5-88b0-73b765600eb4" + (reference "#PWR034") (unit 1) (value "GND") (footprint "") + ) + (path "/c8077704-203f-45b6-95a4-afa8268ff12a" + (reference "#PWR035") (unit 1) (value "GND") (footprint "") + ) + (path "/3bf9f7aa-1b89-456b-9f4e-e9562a554fa8" + (reference "#PWR036") (unit 1) (value "+3V3") (footprint "") + ) + (path "/0f91af28-d384-42cd-b1de-f16367e46432" + (reference "#PWR037") (unit 1) (value "+3V3") (footprint "") + ) + (path "/0150f8e7-8dce-4920-83f6-9e75396f39b7" + (reference "#PWR038") (unit 1) (value "GND") (footprint "") + ) + (path "/ead7dcbd-53dc-4f4f-a8cf-1850e68132be" + (reference "#PWR039") (unit 1) (value "GND") (footprint "") + ) + (path "/a86370aa-3797-4213-9ea9-4badce967c0f" + (reference "#PWR040") (unit 1) (value "GND") (footprint "") + ) + (path "/6ebba947-44db-4edb-8b6b-eeb19c5c6a28" + (reference "#PWR041") (unit 1) (value "GND") (footprint "") + ) + (path "/c6c634ef-4b33-4bf2-aacc-34edbc5160d9" + (reference "#PWR042") (unit 1) (value "+3V3") (footprint "") + ) + (path "/ec505995-ff64-4251-b3f6-ca443e0ad59c" + (reference "#PWR043") (unit 1) (value "GND") (footprint "") + ) + (path "/0b54e0b3-595c-47db-bcec-c6a557e236e7" + (reference "#PWR044") (unit 1) (value "GND") (footprint "") + ) + (path "/d1e1dc07-2364-4207-a1f0-283125671838" + (reference "#PWR0101") (unit 1) (value "GND") (footprint "") + ) + (path "/a0e5adb5-40f9-4fc8-a069-cb599973b556" + (reference "3V1") (unit 1) (value "TestPoint") (footprint "TestPoint:TestPoint_Pad_D1.5mm") + ) + (path "/29bdd9c1-a511-41a4-81ee-696b6cbdf543" + (reference "BT1") (unit 1) (value "1060") (footprint "Battery:BatteryHolder_Keystone_1060_1x2032") + ) + (path "/2263c8e5-5551-4045-b466-779e9e5fd361" + (reference "C1") (unit 1) (value "4.7uF") (footprint "Capacitor_SMD:C_0603_1608Metric") + ) + (path "/23f0bb46-eb5d-4798-b7ac-fd1aad5c7d6a" + (reference "C2") (unit 1) (value "100nF") (footprint "Capacitor_SMD:C_0603_1608Metric") + ) + (path "/3994f405-55be-4a04-8c8b-3ed658926389" + (reference "C3") (unit 1) (value "100nF") (footprint "Capacitor_SMD:C_0603_1608Metric") + ) + (path "/4d57f96b-3724-40e6-ab93-f23413cb8642" + (reference "C4") (unit 1) (value "47uF") (footprint "Capacitor_SMD:C_0805_2012Metric") + ) + (path "/13d2b351-5091-4e28-9a5e-2a00667fbc07" + (reference "C5") (unit 1) (value "100nF") (footprint "Capacitor_SMD:C_0603_1608Metric") + ) + (path "/8875e69d-d89e-41ba-bde2-45767e9df445" + (reference "C6") (unit 1) (value "100nF") (footprint "Capacitor_SMD:C_0603_1608Metric") + ) + (path "/5eb8655d-026d-48b3-9313-87b558b7f693" + (reference "C7") (unit 1) (value "100nF") (footprint "Capacitor_SMD:C_0603_1608Metric") + ) + (path "/03085d81-2734-4969-bd47-0eafbab0f38e" + (reference "C8") (unit 1) (value "100nF") (footprint "Capacitor_SMD:C_0603_1608Metric") + ) + (path "/2fe178e5-f305-4d73-9f34-5dc926fbad07" + (reference "C9") (unit 1) (value "100nF") (footprint "Capacitor_SMD:C_0603_1608Metric") + ) + (path "/b1eae589-88fc-49de-92a5-165aa1590642" + (reference "C10") (unit 1) (value "100nF") (footprint "Capacitor_SMD:C_0603_1608Metric") + ) + (path "/81faf8c0-1827-4289-ab03-9e47b8583766" + (reference "C11") (unit 1) (value "100nF") (footprint "Capacitor_SMD:C_0603_1608Metric") + ) + (path "/5f5a5875-6a56-4582-a73d-ebf515c445e5" + (reference "C12") (unit 1) (value "100nF") (footprint "Capacitor_SMD:C_0603_1608Metric") + ) + (path "/0f368328-b928-4c36-bde8-df1e5494dab0" + (reference "C13") (unit 1) (value "100nF") (footprint "Capacitor_SMD:C_0603_1608Metric") + ) + (path "/fe7d11ce-921f-4c35-a390-452b3002c6eb" + (reference "C14") (unit 1) (value "100nF") (footprint "Capacitor_SMD:C_0603_1608Metric") + ) + (path "/04819b2b-cb43-4cae-baac-01caae26be72" + (reference "C15") (unit 1) (value "100nF") (footprint "Capacitor_SMD:C_0603_1608Metric") + ) + (path "/9b5d4a16-c0ac-4bd5-96ac-9f9edadfcd60" + (reference "C16") (unit 1) (value "100nF") (footprint "Capacitor_SMD:C_0603_1608Metric") + ) + (path "/0a51cd04-5336-4bfb-ac7b-21533c8d00bb" + (reference "C17") (unit 1) (value "100nF") (footprint "Capacitor_SMD:C_0603_1608Metric") + ) + (path "/614914c2-3abd-4f26-90db-4b83af4389e9" + (reference "C18") (unit 1) (value "100nF") (footprint "Capacitor_SMD:C_0603_1608Metric") + ) + (path "/9a8905a3-5ca0-46a1-bee9-ca122e954a22" + (reference "C19") (unit 1) (value "100nF") (footprint "Capacitor_SMD:C_0603_1608Metric") + ) + (path "/d21e5415-679a-40f4-b9d3-0f558c2e3abf" + (reference "C20") (unit 1) (value "100nF") (footprint "Capacitor_SMD:C_0603_1608Metric") + ) + (path "/994dc62b-2a7b-4bff-8f5a-68d36773f4c8" + (reference "C21") (unit 1) (value "12pF") (footprint "Capacitor_SMD:C_0603_1608Metric") + ) + (path "/13265650-3af2-4401-adaf-15efd42a756b" + (reference "C22") (unit 1) (value "12pF") (footprint "Capacitor_SMD:C_0603_1608Metric") + ) + (path "/49f3385c-4a1a-4ed1-a308-2c37f51240ba" + (reference "C23") (unit 1) (value "100nF") (footprint "Capacitor_SMD:C_0603_1608Metric") + ) + (path "/fd1e1286-95a1-43a4-bd11-65aa433249c2" + (reference "C24") (unit 1) (value "100nF") (footprint "Capacitor_SMD:C_0603_1608Metric") + ) + (path "/e1f00983-a235-4d69-9d9e-906d079c4b90" + (reference "C25") (unit 1) (value "100nF") (footprint "Capacitor_SMD:C_0603_1608Metric") + ) + (path "/acd48532-9df4-4213-8073-4ea5ab05ba2a" + (reference "C26") (unit 1) (value "100nF") (footprint "Capacitor_SMD:C_0603_1608Metric") + ) + (path "/c3135751-427f-42d2-9a94-3179d7de2153" + (reference "C27") (unit 1) (value "100nF") (footprint "Capacitor_SMD:C_0603_1608Metric") + ) + (path "/4f8814bc-1ddc-4d9d-8341-e717fa829f27" + (reference "C28") (unit 1) (value "100nF") (footprint "Capacitor_SMD:C_0603_1608Metric") + ) + (path "/2bbbf7e2-fec0-4e0e-af5e-d1d876305dd1" + (reference "C29") (unit 1) (value "100nF") (footprint "Capacitor_SMD:C_0603_1608Metric") + ) + (path "/5641d56b-9829-49e8-b5b5-4c281f49578e" + (reference "C30") (unit 1) (value "100nF") (footprint "Capacitor_SMD:C_0603_1608Metric") + ) + (path "/e1080d6b-d7da-48f7-b6bc-20790315d00d" + (reference "C31") (unit 1) (value "100nF") (footprint "Capacitor_SMD:C_0603_1608Metric") + ) + (path "/e505a7b3-3ba0-4037-b4e7-40457055df66" + (reference "C32") (unit 1) (value "100nF") (footprint "Capacitor_SMD:C_0603_1608Metric") + ) + (path "/6f6c2377-8039-497e-9b10-e130c951f0c3" + (reference "C33") (unit 1) (value "100nF") (footprint "Capacitor_SMD:C_0603_1608Metric") + ) + (path "/79c68ec7-484d-45d8-b8ea-7b0a7306e7c6" + (reference "C34") (unit 1) (value "100nF") (footprint "Capacitor_SMD:C_0603_1608Metric") + ) + (path "/5a894943-2e60-4640-96a2-a55eb4cfef14" + (reference "C35") (unit 1) (value "100nF") (footprint "Capacitor_SMD:C_0603_1608Metric") + ) + (path "/8b893dd0-e97f-4683-8d2e-b0e1c10c6051" + (reference "C36") (unit 1) (value "100nF") (footprint "Capacitor_SMD:C_0603_1608Metric") + ) + (path "/368cbd0e-6260-44d9-af09-bc08be9667bd" + (reference "C37") (unit 1) (value "100nF") (footprint "Capacitor_SMD:C_0603_1608Metric") + ) + (path "/84b8b021-1eac-40ec-9d18-9bb490fec58a" + (reference "C38") (unit 1) (value "100nF") (footprint "Capacitor_SMD:C_0603_1608Metric") + ) + (path "/109c53cf-c8a3-456a-9546-7c3328fa259a" + (reference "C39") (unit 1) (value "100nF") (footprint "Capacitor_SMD:C_0603_1608Metric") + ) + (path "/bc7d6f8c-6dfc-49b5-ab62-ccadd92d55cc" + (reference "C40") (unit 1) (value "100nF") (footprint "Capacitor_SMD:C_0603_1608Metric") + ) + (path "/a61e6e2e-0cef-4616-939d-fadea790ce4f" + (reference "C41") (unit 1) (value "100nF") (footprint "Capacitor_SMD:C_0603_1608Metric") + ) + (path "/807f06fd-69e9-4462-bc69-40d576fe8720" + (reference "C42") (unit 1) (value "100nF") (footprint "Capacitor_SMD:C_0603_1608Metric") + ) + (path "/4a8503ee-5770-4f04-9dfc-cfd261659fa9" + (reference "C43") (unit 1) (value "100nF") (footprint "Capacitor_SMD:C_0603_1608Metric") + ) + (path "/1df87e80-2d07-4a8a-bb5b-e5faf9d9c905" + (reference "C44") (unit 1) (value "100nF") (footprint "Capacitor_SMD:C_0603_1608Metric") + ) + (path "/65dd3f32-98df-4103-ac72-9d5146d33dfe" + (reference "C45") (unit 1) (value "100nF") (footprint "Capacitor_SMD:C_0603_1608Metric") + ) + (path "/aeb0d0cf-6d04-4e89-836d-342f2e598d6b" + (reference "C46") (unit 1) (value "100nF") (footprint "Capacitor_SMD:C_0603_1608Metric") + ) + (path "/25b1fb90-87c1-483e-8933-09b55f4fd3ab" + (reference "C47") (unit 1) (value "100nF") (footprint "Capacitor_SMD:C_0603_1608Metric") + ) + (path "/94dcb139-2876-4a88-9afc-5a91f644dff1" + (reference "C48") (unit 1) (value "47uF") (footprint "Capacitor_SMD:C_0805_2012Metric") + ) + (path "/45c5d7eb-fb02-4045-bae4-ce53aa49b4f2" + (reference "D1") (unit 1) (value "150060RS75000") (footprint "LED_SMD:LED_0603_1608Metric") + ) + (path "/e5375fae-8d12-42aa-b7f4-67a68780c27e" + (reference "GND1") (unit 1) (value "TestPoint") (footprint "TestPoint:TestPoint_Pad_D1.5mm") + ) + (path "/defdcc3f-5dd1-462d-9a3c-629711ed4f38" + (reference "J1") (unit 1) (value "DX07S016JA3R1500") (footprint "Connector_USB:USB_C_Receptacle_JAE_DX07S016JA1R1500") + ) + (path "/18505a27-e048-4c99-939f-13bbdf43eb7b" + (reference "J2") (unit 1) (value "Conn_01x03_Male") (footprint "Connector_PinHeader_2.54mm:PinHeader_1x03_P2.54mm_Vertical") + ) + (path "/51744168-bfea-4a8e-8692-c99342c4a1b3" + (reference "J3") (unit 1) (value "TC2050-FP") (footprint "sc64v2:TC2050-FP") + ) + (path "/09e47e41-fce2-4be6-a894-63ccba0f1215" + (reference "J4") (unit 1) (value "104031-0811") (footprint "Connector_Card:microSD_HC_Molex_104031-0811") + ) + (path "/0ccace5b-45a7-46eb-82b5-c5e6d2488545" + (reference "J_N1") (unit 1) (value "N64_Cartridge") (footprint "sc64v2:N64_Edge") + ) + (path "/6a7f3f5f-7361-4bc7-aab9-0a665363dea2" + (reference "L1") (unit 1) (value "470R") (footprint "Inductor_SMD:L_0603_1608Metric") + ) + (path "/45ec13fe-5f93-4814-b0a9-2ef724502115" + (reference "L2") (unit 1) (value "470R") (footprint "Inductor_SMD:L_0603_1608Metric") + ) + (path "/8225742a-f816-4b22-8618-1e188dae72ec" + (reference "R1") (unit 1) (value "10k") (footprint "Resistor_SMD:R_0603_1608Metric") + ) + (path "/38d3e0bc-e7f3-4fcf-b28b-1dd2ebbed152" + (reference "R2") (unit 1) (value "3.3k") (footprint "Resistor_SMD:R_0603_1608Metric") + ) + (path "/f29baa2d-b8f7-45f8-96ad-5b304188d80b" + (reference "R3") (unit 1) (value "470R") (footprint "Resistor_SMD:R_0603_1608Metric") + ) + (path "/9676acb4-ecdb-4bb9-8697-bbf28b479ff0" + (reference "R4") (unit 1) (value "5.1k") (footprint "Resistor_SMD:R_0603_1608Metric") + ) + (path "/7ed06213-5fec-4fc2-a07b-97a7e844b4e4" + (reference "R5") (unit 1) (value "5.1k") (footprint "Resistor_SMD:R_0603_1608Metric") + ) + (path "/0c635b0d-75d2-43fe-aa37-07274a83e016" + (reference "R6") (unit 1) (value "12k") (footprint "Resistor_SMD:R_0603_1608Metric") + ) + (path "/53a3914e-49ab-47c6-9e8c-471b61f99f60" + (reference "R7") (unit 1) (value "12k") (footprint "Resistor_SMD:R_0603_1608Metric") + ) + (path "/d1984805-4314-4f1c-9ab1-479802945b94" + (reference "R8") (unit 1) (value "12k") (footprint "Resistor_SMD:R_0603_1608Metric") + ) + (path "/38f041d3-336d-4c45-9e25-efeece1e2340" + (reference "R9") (unit 1) (value "5.1k") (footprint "Resistor_SMD:R_0603_1608Metric") + ) + (path "/f47d1b56-1473-4960-b027-88c1d1af2f5a" + (reference "R10") (unit 1) (value "2.2k") (footprint "Resistor_SMD:R_0603_1608Metric") + ) + (path "/9f9dfac6-0c0d-4ee0-924b-e95250d2b98f" + (reference "R11") (unit 1) (value "10k") (footprint "Resistor_SMD:R_0603_1608Metric") + ) + (path "/f9c93d04-ae88-4458-aada-7c0f1c1f482b" + (reference "R12") (unit 1) (value "3.3k") (footprint "Resistor_SMD:R_0603_1608Metric") + ) + (path "/218503c2-8dbd-4619-ac7b-4c01fe0226fe" + (reference "R13") (unit 1) (value "10k") (footprint "Resistor_SMD:R_0603_1608Metric") + ) + (path "/303e7d15-a601-4397-8721-b9f3cf051807" + (reference "R14") (unit 1) (value "2.2k") (footprint "Resistor_SMD:R_0603_1608Metric") + ) + (path "/aab80820-43a0-4879-aa62-70e3b13add9d" + (reference "R15") (unit 1) (value "2.2k") (footprint "Resistor_SMD:R_0603_1608Metric") + ) + (path "/7295e019-ebb9-424a-ad6e-06fdc7f816d8" + (reference "R16") (unit 1) (value "10k") (footprint "Resistor_SMD:R_0603_1608Metric") + ) + (path "/83ac819d-dfbc-422a-a76f-f95cac775ba2" + (reference "R17") (unit 1) (value "10k") (footprint "Resistor_SMD:R_0603_1608Metric") + ) + (path "/351bd7d9-24e6-4a96-9b71-d9b4f5d9bd9a" + (reference "R18") (unit 1) (value "470R") (footprint "Resistor_SMD:R_0603_1608Metric") + ) + (path "/57757361-0691-4a91-bc3d-0dc7562fcbe2" + (reference "R19") (unit 1) (value "10k") (footprint "Resistor_SMD:R_0603_1608Metric") + ) + (path "/95782e76-cb4b-4009-9945-08a041720df8" + (reference "SW1") (unit 1) (value "TS07-667-37-BK-160-SMT") (footprint "Button_Switch_SMD:SW_SPST_Omron_B3FS-101xP") + ) + (path "/fd9f5719-35a0-432f-9a74-8007f2818ce9" + (reference "TP1") (unit 1) (value "TestPoint") (footprint "TestPoint:TestPoint_Pad_D1.5mm") + ) + (path "/dc408f8d-04af-4d73-a1d2-90b29f263566" + (reference "TP2") (unit 1) (value "TestPoint") (footprint "TestPoint:TestPoint_Pad_D1.5mm") + ) + (path "/e3a53687-1d2c-4f55-a6dc-3cb068c0e853" + (reference "TP3") (unit 1) (value "TestPoint") (footprint "TestPoint:TestPoint_Pad_D1.5mm") + ) + (path "/2d0fed17-815d-432f-a7eb-ae8520ef2c33" + (reference "U1") (unit 1) (value "TC1264-3.3VDB") (footprint "Package_TO_SOT_SMD:SOT-223-3_TabPin2") + ) + (path "/11199015-56cf-4f32-a080-c15518c28d0f" + (reference "U2") (unit 1) (value "TPS2111A") (footprint "Package_SO:TSSOP-8_4.4x3mm_P0.65mm") + ) + (path "/6e901f8d-de31-4712-9607-3a9979e49550" + (reference "U3") (unit 1) (value "FT232HL") (footprint "Package_QFP:LQFP-48_7x7mm_P0.5mm") + ) + (path "/a669f65a-ba28-488f-8876-920a059fc027" + (reference "U4") (unit 1) (value "93AA56Bx-x/OT") (footprint "Package_TO_SOT_SMD:SOT-23-6") + ) + (path "/0fc6d60f-b51b-46bd-9f02-cc292d56b643" + (reference "U5") (unit 1) (value "W25Q128JVSIQ") (footprint "Package_SO:SOIC-8_5.23x5.23mm_P1.27mm") + ) + (path "/8a46818f-80b6-48c6-9d8f-04eb3e3ccdb1" + (reference "U6") (unit 1) (value "STM32G030F6P6") (footprint "Package_SO:TSSOP-20_4.4x6.5mm_P0.65mm") + ) + (path "/766e0844-4cc7-4fee-800a-7bdf93675837" + (reference "U7") (unit 1) (value "MCP7940N-x/ST") (footprint "Package_SO:TSSOP-8_4.4x3mm_P0.65mm") + ) + (path "/577a15d5-96bb-4ab6-91f7-9846e15282cb" + (reference "U8") (unit 1) (value "LCMXO2-7000Hx-xTG144x") (footprint "Package_QFP:TQFP-144_20x20mm_P0.5mm") + ) + (path "/93ddce85-c1a8-42b3-a16c-75b607cf3749" + (reference "U8") (unit 2) (value "LCMXO2-7000Hx-xTG144x") (footprint "Package_QFP:TQFP-144_20x20mm_P0.5mm") + ) + (path "/749a3945-389b-4081-ad7d-147241e86892" + (reference "U8") (unit 3) (value "LCMXO2-7000Hx-xTG144x") (footprint "Package_QFP:TQFP-144_20x20mm_P0.5mm") + ) + (path "/d36b5d51-b628-4248-a4a1-d225a2713196" + (reference "U8") (unit 4) (value "LCMXO2-7000Hx-xTG144x") (footprint "Package_QFP:TQFP-144_20x20mm_P0.5mm") + ) + (path "/f5284160-b8bd-4591-b634-bcdefecda6c2" + (reference "U8") (unit 5) (value "LCMXO2-7000Hx-xTG144x") (footprint "Package_QFP:TQFP-144_20x20mm_P0.5mm") + ) + (path "/7b73b1ca-70af-4411-a26c-1686ab71a5d7" + (reference "U8") (unit 6) (value "LCMXO2-7000Hx-xTG144x") (footprint "Package_QFP:TQFP-144_20x20mm_P0.5mm") + ) + (path "/7adce0b2-9540-4d77-8cf1-4df964c29563" + (reference "U8") (unit 7) (value "LCMXO2-7000Hx-xTG144x") (footprint "Package_QFP:TQFP-144_20x20mm_P0.5mm") + ) + (path "/1dbbd042-b212-4933-895e-d1a10aa96c60" + (reference "U9") (unit 1) (value "IS42S16320F-7TL") (footprint "Package_SO:TSOP-II-54_22.2x10.16mm_P0.8mm") + ) + (path "/a73f4f5a-6e4d-472e-9b51-954e3d116bd7" + (reference "X1") (unit 1) (value "ECS-3225MV-120-CN") (footprint "Oscillator:Oscillator_SMD_SeikoEpson_SG8002CE-4Pin_3.2x2.5mm") + ) + (path "/fd95e6e1-2b37-4604-8f85-981efac5e15c" + (reference "X2") (unit 1) (value "ECS-3225MV-500-BN") (footprint "Oscillator:Oscillator_SMD_SeikoEpson_SG8002CE-4Pin_3.2x2.5mm") + ) + (path "/c229caf9-bd8e-4df4-8266-2d39e81a3f5d" + (reference "Y1") (unit 1) (value "FC-135") (footprint "Crystal:Crystal_SMD_3215-2Pin_3.2x1.5mm") + ) + ) +) diff --git a/hw/shell/sc64_shell.f3d b/hw/shell/sc64_shell.f3d new file mode 100644 index 0000000000000000000000000000000000000000..b328450553d2f5d55e4133107362f72e0ef1d565 GIT binary patch literal 3469087 zcmbT+1yCK|x+r+u-Q7KGbmPG-IKkcB-Q8V-ySuvv3GNysxVt-nA^D$s=bl&d&YKyk zn(pe_y=w1oNw4p>mb?@=1RThpKTn4mrN0;d`iJvz7IStqx3v*;bToF7)wed*6f|@) zcQMvxl>gs8Me`q?5^^xMb7T;*vNe#`cW`q252Y~uhf?GnY@LkF|M^n??@FWn52Z<1 z>zf+?zbl61KNKTk>}YOk!~7q966t? zIi2d7xrD5`Ny(Z74w8B_wg92irHyIe^0&8ug&e#ap8Jl%wMUufH+dc&g=pM0#}$?h zH_`n@*B3*Mh0DDwHfv3ev1;>n_G}V`c(t1Ay?g5i?KXQ(@;keHWJPVPp+)v4be$O8 z0ehSYdo)S32zt`cC?kKh!b^CZczSZlr{~t41kz6v<^cYSjf>H6s6nw#1+aJAw{aiP zKP~l7ME_~u1RElx5Fgin4y2Fcqb=DPJ2;ttw1AJ8e%t{0uh0Ke186yV9>X7ZML%kQ z;^X|k>kt34W<+Dw-~t3tg5@AkF(kT#)}Ydo-DyQ!MdcawR+WUxTJdi0v(%sMxjJ%K zO((0N^#Y-=aN%~pe8CF9<0*8DqjJKbXAX^p1Um;U{uO)>g+XS*OEnOt7WqXgdxe8@ zj>}X$6L_+%05vs?d-O@E+ivrysCCh{>GQxPHS`092qdLrU;oyze}#WzqX z>vC~QWA`a(jRxOs+mw|O<#tTZlSH0*!SWI%!`ZME2}M;=qDo);M<3zxJAFc}$cgHw zob`W?1cf3Rq&GGQ2y!L}i1L4k#Q)mIg%m{P88}%v*$fO>4FF6WKqdf?-N*!>&unZ2 zFkv$^(Pw98W-(>~GB{crOlZTpDGy*~;|r0gqhR=#FX-hpHw(hRMnV!twqhBfSHY8x z!IO~Yk;1?zYt_;%wYTId%g{dViX7e^}!&*iKD|XT>W|$0Fb=;M3{er|{3oTzq%Xd>^}DF|cto zwDy`DPpG?Un~;e{d|DH0jQEy>c+693tG?lni3v_8IVd}N!DVkFJd#=tN%{Me78%T5Twhg0o-xb5H_Mji@K`pxqJqD*FD;qXBmmYF~)MEeUz^fY0+BB!M17tjSee-gY-$ew;Xk zDZb#jnX3|8QO@wGs*Hy56Mz_~XJ>vF=c8N%K57{9e5!FD@#t@Z|S_ERn>g6)(L$g*|WW#9kcJ$Vj(yy>n- zET6ZRW$g(lbOeRy00|&WX=k$rs9t!}LFrFqgozUimW@d7z47eqpj(h2wbV;JbKBkI zhCQ4o*(Ba_&w@0l-{RhyE3?OI8TPB4Va`bTeQo;3Lk8Uue=!}AS?3HeJ;h&7RHKHD zfJmjjsv##TDCE`* z(1bMC|D(89&WvGpMjkID90kO`fm;hO4ZU(PHAAHDecD4#<-KqcnVg^6j9tl=S;9-t z8xRr?j6$OdtM4BdD(gi}hf;HHR1IX^mYKMET6gv+k0g{pO?1E;u$lP^Q^~szu97>?C?C@^ASPMOAYzP+ADetH$PkN$KL%KGi<+*z zQd8LE%+ueI6GaL3%Q&OPQF6FD)rZWm)mp7@T!$1lC#rz7z6+kv@cDqGeWTwMW7i zP%`MUCLb(LL^a3yO}&YTy<11i5H&-dB*ibN)6<*orn2u+oBm2G9p!?OuPZVD^JU-1{_@JnyeTwsx$a7a(o&Cv-yi zwKa&0zkI{(?n~G*g#+~eOayBPO5Va3kq?1JWWY2xdJKv`ZA~)?f$oR;DOSX!9yEMO zBO#BQH=paZKQ)V`ZkHw2)WbPkj5^;S>Yy;F!H->BNRW=1bK(DGqnFB*u1s)nH^Uuw zwT_+Ea?|Pn27RFRr&qa2S`{J7p=5&|C0px^7T#p8ezu-!7KvrKO-7BmAAMU-fj{r$ zBu2z}>r98d*-S^+Th=$ph;>~U4vz^E^Bv}2PjE`s2O&b}kT>eUOn{Jl+5m8epsB~a z_PH&Sm0ynMrfen6uS z@YLQ;2AM#{FRuy#-e<`E+D=cLaDK;nEO5pY1% z;ZLFdc_|4{uq+DcE@=p6GPkq3fDL4#gZn^36e^?7OGaE3#8D0q!LSj}Tzw+C z^woT_ZO?mOTRlRew&0q=Kbf~m*4{KtqE=&#EMVqtatOUMQRZ4D&^D}%QH@FDb_DD^ z0uaYU!|oBDVM@2_MnCJ1`VK12yHCQMVZ>w9pjP5J*I$=gLKcW6g?4q|fqf^JsVUg< zz*FfvlbuJV$GKG*X(RFc8nBK6f4D{hobHInPy>9NBtUKh=sqJ0k3TLVtx9Z2N34+< zz3*AI?q6_KyVvcf=SCbGl2kfZ{A8QJBgvf36;7o`rSkX~f^e{U_-q1m9!sm(x&%6P z3eC*2ix-~*VK%-*y6;ed6k_pzkH_T@ixVT>L4cC6W#uVDkut_&eHI>s+j7u`SU{hC%qF_ zlWn-;ZRtU&HRcpIlh~{3Bq&ioaoD#&`c2=I>7E=1q&Oh#>rYthS9c>9hk$V#L(`3v z;#Q;kGuq4ye59EXt7Dbmnw7c%oOYf=;F?T7FB!yFA!D~ZjZHfJ2)D1hJHtxyKb0C`S846s6hKrm1B0yE*Jr0qpn-H~qC zGBm6(SF}d-^E9L>d-`lpMDN(Hou1PZ=C$w_9bWki3DX)~cFx>}%ln<8P~c{XM4)L9 zRPR(oZpO5{13g-=Oz0l0Yv#4c$V%~1g~mFBYsOU1(T@pJ0Jxe=sHd6?bS|L1Um$ul zD178&&2B%&>8z9mX$1p@!lk#L%9-pZaUu z8wVnWVAgYTYoKzog-E0Mu$ei);nbE>t|djXk0C(_-e}aUc3j*gMHwSdtjk?$>eQSH ztLW>kZQHoVQu?fF);eH2&milo_-7`k+db1lR7GH#?@ZILu$y{>FT1`wcwI10Jh0Dg zRJ&)QU28MDR;hM%n=XQ!4gNH9%L)PLH~I#eyvht0?@%Ge_MiUHO3NkOAbW=k>hfI6*dWsj;!0s_%$pI7-~DzMYlD+(b2m3fe=h zmmSxKGomrycvrix?&b384j#S)S_>IYT}0-_p32j&(cqZNX!PDaDED&sLjC%Ik?v`7 zKt#ki5S@(ob#BC%CjM(d9LHI))RwyI;18j733T|*#C=^Sy+e2}f&Nf3h;d&LRz4MY z`G8L}D-1YHv5oWE$#*;=EQf|wauD$Q{FwDA{^xx>)9V>jfO?dEu%#i>zEp!Mf5Z{r zNDLA=iB-s__b?|9(dw#$8v==aw-c9{cK@b#seW11eBT?ciASMfsA;TWn;o2IsrX;t z-4$7Zi_a0Jhwrei}={ElyB)> zJnxgZnIxmF-iM#td8h*PN@zyYd4X~L%y*3>RDC-<9=B&w*d=3Bq8mOjtL_JR2Aiga zFLRXLC&T&P)SV{CF&jQ*XSX2KZH9hLNV`wg;p7>R@&(wFD`RN%f2!z^&$)RWQ1ejQ=HsxlMT!|+}F|r5NgaueQL8?lH~EHqz@bzXjdrY#&qR1=Sz$J}X3_x((TMB!7e6JFjSw2o z9@FMIapd0;1uWt!R>3e$-I?;>UKOJra)9~TMG&IY6rOrYKQLcLv&|yGf8txv$E-k8 z!9}8z9ueeKYNw~C!MSm_b5N-iD3%2M{cgg{=7U!gj`1|4#kXeDRK1gKak;zME z=O!!(7hMe#28Yk!OlWre7Ei?*_B?~9rPpi7a@g@><(UC%Adi6rWTJ81>#c;fn@__y zswrkqls^g5=9V}G={)r1FfaOjSrJp#a05kIyt0eGPs;i?b&(9d?&^43e6yWsInKH)wFQ8Q65XG(nyRh|*8$a^AE z-oY+ZHhD;G&IMxi5+v;b;*VfQT;!-a;+%$18>*6uF!M3Vp}KJZQ6{rN;$((9C&mT; zERi?0{ia@%Zu0IU)^R|s)P1CBNQ z=}-@Ua^0+#l zb8_(rcZ|`lit1`H<=dl;4ZF+ck542t?)^t6Ji1{g)@NU*qlVV|z#d1?@aw}095G|E z(U@bBm%DpBLt+YUz63sT$1uSBm|>OJ#0XvE2=nIAX|9i(*AeMwG~Z%QvI8w#uWB#?T_lM=a`v~-3pNx6^)OB z8mc!D%Nx^Lm(v@wwVx5{w<=XiAt(LsZ@5;#W9N4it7q;5nyUBAk)_^aLQc$D*Tbq@ zyp)g|)yvHNlvUl?nC332oa^vE8X688ZL^OdPIEO~oQt>AI4;X(158W>Cg)@%9)b0S zUaJK3P=-XHrjy;PH_4NK&V=J5a=dB|$)muuWgigVpoLDOUfw`8j}Z<~-$JW#4UM-L z)GHmfR{Uk5D2%tJlK0v9Q~`OphsehDQI}{od@|`Hn>4D}y0xZpNbC7Bz~b z|8brV%C2rI=2&4V_EpyBy^8q`{3>dK3W#RgZoAk<&X zq_l||OnNXXq6M>$YevI+$5)OEXURJ#_2XwnBrnl^t?Qhnfz?wM55JY~j!;^2ed>0O zJndV4sbc5Z{cq|!jCTz4%f{^O99Zcql0NLB#O>7+Ugpguz15VlAAPb{G?Mc8+EnQ2 z{4%TA%R*>V<&cX4?9rt@vSXuaC+>zSNE4B(N4V&qw>`MI zmk_c%jz)G?LUDM6hw#r_)~A)FQuHn=?cGNdgU;^e@Zz=lKVkDSF>B>P@9SnMZ2)TR zG0tCmcxL29^YPZD`z!R#MlC6>+BNie5!!iNeWtVpJ~4aFmd z%Ww{saLHb}0?LUOK8C-yUPrLp5ogJ0*Jz4ygJGG`xOeHXIE5`5Vv!Tg%rO_61l-S& zRtLNTE;cdgXG`Z;8^wXgFNM8Wgi7z2!!Dc696YZ!7cD!4Or>n{&cA3KOLNlIyu72Y z?~wB^?+})ILM_mVXD*rfu}_|SI})|HLE&8vTYJ5VeO`WwBqs`-Knz{MBIe+^$BSag zfPFi3l@QyJ^ZQ8VxW-LaXvsdktwwg6)M%7+9`hr;LqeVDoI#WS^u=^oBV==U>b4yA z!m@PYc+~i1Onvs`D9-*_C%D6_S9Wn!yJuq_no;dUN~b;~vViW)8#(UV(M-G?!9GM#_Ujd=3@1i?)=?4cgQZWUn;B0)IP zVbyk%QOCpF(;#h>A@+;GVM#HTs#}w_29D|y?}hP9+l|WvX03C4qW`DTNLKU1!~_lF z_z-R`wDFt>ym4zr)5(z;Ga5=%0dq9Ov$%eD=18N|jR@?9;X~u^sgP$FjV^~h5#0Mh zdW@w%o68~j*r8fxc5WOJEQik0-^sUc9Mw+uv?d@T`VE_Kw({Yb)kv9aA1Grj zPtdtEk0PjU9vG>pfR8)~V>+E(B}Z`pQv!L)F@jiWC8;5UeWgO8heWQD5wgG!%sqq7 zB3PHn-vPMI5Niyv0qa!ij#v%urb!bUvD_Ii-yt%WT^Uyc1`Hv6fkg}xe5%ff8ld0A z5t;NRf;|q)W)Ti+sgYm4e_h(3q!yw4o}rVtC4tmiGxDgEW&D$AbycQj?F=LCao0QUSgN&jE1J`JCy4!MA^{l<$|nga5nt zL+0}K`27F%eiI{O4t6#JAi#vxgaZIHW(NYej98fgENnn7V>VVc6AmW*|JD1aX~2{# zdWEd511TVc=%3YoH0272oCvwaVG9Te38SD?wCX9>I@=1KbSp(hwC3gZdV^LhG?%Jj zYE~>FHUSuvGj29G5;-0wJ3Y@mIgoF>kwo^#d07uK-(L?pU(N}+?5&y6 zI<$a!pT$|z=4Iuj@4gpzJ$n56ljQ?3OKYcvyt1-?hu%9XB(|5`6x(XHnzLT-3N%O8 z>vLHKGR+xk4v(i8*?OEA;Hh|rIwz!0!TPLz_O%Rv2+#9Q==+KEx8!^cVPg9JMD|Wr zP#*q-6L(1c?75>UMS6Nv1JiDW=nWJ9cV<4o*V(L?d`GmilESzU8;fV8k7wx^PSm;U zt8M!93y#H!dF#%H>xv++nwuBX6crhkgu^%OJmuto)EcI;6}FLbHLlb@IVkXMJ{B8$ z!f%=7K24Qa>(e+v%>?8-#;srWG!pU-dZFkX@)D z@27uncKG|$QcnOvh%%mu-FB$A*43@y~nAwl_D$E-}5z6#@f+h_%N!HBi*l8mnmVohP@-*gUCZRA<15 zwFF@lHJ)*6%jl_0zJjX8mqzz0YG|~0M%g)*xCc|q$}eTQg#`YQ(ciSR!$t|&iRjJ( zwTLL$RWxg_m_D3;tFQ-EsDCggf&>nfV^y1}bQjSPiDt#=clmvh3BP>o~TP^+{o`Qcrj?CBkdK2~mfoz|W=cWMa2GM6qG1Q_0!g9G=BB%kPvcjbP8O}b4H6*0Z08Bh(HPv7^-O+iV(e|nK;5>=5N{VC6*z5 z8myfmEX4O_&UI<}NG}G5%wE$7=Z{|s)8qOiPqTQT(=utA;dZM-7`shx!o>@Q$l;B|WZ;^( zFMdo9=chL9kn{dN+124)E z&(gd!CEIYi7b~M7&!UPkV&<8aem>59y4(W2W1}#XwmEubArwctc>f4+9Q(8!-AHD^ z8XkxkM~UqLtQ}gW6`CRB@W6%zc*qs`QWq)YKVbJo>#%-VaYJzJ22);b%bOPI^}<6e zi9}H{VV>X=2H25R`cNH=Pt8txMY!y%omgcr=v(IEgBa5RFB51ZPwn>Tmxj>v$qbUm zr7I_j1P{WPiflnDUBvV)ZUM?p-vr^ezQd*3(S64lv>^3Xxwo+39dtZGJAhqo__B#( z>X^Cd1-LD-T1XquvY)tN`w5|}L=3K9rP*0+z6i1v1Vz|q6wWlG_>tt)g52AGdi^18U3CPxEkh}m3kSd=`q(4%RXX!bGM;N7iZ&t*5IxC4Ns7uw)6#|b zwryPhmxhcEjQf5B0IKcU(_K7qRKM(3q;lv&3Y5CJ5VGpxY73SerC3(`Rc*va|Cw9j zGCB`wZKv@Jc1Yr3fD%zY{#5IEm(BC%-y`}!$Iu?R;i~*RJVda$s_kI+q~@2J91J`Neo?&703eKy7{ppo zNWzX1>Mtj?Y^R}-*pwQJEPLx0%S{`29L}uHr%G?8iX%IYiX)IlQCFN&`wAvz_rF!v z^T7VtUISN~jYGkRf%{7vW9$;$*Rw-I6dpxBo+xt!DjxJGKHbIV5;x&}kY)FKRQU;! zb!_e0pw)dTUkgl^cxoS|xjB(b#!(Boa*WiJjG(xpi{Bu#?OCgDZE`BHNc*a_Ew}kt2Y%@y^yAJ+p z(z$-lRtImy*Dc<{#A6Sgc~efm;vmgPJ|TSpihE{lb%}k~rfnM1sJUtM6&^OBonIo8 zj+!HbXQl`F)EVCJFp{qQjK_9%arBvZ4rS>bX6K1Faol2sEK42Ik>DN+)THS>jhihs9^j2xwypTkTkuRNnR9x z!Cy1^PE(Zb1N>tlKfoXLFZgHt5AZMfZ}12H7x+v60e|eve*=H^Kj4o$@u>9y{wBlS z{mi%6WKF&|=_Y2Cca&4p@96bc5NzFORATynR!jtlE#MnJB52*JzI=Hi&yE0M@A&;< zlmwqIpZy9Ev5%P<9jeBG8vVXb%wb5UohN}=9+^Sm-ryzM#lN5~MQBzJTHKJ4HedZl7zC@Kv$#b&ys~6F%Qq+H>)NNmMsR^^g=O-b;ut&vSFh|;`I*hz!BF}KsYLX zNXm=l{rW49sa*igj!V(a;aMt7Kvq7D<^7BR($M_RfK-^+i^G`~eCPEKf(GkbdYn6_L>N)0aSh?y+UYxIF|iP=z#a z{QOhUoLNy~UZn&v<(SH)2viGjt~{tmm882EjZ*!p-p@=mE=E!ykZ+UIJyL;xmob)x zP=>zbRqp$_iE^V|clY_{;coer%#3FIs$nf3q+5e}jMV z*$fd_R9d->=jFJ{IA^Y15AH zHbFLT@rAuKgwJ9f7_1cKO|F>bpr$k4V2y;ksWjz(mzUYh`CGH)7Egf#7AvXJnN(QT zDm}oY8ASN`!UQZ!nBqb%x!=>OEe%iZK%W@DxR(q`zOw`t&SZ zL?e2esd1whm{Yl_Nw{foJ~PDmkV8AvbDdC&__G}Wcm33}c-S#smY@k%IhmG(di86%bG(C2=Q zyQo@~K77JtYFn;)bXaXXnV=ae&4M50{{sKx#&m2;nS+6Q6wFmtmU4I2zu-UiZ{WYj z@W>n$SH>Fp0sdw3rbhpOzr+Xli{b%~fQBv~;4ckE&YFv>{Q>@1vt~$tz#mhMee}P; zKjUl6WbI$@cm6+sfAL@N|9O1E4R{gQW9QunGO@+=F&i|r)dzj$3`gYpG2;#=9vh1z zUSXxX$*Vpz^HkUiMktOAwS7oSVb|Gzj{l>ZkWo`z#3D!X5BMXZx`KUxfAwGR*Z&vz zOMigB=|A94=6t2{5BM+t0e^)*;6Hiw2mD={|A0TkU+||mYT)_@{4xIl|7xO*gLtTe z{{jA({{nx%zu<35Dwg5)Z{Tn82mIkbz+aTYzOMbzy!D*&1N@aDFUJ0Wf6D(8`1{?% zbN&nbr~iQe?mysPVb;3xFYs>@n**uPIa%nD?$=xxsCJzFr9WT3^n9nk)Lt&oehXlx z9`l)enaMwCi8(E;HWM z2tYY}F;g@AVHSD%o8rn(LyybIcK>7JnBpRLnVidj#)%uQNgWHdllye)4!sMf@bVTZ z^$!5=>PcB`=~ulf7re#LJTT->2waL(+XhTi0>VdS52zFJYA0WH$htMOPwSXMyRvl9 z4aYvRLH!r}gVDyMevJ24$*Tj=tZnO-3;w*4M{0?{l3R>-C%p)0R6fQ;j1A?BaL^&B z)owK^ESCuJuK_EEWNFi{<}3H(SP5=ofLgSAT#-8{z$e41=)p>YbZpldRw}SV*W}nG zZxwZL(-(2_p;}e%a*O5MKj8297yPsTfPcV$gMa@20{;1bz~AYA0e_KxsSofUqqvID z&_hIMPjk$yu^4Jo`FHS-_`kv5^1r~prs02pKQ`MR@Ynxu@E1yPoBII&yASZ^{0IF1 ztattc{>qZkEm42K|Lz0)$A|wX_?Ff5G2Z9D2c3B5;Dc^zBpJS&y}? z!{gez?GTTiyk+ijtMI-&KU>}7v*g~%h3M9adcFU{0u^8Gmaq@Q;?29bti=%o{Zjc3 z)J@v6wl2E5ELasf!O#%U%V1X&OC1O+pT9wi8_f@jC-?P$DaWEDtn$&8@j1QAqUpN) zo%0nN$H~2kI7;hXdDvNXet7Stn)F7!a%OGQ(muty@nDKz`O*#c{F;kL{gW%GuOe}$ zUKOL;2p&UB%o2El^AlHr=sh1M`O;8|9|G}4qY&SZGWO=@YrLk#ch2t9Bdxv1E_!au zH>DkK&efXwO>ffmF|;1*25Iy!NN1zg-$TB;9TlC08`E`srVr1{N!QTYDw;Ic*V2FK zOc6bpBsTv@$|5`IwFI>@C4_V0=IKI#^CO9RZ$|TaHlAFLI@w~vwBaCL zDf(YkI#Wf(7+(hH%}R2cgrQYtc^M%*1-qI>0hf8qtOX3H*SJi;`U+(s7I0NR*{bW zVePgIZIN(cRw02W1^)LDMpQmJ#_?-~2BwkYcA`GACdO=WUK~n-KQV1b+>{-;S_>ov zITI74733dVjF#M_F>FVsx$OL##h0pq8NAmU3Hnmg+SfFPt`wG&`s^2F^-mpNO&#A; z{2E@)jZJIaDmP<`Z;O^q%c})H`CXTSLRGfOBl9Y!gA%&z>c9VldvP6w|2kq@^3%vI zLHN>=h3~7WI+ls&>Jc06`dM(zQ2V3%E$9e^2Fhrn1cU2T!9%kVnKx0Fwf*_)q}P(Q zw4?A;XYye7nwTtsX8Hz-ZR9)gzk~nrN$5K>CkV)m59t5*4gSn5>;|0d%*FtHLnAH# z&_0ZRk`i(b;T9dK!8dF$@s|ztYH41}UaX*nI&M zd1>m((|no$G$ncciR~;d(5cm=!>nY z@^VqWs;DTu>LwOX6X!$5%fajQX#gmI$lL0ugE+gk7M3rFN?guFh2w1Xn>1IxOCQ9UqS>{i z8P?RnkaBDl06?k;4%iBG8oTJfXv(Y@!6Hgv`!DV(k`4Wx8$A`4Vc9}xtP3%bwjvLMT*4X@*0R96TFPfcc$qP2Mzlj9 zd-i=*>wT4kze2S)zUs>YdnQoAw!it7KHPevc{dey0o`)7F_XobW%p+2=hM!Bt*)EL zwBa41FZMofhwH{NxbCOQm+Sk6L2ZtMIidcIJK6pmXTq}_<-4k**q%Z&$JE71^6DpQM{am91rD-ub#J#w_TNPIMPL+RO7Rbw%GJ>g$>?llFEz z9!_+%6#;Hn8l!#yh3qkhey!#m$K`AcwT!7f?m9;asL;VW$D@g0o=`d9q;dbd?tx6w z!$oSF)ttd;;Yxac;03LAEKnmS9kKLCK-e!-|FSv|-hO%%UyF5HPuTc%k#;Ay&o3~V z6Nx(kEQ=F~J!U}A)T6lx0vhqAMvL7qP&s%ri26q_RpD1`3-%%t*3H~+J3aHRjviq8 z7VsAB)ixT?%tptNHYn54>F3<9Y0pAyGV^0Rn_YrgH|^P))o&Mo&vW1IbA8=IoQouA)*{@2&6Jv5{?)+TV8`%q>t*Z2X@);wS0^NGpe}RvF@z4GR-b{Iu_Bl62NYXPL zx;0U$#qLo!YwFQrBi0a`vB6TrQ-I4{DQ{B^mZBOUOlCkG;Av}7-uMN0E6M?LC@iCk zKXoE5cdN>{fpD=VyA8U^ioE3S4q|jR%-d54nv6tGmc?5U?oHf`mkZ%EFw7&-cnFu&QlGNNcH2QzwzQF9P=a$~&H1Gh55 z`6Xg@m}-oW?n5Zh+Fcs)j!~RM?OS?BviwyO$wkn*SW+0GLp6wAp}BzE5jv20!TE2V ze5hhc`V`s=Uyzz{B{(xZd7d{X*ZI+GwOL|zQHCO=zxak&%$Vay6yc5VqeWA=iIEaW zj4&q-%4O2ACEmKP2FJ4KyZ$!s4!)4LmE2S?XYf)E3ASzQ$LeM-6lnT5^%FcG$hrt9 zGZD%|Qg67Geht%@8%)q(Tc5`vDldBHn+(@{L@J?<$u;@RCf>*90jVv#(IPYuQc#+< zd59&`+vnQ2gZzxbIkP3G`^gw3ktJNh-)6{;wyygmOVrJNAu&t#GwBDPr874mBFP*i8WWOBNqK?hL6cqDevnI$ zg?M1*7ANdsjHyDisX`oYCQ2L{Zkq57jW-{b^{`=yB5Pney0aN;=h!aPpljBy+sMVp z{P=vY*dxO#W&}InTxiDY(>%Sc{1SOlo6sF|rMxqeW}Yl5q^$E2oO3$5D^(trGIWd6RDg$ekuCnVuI=dojEE9GU*?T_!JTq)@{ zmjPXbGiYwzJJyVhx%)yx22Ua!Fs)(fkykqU8&ZeiQVV0TA{%1?Vl#K0nFBSS4t0Hh z-LEC|h5~3>>#LnaUaD|M*Xr)FKoH9*@d(a>lC7Igf-5Tm@D5pTNV7M->70FGaojrY zmdr?dS)}Y@NB_qDlVWzz?iIBn+Q+5ZxpU&wd0y+n?7`-_TMhm|-VvOQgL`Eyq5A^y zIB5w(PIN<&vUKz07#IRK+%6FkfxqzimlZ2=@bqU01s6!{{z=7}2^zLrO*HcYCX2F< zB5TvWC#AQ|k2eSoSjVIs2#%Wqi2&H7yG!W`uRFx{UnhG?_`eV&xBR;J zu?Ik&ZZITx(yn)iS;`V;vMsZ8V{&+j-WQ~1uyqg1c1ukcFLlSTBm5M2A~dwR#V&L zqy?66phns~<19MowOe?4%8Y92a2`HHr_e-2j40Y89`9AV$CJC;1zPyRx}J(>qB>&z zdV)G4G$-e%dq#Ruj40g`67N+tnjPtLH}%XLT=8#~VqT-);>EvTZcm}-^$4*0eTXH2 znWJ;v*Y%_kvj5i+GK^4=A{k z5vd1gg=oILOXb)GW%kB#2EJsc6PG^CKnd~Bpz|w&)7~)oKS)sN^JN6-9_o^JHDcEL zBniUsyy8kmZxCp&*oJ+%nKyiQM6(LV7Y+_zw`_WP%EIWC*dv~QHQzzNd6ARs$x0QT z`TT2Oe~XbFIquHYj_9_zkT4Q|ElV0rb5NnEsTsq@ zAtTKy#M;E65XAY)+C-AYJ_DEJ2xDj)#l`J`s{y;xt==9=*E+Qq`)v4}%4(+(>VO?! z#spw(7qC6-fVxvlOjnt26x*0H_C9KWeRym^{5{WZSjR8K0iza~?&4NcYwLMj*X;3B zaJlEq0;a3OvGcHZ$MR-{UcWdMWyJ9mK+2V_2BRULyfXK84#2 za%mNN7+7!Bq#{GisWNho^+vezlKT1?+2o{nXZz4<%_xb;2>#bMD^Y%H#0nVKwU5`q zs=Q)y-BR5{A<=4i3L{jyr$nvNq*q;lK5RXmv07gjvW=fdBAzmCBx@kwe1YvC`Xx)_ z1@%n!#mh_L{dbQQ;YAmgvzC&S3jxHzD>^TG@o*?x7DOl}M3M@UIX!9*uJei9K>-qK zw|o7=kNE_M6w;{KluT5272PX&Uqa_n#f=&djM}rw)hmb6HU3(*+7kc)GWM$`zHNcP@C(Jm>OQd1x@ zgwwd}4T{bONGzS0mJS6yx)hwECI*{P9J5R1f($Mu4&ulbjAHvsaWm>TDg1Et<-g?3 zHLI{_E;4CW8#D)1YNP>v=SIiN(;+!+b;V+bQ+`RH}m2}KF0$Em9uRk3@ZSd+_b{BM^v#gIw2KfVH@1OBw z?u&0keV}LsQm+8l)F#_8_bBfYhO1K$LZJ<$$#Mj8y_@i@cfA9cHjWx5KPq^>`)dq* zCa#xF5S>XJq1u!jFU&D9rbmML7>$ohxgb~IU$P~6Wfd81&+e0=UJ;tHaz>D_NKWXN zhkIW^pm(hl3|$7d!>$Q3X^lRETF*S_qMiLTT*(=0zKe6tmVUXZgDiyFF@t{5rkU|0 zPSE)Q^s9_C#?umFgm#3o(1Jq@7;602aB7TuhFUWdZ!hHKK0kTu51XFqe0^;h#3eyOy(CKt#}!&bzSiJMOJhe5!Y+a+s>}kHflMgm8pJ;@5~i3F z)|Eipoy_e*yI#+czv~s$f+>c@>^)Z`FfD#FfElwkD85@H{d*=VYnZNn>tIzj_d-aIDy7ttrSvHNM<@5x?g#>GN#W?D zYrG`aaX|U}-P1EBbzEG7x$O3UDJ;4Ke$AEvwA(U^SCXLwkVhGzphk#FZ zY|#;xc+g@okxeZUMMb#Gy)T4Nk;VvEanIFQSQqwcafp|E#PrD$EEyhGm@wykOj7T? zUdBHVu925eQW{7Np!nyJ?74`yC*2Z|?Smnn@@P2}=Z`Qym4W{Bf-t!<9GM-enYuTX zA~y0y(|W!obu6ksC<$l(g6L9(J>$|P!DPWI1bI1yb|#o$j_&LB)n#o1f7#TjgkngrJn+}+(ZxVu|p!Cito1b24{?oQ*u z-8Hzo1a}K?I?3MenKReShnXJ$-TgdOYpq&Ub>B43PmBd^&1|`svK+$~;?#&q4$LZ9 z#+?`F%0G9F;RTQ${h?pUI0Q}U&jHM)=X*2t#)cd-?XTkpRUs32CaN+50ABU)9P`%}n`0V9yq`5`idRsJ zGc87HSTxwaj0TrS$f*IeoZG%jGjDa3mSVDrFQ(#fuXCib-&!C^?z6^*Iw z((ekefeWztTFB@>@LkD%($DrZFN$ldwh;!z>URooU=Pv2LgPuXA9b^HCxTXXc%$q~Vfrys zTDcNKmFJ^N|EU3z1~{7CrTfJ12A9Jqsu~mKdG1*t8|1}4Ft}@Ho)5@j0SAibwp70_ z3(cD)@N|ShJvuF?U;sH&Cyp_19liB~kbM~gp?e~o|7F$!YYi_r`|8i)>uuul8af<+ zbz91cjjx~AusNL*F}xsKDFP%0GwTQe>(O2RGRq`W@J+}PxPVyWcsj1h+ zK@N)EuyA!cZ43z4MSPNe_XR^_s|nC!$w1|CYowB^7 z&x9}fjRUudt1QTV=Q4Qp?&~74XwTo@NmRO?Gy}wo!iFr%9UVoEyrIFA_}C;uge$jM z`3NC6IeRo@;J? zwYda43f^Jru)n;+AnG|`X6r=p#cGz)alNg%v7|P{fwvRpqFF;J0>dlnP0h<9Rg7(b zU^xg$vd2)Wk_~d~#^dsaW&Uu^t1f(@3i;pAX2^~H$0^s)#jLm1m^O;mZeClVf}4DX z!4856w+*g}xHtM*dvJ|H);8qosB=&IyOLyfT>LOQcbufub?Q^t$-?-33yFSEo~h74vl?ixczEPuKs zU<7;0a?W8NjM*_@*~#+dTv8J_W=AU`jcEVb=FeMo3H z6{0K(0Ii^5EU`)gpLWESf)+Xljz5j;py#jkGnj23$`f(M%RKVo5`7j-oT;;uqanEa z2IgJy8=M*2=oFg;Hh(-%V@n&j4BRv$d{P-5CyT;I%$i|OV*xO@NiNoB^yCW$LKPAg z2M=g{L;!x+I1ChzhQ$>2!VZOK8Np(SeVMbY}ii zVDnQfx@q>u4EPdG;GBN!5(>(o&$zw#v5B@UW^{HjfRvDVHc8Eqqy{ePuKx)g1}UY2EOj zVeu88@y)dZcd_+d@jG_h$phx>X?m~WP$jprh$kuiE00+vwaO(p$wsQ%EPh9$VcgzJ z<9X(7a?u25`*+3T-YVp?p$j`IzKT@+{XC)+85CxqA%)oih$Acv9&B~q!&P5#)O4*r{^O7(V6Q83i z+*<}y={{Qqd+NKp$%<3zcBbu}HvtvZYck45L2ITCujD(%3r$s5t$`K?Q;uCLI?ea# z8ppL3fk8Ol#9}B6vdR3M$w}>L1vAxHr=)K+wHCisQ7PXBP{lqq&y~!_xm2HSfr;ZN z?Sm7o`limr5Bw+V-T<|G6Hqd?KF@^q3}U;6CAf6L_H4L(4Rb|(JlBcbN@IHPaQXPP z68#LaxQ*E0>x?}?gkr5S@2&{&LVQj)t8pt343LH=^#oTD2dkgT?EX5w1qDbQ)yT`4 zquDnNH=DlQ_Z>$ZwIT94h*H5zO?<7+J&?g$?2Pc#l}9T4{c-4rgwabE&TMs2I`+PU z1MvxOx^=-U1bnbi^hrIh!oQjpUeE-nO&LGaC@e-B&3p8$H}Z=9Q8u&RE||ief@gWJ47Nx4d~B8p3%;jY1la)g zQHH`nV$Wtj58{2g=hX_ep1^`al{SKrTN?l7FTg@@YRPv23qoPJ=Is#TQR@NxSWe39c1kj)3wbtX+B zcgO&OEMVY7NZCRsg_kQ#2gm-00<V6`U5||x(crGIV7?7E=zqY0!p@4sx`*=km zAeLd)KE>6aDn5*be1+jTP%)R=sfc5C#W6Cw7|GznzdQ>As2NjfmsO8w?uVae(d{3c zwiK_VQ5E&;qy5GupZUmlE}uN4m+eTXiSzu5*u=&C!AO2rt0M=s*tB#GqIg}0{kgfG z_c{NBTefvq$&i%q*eS~m7|+FhF-x~m04*N>ykj~>9y1-w)9dYOh75R*=jpv0McHT$ z(68gsBceW<_&ejBEWFIV&>#6o8S5(`{Sr6}8}1Sb2>F1Blw}VKAR3wenkdpYN^T)F z%oQcsHw2HwRT3Riys-s|<6D-ujfTq>VfXKF;bJ<=qWi6QzPc&Y;|CAHM% z-zGU&tG&C1>?^whBX!sp$`w_ZPwV}+jch&$3yx%5VS-mAs3}*V zSnP+nf4xKUCNlb41_}QASuDvLC7^+O-VuFlpX9_3D1M@BI<;A)bY|QB)71E^Cgd%2 z9(9G?b_ZfD#|qAe{)~eCHo3+bZr@lT2lB%T=~9WG;Vr0_c{MdzCshsK>5faj zQtnt=O*Vdr#!*L623*`$#z5QBB1`iVgVis6n8e6e!uyWE&(+|_2yw?la3~|AS7;Q= zsQzPV5{Lpoyd&6VAwYo(q&NLrnJLLBj#=SM4vv5e|4>aBp;aJ9yiy5H6gQ>QqjajH z8mm&1o)|Q_o8%z~*HWr>Oq?gs@%CKs;kYxdrfC6h+k@0>ecQa((ELG@2)@pVAR~;w zl682!movSRI%Xdq$(MQ|qo?$#4)1l2-@K=HHNo>%34Bt5dqoC_Z}a^I56M|a9R&f{ z1ZU>!iKGPcNgTh&ji0Ha;1Tyv`ZB;b?IG9VZR3<5mP zk;SMAb|4vXAe9+iRKtGxZQrOdvq}asDX0rZk#nG~Nm6-Oz@)2l?zTRh__b`vbxvqB z`Ev7&qNyu|pzKVD&7oo_FQk&d6a~@+OnDa73UT;2t^Z%tL~!@f7~5o*_%tnu&>9Bo zSd`G12#c*>#Oc=IQ!+D>ZBKm75T0uu6LE0mxWf)xN*iGC2qBKrUuc9THCiSXC(Qmr zjoixi+7KxZ`N8p-?gJMDXx*^ctJF>>%3^W`>hLqDK`!&7D5*i#K}3KS4Ib@kte_q( zCsC{$a$_dBkxx0_h7!(c$eOKUcAGM>(DU}#`u!;A$im5?f?xHJD;5Ki&!VzB#lgdk z2P0bj>sqFoSffOo_Ju^l$7^@_LyGPX?@f=1x->c<{=KsP*gtA>6Db#$X6m zI_ELRBq1+M3@x?e7H%M3CvLSW={&=Vq#333TiO~W8+LqAV~G(hp`E3ym^QoeeThFa-i&p&@YBV5+Iij~ zdWHMedN!1WM;X2WRzPo&re9M7U%AH#>vW{g7Jo85UovkA#{%giY3t3E59^(@o7nX^GrMdcKbk*|O^%3f;Jqd4UolxJ zt=(Jq*Ems}z?87J&1qd=83-+S0M#7AaF?Gn&bJ9r(If9%{Iw@SiaRnWHn_1t?ZGND ztl2cCeCRu`F3GqytpMoBH^PBwFhJ_?G_!8r_N-V*5U2*jaq(hlwQ^|(FQ$#QIdsiP++%cB)hkt5rRIX(M=RV=1z^h9 zZt}S0>R-%&=)k0;>#yKaz&u)PCg&BQ88itVzMmb*FJEQ!>s(5N@(ZZ4r&yhsI4(U2 zK*l*x9)0&uy%ycFO^Akg=Qa}n1>Lu*b?&uECy#4IP&aP>B5Z?uEu4%Oe_iP(NlAeV z-k&)7&3Ofd8cDe~ni`7ZzFN#2WrVgAURjc&LeI#fP8lY#;Q16BIIzycz@)R7nA+4y zb$A#h@~cC;eQoGUWN_%k+r3rL^CFbDE$tkp^d^vppdN6E(=94zDzt4_H5&Uhd39GV zsN%N;dw(7^Vw6?acf5`B1)L^enwih!E9i17bD<4oHj{KV74G?i?es!1 zp6&kn_2;`;=BkUA25ZfkpcldG8{zB8Yc8CtE5@I#4F01JBPV(5nJ2{h6Hpyade~DS z%(?@bIxBK#+(0aK-@^^P+D(1y8Nv2zen0+@DtjQy^v3lzr39_AspUCjg(QKNtvssnC9d zK#BNbOkHh49a6anx}+^K&_@ye&4@M#7Xno153LZYC!t7eBM42GCG5{rj@q~!?7y?x zUip_wotocCJ#!u?I(Fzzvr0EVJuWVy65)(`n~=24`&ZhV2sk!h$J;?>s!oHaLPe^gjU2<{m>2sgBiD zKV7T)=i3p-R|kc5UXw0kF6?pHMtuvMZ%w#01Uv6UJN*pw*l zvaDz1Q%8mH2C0)8H?OnT*na!Sgs)xg+c`jb@e3S$aTwwJ@llh_nw4g{N?i$lN9lxb zY~OMew17Yb=>-RC41=SQ#7pF82iND#pWqw4@FRKCJ6k z3^I^+UmPz612{)-;0FT6(4UUsX?-?dV zS#;sRV=T%O!StO;waL$PC)SDwiOXj}R}+*K>fz?v0pIbrRB*CGZJ-#Dh(Xzku1Kso)z#QK+uj%wF==aFJB)92F>|ux8EMRlkD#L z2(;T^MPq$(WLaNTJtVl+7O>}Y@RclPbsvCepv7t#y;XIXA4vW_7}*Vh={i>aji=67=LB(iN>)IDq5Nc-TB>*IjeKXST;cg8VCk|Qs?P!P4mJ$NBB@3Q8Mk^z_!>6_RChPA=(tyqu*Wub`0f)o0WJQ z-s?)lc38p!wY(R#{M!-ed!U5Dm=W)*SE`UM#TwT7MfVT_%S}NfMXO|9dK93Xx}|X( zMdpsyYAnUic>_0TV_!4{9lE;B&xixN!x{SXwwepuz*>Kqe(G{0j+o(-(&c}(iQI2} zg)w`M5Tt42A<5uquH;HGTgv8**Jp#GtDo=;J{$)>;U~p5lY@~E->Gev(z^Sg#ihRv z`55jV4c8jts#>vMrPZQz$tkMA0Z8_PD%akc_t=wY2;cOF63gi}Y@O|=s&bHti4D_@ zxDnd1;+>#!nH<+*LBEq_D7ezt#NQqZ<1t2l53jgJ+Q?fJT1Cf-Yrf1CXTegrEqW96 zXO?^(ba1|)X#aQGbnZ!Jf{dFl3Bn5v?fVqV4x80AuV{lCOF&5CXZCQsb zRHQtxvvu;HN&l43L9en3viqD0m}y&700KUAC!k1g5h!F2>5aPn3)wkx8ae5UAhyKa zp!rO~ZGzi9vcF5uoCK9ANunb>sA5x?r<5wWf($Rl*M31Q{8@mkFqO+#Y8*}a^CL8& z3F_akm&@qDSYt|pryP-OuARp|{Dpp$0te&FwRQYYwEie^0WgWIzv0EL`!>k``J#j- zK*Ku*lj(iWog_wJpDKw#Gt|G#}q~K)R;9~-H+_Z^wC4MWRgqps!=S*WH=`G z9L4>}e3Fmih6ZX3b|2`z(2r;@n7r61$;?|ao9L0sa)HhW{O~B7hsWIkw_pGIyvp+x za1i>E-y#ddv^q)!@Z?Bm21tRTb(^lKv#QGE(X-aiY|zwPUQ*;Bf0NC0l@4)i+zQth zF6d&dF@F6K^A*DlvK%>z$|vTlOT)#a%7w7)j;jaDJCNTg=%=Hv&ZeL&`tE^`X3Kh*?EvE^1po}X#_p|rMs%$d=%QP_m^miMbd8wnVU^kA_HA82_j1I?1;vF_R*L$ zpDi|+8@&2cJ3mx#oR>E&a-Zy~+Jl9NOvT(Nh!)?N{In3xHOj9&hhTq(xzSc(V)qXF z44!N`kSHMO&b@+Sr+y*KzbW9s!r>(FnGWW|0>6dM8Eo8L*f`2FwWRypD2j;O9vBW# zKrE!Po>Y`kZMu!c_K2dYt7fzpTNZY~Yq|p2+l`!#1olrn#%_n`H3H8+k3mF=yGuhv zLM~6`x5EgNv!KSFF_4U|(zIxyuw^H&o*)qy?FfawBLcTzJ~RgFN8=oE6&1MmjEkon z88S*di@R(w`Fz{Xyjfs0%n0{m>4}irkD4y>*HGKxK$3w1ct_}&J;}*P`@ZSED*T>X zkq;offW1lxIfjmF`i$lF%mU%S)#0Z;H4EhOy;H|P z)f+{w0?!O{HT37L=*}oBWoL;yL>G?~T(w#JkDpYY9fUXub#WokilQat7l+Wc z+8h|P_06~Tm{Kd+7ZFhZZP%To#`Fbe5g`r=>yYeGU$J8PKHmbcJ#VI&A;tg9mX#)w z*6zvz8xe5<^|PaJ-M4d`g_RI(lI2=Pzxx4R`Aepf7WYw9wmRx{hrT*p&rXtNfa6QA zGvg)*SKWAf=g^hXImssBe2tI;^-KAaGy9*2DLw8m#0-jx;3JlP6w>uG#`n87_H9 z27foT3?(=LzHX$gccq5P)P;QdB+7Mrqqs^)rEG=n^5w1hmzWYigYCiHviy@w=91ufIt!3-TGKxMX5+X)lB>KyMHr)OATM6$a$V1xyIzR0^w)AGSJ?4;_ z7|L&4Eu>qqf!1&IKXs~LM%W zrikR^b2^!Kh5mg#> z4{<^RKX0y$@=atzLT+$yiBBYPa2;tsy_)=)U8Ra}NP=|idp{*acfO$FheA7u^EnAX z)BiSNL5qHX{UD)48=4WE`}Mdegn=xqy!8k9oYA4zmTn#+I}n3ZF`&R%-h5yNk3kbumAD(|xW=10xsP zzHG$%RaArsQTt4)@+;wYWq;jysB~-&zXKO^!3R^nt5juWQNClZ<;@wURqYO#c_#ab z$Po=E!0l2B!&2OSQW)l`omJ0d5_`A~b}ko2hLrtiiaX_Lwj+IomC{ zu+L#Xq)jT)NAUFNP65=GcVuP%T{a!pEG*h0V*br@QH?!`n%yRu6SvaZ;Odrv5UDPT z%;_1LzM(cP9N`z1oWM@6y~1-XwcBiP?q$n9$!+^r4`R$TS!;UN=aAV*>fW%39OQ$6 zhH8Qvl7t+YP2vjEaMS0e5O9x+2I1_-8yZn%)gib!>?mXB2tg_4uZ8V`$~i1UyNmqK z9s{_sw=Y!8p;I-cgI2{9war!pZ@Ob|_6h7fVXAI{HvW?n zDwYwvW<8rB**22L=Y3?D;#1`PdmbYQmLavUG6AKqvPlcX3OvX1*~8fcl^24#CM~AX zn&Xcc8VR+KR4kj{rkWR@2Upg(hKGb}ubu{Z<2wznA1IwHRc@kPlI^1uSGi7)$B@KF zo3hxvdLo!KLZqyNxo0!X5kKF%HmB&wq5kU}bjI|qwTUx+g6b-|1h#i$g-TMc00Q?r$p^`(>u7*h*WPSL35SVY9h zlBS+Hnapg)CqvJV=EKoUT&zb8T{4khc*^YSHfflU!3Bu|~ z%UDpin7P-AF6}kK>a(ad4?Ts3anZAt5()M zPVU`P2$$JUER#HO(t|#A68xhZlLyzW_cQNyt$Lkevxn#;^Jda3CTe!?kBi(M$CHdc zP`|S6v0fIbx5mi41A~w^j>J^m!$xB#1Pr7}Ozs-w;hWXby6DgN+wW#NxtNU^p1Y|y zEh&O&6=_DZIjD6bB%fKQ_+{-}7o=FuP*52gVSMfnvx|${u^)CKSu&=cjCAcn-65+>C{>tDb5O+x8uJUt1Qj&w@;t;qqr$Dzis{omUT6jc@3rcd(n*Yc6RT*=)6^o!{9;&cIG6j8nQv zCTc4%d9ln$teLbebE2_~dHpa9YJs*>SoU_WR&n1TKVvp}otI>eV*mL|3=8#qWzE}6 zQdH8~?$+)A>n9)ruPb9Y(90ur{WzMt`J_LiX<2%A5KzEI~yNC>pc zB)(LgzWQP~XDK(i65TN8~u4*i5ZPD}zm2$a@`ydLfhK#MN%2r$KJ^r{O zuzSFn8mlnQR8vk${mhpGVyuF$q9Sq)?gfeN$KI<~s*R8Lzz6Y)1-^&giW1wk zE}PZ3>o4n z3PaQNl`mPxBgK@v%GmJpg;3m`U$u>_SQoxG<=+r%D^{k3*!{Y+<$Y6AS*e!Pl=G{qTn3XG(CjM2YFj^ZQD!Dqu@x&=$+9arB4^LVWobyUwL!QR zHb2!~i)dqp7?mVYqJIyZ>l9n;OhOMkUP*0TaXU4Xjr2hFnyzEFog!Q}=c33+G#zj{ zXfpDBy(GY5%FlsW(JQD48{6zy6ZB4cCi-PA%=85F`tCQUFC!Sm@E1tfK9;5|87+8y z$&x5RwZeN(Ka1>mB#xcAW~UY%aF^ES)RK={tna9L{FEo zl3-(Tn@!b(X4$}o)M0rfDk~IZcxCsE?KM}7kulcylE3k(2<1h%aRB-Gmv1csO>Mt)5a^;`dX>nJb!+>5XxaNG%1-Ca z!FxQ_u1Mb3JSjqug=pqC#d&-BcT+c&wyY3-izT#4)SrJWL=e2-S_`ouZ|RHm*^SCt# zOL#wf?}3=;lWcz<1;P?){|}b%miZ|hR>mjU*=FjK;k6pA+=lzjGC!B^{U7h~ssqK}Cd4$!C2O*7Ix6Yo}e5 z+mKZaAyJz_j(O47Gi{0ek%l1BSxvI<)#@E9yS1u1(?IFA=r3+A9M~qP=VC zI)^wYJY*DHPC^-Yd`fnk-|4XVpT5@XK?cfXubkWma^^THwpmB*!y{B-Hq0ZCvYkd_ zvbK_~qdF%)2TYTGmKfgiWrliKAS)#idSqc2YI2m01vlbw`dyX4J%pc*^ zK#}oze4Vqbwa8Y*m;;0)oTm&llFXp0ue8dFV@Ht1izT=M2ZE?Hk~3hL_zY17c8*oX za{#I^L}Fk`#>t_7=m%>`_>T*fYiI3lv05HpF9xw?4>1L^X|)I0yM6 zde0madrV^rlv0dMqa)FRE6xGIB+^OcXeNz9-$p;G8iwwesQ!#vc1rjV=O-5-zdmZF z`ncTCX?M!H_%(tjxfFlZlJ!KT=hm0LW)rRGoKP0VVOR_vq?&EH0=cIACqu|FznXW#i6h&NHRO^$m2=QASP~}ZNqIux~Pl&ja=p?1%;JiEZ96eXH&&yf-f|9E@BP-d))lUC) z5Yd3}N5%9&7m$M}<|ZgS48Y1($C(QKsuD!w17Xm}20!s%AYmCJzl@VBzMuqG91dewt3|d%gj7I%{&IqEm?u?J4F=VP z@;s3A4S3wCHrkLP@ATYHIqrF!I8RmLTk>XjZ}q^{W&=Eh{6V)AV{M^DF4AB=Eh&T% z3pjvNePcV%pLD{sZVM}X)afZ#j@8h=``lBiOdEXAr^sf_wj=DD@ z6C6`Bx@b=8r!MmK(6ChON1rGU;n4X1K)%on2%5VUz2_D(^ocjfS=rfRHJ#RJd%0$J zHd^40&TO>1GX83bF#l?ayu31pvyFSR2Rg3qUt%#O{{3&npTE${ z(J$@5o0s?5A@9PMd1E{rRDi)^;AybkB+pR>OP+Gqz@0@A(*p z!Rd7n_|Ojb9EBtdmA3C@9#RuQ)dr8?O+Q}0h;i-@q%a2rDU2-oZu}6*tXb<=$-l|gAY=>$6;ve(`W-oY9_Ug_Y`U<-)0=FB9^MkNL%Aw(<6h5rV z|G!qE8KJ}QDh%k4R*61oG45jDz2PR?l8l=rM^vI`?P4um`*i(g|Hn$?2m8B4_rF#m zeO)Efw)bbaJmJg4!k)i!BJpW?3u+z(G?10(MfKc$vKIx5qr=7e<+Ichh*H==I&afL z+3UsO-*og5g2N7llF}YTm7@fEWDKo&DF-`=5x!=PA6OT^qObYiN+JWrg>ZVQ*tv$A zUUR9piZmywx4%xJ1(1^{aSV6fC6ay-yXmZ7jOiD}4|YbsqdW8C$FHZnZqoUkH3f4UNKI`xb8^G9AKieLE4x) z<(P7K-0PypMKXTPT3gjMGdlf4N3jnYCBXLed(2~Kh5?fIMGpR^m)cFo;gLN;b#YKT z?fK+g`{KhmZtnUcI1TNk2&Zh` zpqZgj)*nD7xYjnuX$Oc{HY+=4SYv+ejUUqO8@T(#TKiI*HqXIzJWlKDNn5L9Gw~+; z)@^s|CBXKsq_ap-7xkOX*~)Z(i$+}?qfxY&T@A4uS644SP5X0gzT;N+=!&Jfs8xsp z&M@~?rsK&!O;NV{ODYIgs8iUp`bSd~mMiN@onrSXs)4d<>-nYXe>6o0{|}lXvwxZ* zQIMtx8Kf!NY%n}RXqWBt0%?l66eYcLDRUt;QD2mp4G1ldRR9Zqy=PCoQn%hoZmP_?rmf81hI}^Gd0;ojjNg5L*7(|2hrvpWzw*NL0L8p%%^l> zdV|WkXsXT zEo-TkMRwHUK$0DibGut)D@{O#5o7o;k=OCL)SwW5i_~)D8P6Fh2v;~z*uxn!sNdug zu!I2qF5PqSs<+2F_sDj-@x}Dl%D8^z#uvf=Xo^s^9m@V_id+clSS1xM38(Wz`@&fG zqiqih7a7y6y+E2G{{L!KuIpLp^z`utcu|Yw zvj3Lxs`B=oZAm!E;q#2|>FIy5g$qI-SLcTQVhfLF7E=`zO5`Iu_3)c-CPfFjt&Q7e zX*w@Jo+7$-Gdz%|sJhCTi>kx;TdV8glWprCPmwY5X=5sd7RVn4H~sm~a}61wXfE%& z-)ku>NsIA{Ja$!NIlD->0-1_bK&B#EKcSPe!~dF!YFf!D*XT0aGe-+7i-OOPFk=u4 z_z=;B>x62N8xhCvL~BCR89D&|QvwQ*HuO%%G|da;(Mq!K%G9>>zq8Ab z68DvEdMWUpjCo#YQ$#xwqyRB@9C*Bw8gbAN&M7yELMf`!;DxL&oMOumZ_t8nV!p?D z5$xrzCIcD~N_gFH9No?3mCZjzkerbA{GW&=n;@08F2Io5*@r=JP`Pg|bKDZ}rW`Q+ zbgc1l59l8eC*fiEzB6_$i>R9}5i13dG_2*tgx9cO8&^ReZP~w=?qCbf`{a0{aNA~F zEb?RxHMFl9=^b-f#UJ^eBKBRgebctIk2W@_e)YgHhy?!r05GgBR8}^&(-kI4Cs8@7 zU;n}-$K}Uw$*ddNiSk!ebf))TQ4tvdn)u)3lS{b{@lRA##%rU-sel&Sgc1skiRnPh za7auhZ}#afkfWtLD0FYyE!^#1k3K?FPY5i-^7ZkzqPh2L<0!Th!Dyr}EyCK7AU)n1 zvfFW9;vZ3wYk_@OIT@idT+O78%Uo+$Yw9H{-sf}c3@H2y>rs|t^Y}tFkHQ>5RcyJ5 zYAKebd&+_tUTD=rNHHp;z#+(4#oN*d0^h{5UbTJRh;=!f6f0nIyAjZvZ^3cv9*5p62k*=SPht|IT_temW32v!f=CXnvq z{*Sq6$oh}Ds8si_xrnOBN%60_s97Uz&T6b%SMtB+qJJR6tlVkyq0*V52RRlrDn_aO zjCh-@IQu~S!QSvZT!pU;+ha5&mKaQi!9F*Hf4xOrL3d93tUd*d^29Jl#RucX8FX$>%zGuPISN+{{8lQpf&1U3UkALxN-Ei5W-f zqx3Xb_?qYZ*G5x=YDi?X2cv^_bU1@t~t&R5mVFhyMbTiT@&SerA zn>cc-@udZvN%yLQ0o~~KrE29me*TIKF4@jLvgs?vuIe#5^S;jDSnU!<#j!7!#@Ljq z=7dCl+(jheWFY%ck7yuam1k5+mEc5+h*yKc=B~et!tx&XI0#!H`5$!F&^pyW*^aGoj^I zAV_5d;c$zN%DrItfV8t29b1(pW0fP(cg&vU9|k&Au{x<{`U@O)k`ffH$| z#YyNX4XE^>=5qZXreUjgclKeSAZu7FXtGE037y#l29Y`IEufeVK7`Mj-(YWcsa)~1 z{d#QTH*)R-NsQv4v3_0o#G~DQdmA8UW)t)FN5!mS2Xykt!)SIQmJX5qyj%sMtI{L@34%M)LFM!tqBR~Vge1sR@{ zgCEb<^kE(QTO z`|UIu_SC}liaMzqhBxvpMylA?FrnE#C$PZeK+MJvE%l`^r!S5Mt zqI;MD3gupu7#5)vFaVL``U9;3#N#@`ca1$207d(fmM_~vzefYFc0 zJipC#b~YDu43!JIwa?fqkwl>gHLx9<3GOp`Ki#n1^hD_4S|eCkd~K)AfpldU!##p z&Q8I*0wOo((me)iU9Bo#1nQhb>R8YL$nEOZ6xaXLDqxj3Q(Ud)Cy@2Pb1*Gg^k#?A zb4U#Ab@B?M%}-;Yd*f|jnB`PGr(Ao%G83`$T2o&m5RYHBZ_`4{-i($~x|Tz|)pnX) zDC2jVBm@`pdmapB2;E)x?~=shWpF&nZ9*be(hVr;c$p>T%dDZF)Z4M}}he zQIdUN2+vZoyu`TS1{ftrqus6foI?$q)?)DGOj(Y zblO0ibu!6&av%xWGh~#lBjYN7lFglPc~U3hYz>=ZwQil^t?6U=MI1Dqi_)tb@v8so z#!=Auz3zf8p=wU=OC2_O+&I@M*r3p&rrQW^9} z^4c+Pmf6>YS7N&QIle+8YEv!6#9BV~4@c4iEl_jCx>Q+l{lM8li$TLCi#8DDkmwKP z@E7C0`n$^`5%bZtl^}NOTS?r>d1?IELnX@sN3W}CYi0aob3-k%Gy->*jXYMOjJ-uz5gFS)QK@*e!=ejKl*m9N)c z+r0XXu;99Ls6=E=@O}jC8U0zg%kUK@!!HOXI$sIg*Lh&NCw*De^CR|};6>_4rI$Gb ztKLqMj{4`j`cpbW0Ty;HJngCRb3kyI#L^Vn zu_V;dHilpq=`Br}!4+vRUW4uGkK^xp=T?iwXB`aiFKnS73D!zUNk5AK})A;8KX-{S7R` z4rFP^ZZKK8El47imHEOLMJfI+LVT`tvIU3h3Zoh5a$2s2NA?R|pRNWRc0 z(2lJn1kBTx%%3W^IYy83HqyGCXf%56TUqj3yVlGXXoKFCTQn9H8lqfOJ$(g@2|zc+ z6z*4dGLSy}J5<3ako=WXSu&-D>&e&ox*V-XpZ|C&o)3Ua3O~x)m~3k3_wWJ0@0q@- zdPMtcX8u-aQ)cE9b04$&ZwXCg`0Jki0zzG~v@g_?o=SRoFh!>PEh)T85(|MRHg{W| z&$c8-{>?AnsI9&lP3|2W4*kZh)UuWdtRC`3bZt@()RtF#b6Wk(RdnF%`_;PFAE&2J zvm^`tl>oMW(D%*w>iu`%1;bwFT{wVqR3+udcahk9T|k1tbZ?UQ{5|vxVqh1FEy7Ww zc)jB-wPI{$Wl}@!V!3H>yK;!HJKJkTQnOF|XazDf_I!jG9gBmO>*nbPGN6lk2Y2ch zf%0btkuI5jz`QC(xxTc&;9k z7t%Va_A+95Y z%nhARg+sOnI+fF7qv2B|Z zCllMo#1q@L?Gx*s_q%u9f8A$QRd?^b_C8h5Q@aY@cKig1oD>;?-T$~0IINyKTTzQU za8uPM-YA(lnu3096TtiJ(t;x%VH2K;nT7Je?&);V8(?hsWE>n$kK=Y#2)Z<=hq*IB{lDHxr@Pp;FFt?0QI&Dl##d#p_b zPS;!YdOX3a`fR4CmPvgdPCL=~R3=z1lu3~t1Ft5hIj&GYaKk+zuO>I%I!D_R99!r> zwIUSXU|0rgD{ae(T3k+E;uKuYZV+Yo`lEq~=SYgU(5+QxcOQ&*YRhI0qPpW}xGZ*i` zH*Y;5dn9JElqbdwpF2+ZQPk&jBY?XX(Ba=W!>fcM&XXE@9$Kuc2Q9N+m)@=d9pHiM ze(or@nV|epH-R|pX>4>f>gX!#HZ`$Ers4pZeaFn>BL-Oo1 zhd%U*?Pna5ZuOmg#>KbH_`>geY}piNqn9E!s#<8Ox(J6FqWqjb&# z=A>QcH;B>P4c40DjhErzj+mZyg8`2q)|o+~Em0uuMMHyeRLc$ft|LnRu+ll6>82a* zhe;c$1L|7bOvW{ZWmxWn?aJdd!6%MUV~?-!>oV2@UbV8+O?f zN!NV6!Ly7DS1&+*;k?fjxc-x=d)EpXUSa7}R7x|1nT&x8AXu{;Orz)X8&3XWzv0dt z({>gKDyL`|6Daf#Lq)-?$!84fmxXvq)}>93oBrICr0Y9jJ0+nK^W=;=;8M*?&RP3a z7nJsM2n6)oG6E(eEZbU2FXO_ZH`%#>(=(ZUJML)I|N5uL;bhsC z2FbRNBY*U27vWnkhS<9p}iRn;pn=fdpB(V&8c8`HAy8q;@PR5`fR z<1tyqRvJ7pNZShjg}|a?&%*{&SvH!*)GbID1^V|F^5*1qSF|!Wx8ZlbX?iMV6a5Tdtk%CDTS2 zPtmvVA8Hu<+=$~`4IOOoM;dSTF@KnT6LRL$I(|`?jT1m$yYt;fb0%w7Mm54Hiz?u?)41bBx^tQpr>G1~_X z8%^I`(boTD1Ne9(XS_(>zoY5I_rhC;VKV5nIV_D#T#0vt_$^Zb5$)#_NKep5)mO3v ztJcw&NAbQMU;mY|efIc!h>gD?Jn3Q?ZTqslM{-d`Uvqvwh_(*hPs<5>gR^(%>Rl7` zddR-5mE$1+#&3LT_$CGWFUK~~eWKlHdVDNET_4P7wzd*&d~HO|Xy&@|jdZ`B0`7A@ za*=$SM>@(62Xe&>ZM8R^KgfWKGh&7xpAYl-wKALf?xvBTK|>mG*D={^#c*KwXO%Do za5163pPKoZ3b=Sn#m&?e-2H0OThx>?9oB8-Ve@ogbu4a}lI3uiLk?Vw|Ds$vY2g4a z_BKGecc(b8o%nxN(yMNt6TP05TRLyr+rjxR`iz`%sYZo#kLhSG3g%m!RIc)(HVFWK zo>Vfs``jv@HRso2w{92|t31tU4u7D+biYyq7n8ZAh|_(YPb$%6r%?S$K?7jw{57Ei z9Z-E$k-5q+6aUrf?x_pZ>RIHZvNBxmNkAF6oYymji0aebAaPRZR_x}l+pK+33F^!2 zceF_$ZdhE)uPSukH>0_HwaQWH^Z~kL>K``Cnw(;Wo}JF9YM?z^Er*G7BUOEStvH)A zsg1YAe6_L~ZI?nth4*dlISR|^(S-ALJpyjDx3(brHkbCo{vH#+e?1HK+Jv=l=L0S# zo%1ie)VQBirfF=c0uB~tG#P7spDIDVH2#g^=xIIDuGCB_BSskIw!&C%<;ZR{LKc&P^CzOZ;C>4L8GX zdJwVA3?gXsQbMthY3rh=%PI4RJQd7--hNM|M8UfAD{F!>GhX?SU=kE^&?X}OzE*$- zXHznat(6!N3|ovPI$q60lcfe~BG=qFshs0pjSG8a?68Eiy(gZw_${R1QYy$bj}2Pj zd1V5!GId>gBigSg+Vg?Or@cXG+PGGZB&84FyO-4Eb(Cx8{_~Ue7S$K|UeUcR-5>uW zIpdz~T1|)b2i%h?jv-;GaRk3>Sj2s?b8$%%eXGY0h5YFg!^rm-riZzrs`loK54cQnbq@tT3I=yQPojbh!5 zUu5IX7&p@&-1&0YvWFe6RS+$uyKExLff{13(n@e{!+S0+#b*ZU{8``23;l^eYPae?V1}``s;i z@c2WhhPIp66?r?la%m{qeeGLRwMITs;_z0S)sCjTEZxwJC5N-i5HC zR#HA}F`m4N36TJn_6n-o(+)4R7N^X>=tf{~-xI>boHJh|(ACnU&nLPVt!b*GDOK!( z$+7y8UeM23n~0m%eA(!>aog2=x!=8Rp4+9}Z!%k|-RG}`f;6MhPB3uEH`PLs{@sl) zP1EVkP>W}NirI^4ib;h%*tecnaDwXiSpVlD)OE?bbvUKG7LE|0uNGg zgvGkH%b(H0y0E=8D(y|I=|l5FZ@O9V;hL0RV58H@Biix<^?;@1wc2oMfr?dB1!s>c zMkW0(W<&*3R+=7c_toF_tnyZb#C;i(v^UL}@g#IYn@Pts1C~IH=icHjMqB2{h&uPr z#L-GIf(k)!$;vv;G)y8|G2dQw?yyE^ugV@z(3H*Sn5N6

    T9Zi3 zDI^@_Dn zitSbCx3;c<-W*vBvNM`9dNtboo?_&AQJ{v$(L3w8E)`ay*d5)`V)U^3*Cc8#(LU|TuTI@*F` z8Eb7i$58~W2epc+UYPKgV-)!7?A>+iMt&jduL$OdM$v@{16<#G+SCHZ%)nk(V4F~1 zMYYbl!%fow);PRMOdwN~qtQW|-!O5BO_1A+5<)f0mio}?Z$dGs3U11iY@u?Lk>q)Y z(DX3wGr9i2q157`f$K^O)anfO87?EEU#xtt{P-vL2ws#B?f+UB-e(x&7iC~5zQgT` z9!e{Z(eUsv1Y2z;_rlMJ>h_6Yp}Q1tVvrX^d0gXFN5SiOkL#WsMo|9aLCJ@GwNT3a zwadoeszh}*-PanEKsfW4llq}fFta<>OD}^s!i+D7SaWuF6<%|oJR{-?s(Ssw1I8`T zQTiZ zX^EhVF>b}wDrZ@l1B0JyyyyNeN4>y&5Zd%%drSXe`!9WSdIBNTn00FJ7~N23o-KI4 z7giR#EOMLT!#kU%D6jW0sY$4g{Z<`d^ct{CJpM0B?YN%K(F2NA;7yIoYjUEIt4k^9 ziF+u+V@ev+X;DW-szm`z;>l;O?gR$)o@`D%#7qFva>HD!lJ3U3aAM#qK0P#du;>X7%KVQ~C7?x{` zfNdlz+_HFpSUD7|R0R))trV0I$C_@-ufM)MRqK>c?`(3d{4AR->UfL!#j2g5%@FqJ zoBkpe?5rIqx}<`F2r*2bsvm^&+O;p!t44POu!>a-cboMhw`xltaG)0!#S*1sXGQ)l zQE1rlfNnp9$`0l&G7*c%3R-bgDF;di*4h@E=^3?YDBa3!tSu_F+VshT)cQYEqHfl3 z=RuM(;L^?(`zgSJa(*oWQK-;T!Nt`tp=~CT7%omGsNGk37y?@+f`<`nN(kV(%uBhbAE$h?GHwT)%N&)O&vkt#|=^B zU^Rc`4|*b8>^YBN&-Z*HH{8r7yB9ESFJ{210jnXj8D^%P!22Qk6{4bd1@yJXt@@y>NNCyZhTYHP(KrJgOO#G_3192L=74IbQKF?@A zoHs^EQwSUxOWAhYc*EvxWNYmIc(@>|hvj7j?)P&NK6v)P7w9MAz1b>AERLkM@XEKY z|Bx@!=A`LM>e=ElaE)y8@4)M}spYzVPEOdyBvd9}2ee`(=SnP{ciQx#l!HZEYekH-w_3kX_4s zH~v&)d%cEch|txVL@$OB-08AJwEg;@L5bYo(?#wN;D4kQKL1*Q%FOKzn#W}B4`6lS z7Vc;_zoA>1^AUW!5r~_HcA}v0C_!n(?*~TWz53>DX%n(V3Od4*r!Ai_gYf<7i$k95BIX;DS!(4Ef2g zNMvrX5oWH)Vs;h%!S#)eduMx%F4WVp-PX`#WMfS?9u4%9uzo4j{hnSpvYA~LrH3Q< z7v~!?vt1t;WQMo51!(U7NZlW;D>yB3RAY$B%(P>;ICZn<mYrrxXphGE7mfH_NyysSmb#2PveDSn zBV(Y~yKT|2J4hvLP3kN~^`C%$&+yIO8VO56d+g^V6==aPPyx-s6dA6Bc6RJOkN`=I zm51X#Kf%aGHto$G#OGHt$l?_*3|p&-{(t-5xeCKswl4~tU|c5w**EMegUBGbp_$Ym zbp~ZM?6uJ$GES))rJb{RI3fb#AV}*HgcxNU9GD}dUk8Ik3ZX8uny8YL2fEhkLZZfV zYZ|$G0gSF@n2v5OF?i}pBRcwuc>Wib`Vh=`Dv}K0atALHqki_W`kb#Eedp;P$+{CC`eQ12{YER;u1nIw`+7m# zqOJ3Idf>?aX`Q;u{6x4-dAoi^={R3~morUJn`_^>b-K$2{%fV{{_rtpn z0}8ga{U%ss2hz(1vBy_VZbiiNN6n49tHraV z%5@To7=cGHUvZ#l3|0RvVhhS>au~P*}qZwlwJ|z}H(9mu`@rji-?fRCzC0zerha`EfIzKh7qp#Ml z`uL1tOc)Szf>M6DaLUS5xzz(GTJMGSoJzE6>~JB>0EOh(s_}o008A*s=!Vdm11X3# zJ~hQw75viG{1^4F?kk3Hm0E058mye;LJKSQ*GW(7O}B$C$m{n08F|6SM*{e*0k?p3 zTgS9=7`E?&A}}C;32u^&ECiF2AN_f9?JAwJD)PdGVOLP>-|~R&zi$z~@`16eP>->U zU|(fqbuVFgF+H|md^GZ4KC*fp2NpYG>O1?6X26s!OFS^lwwkw0VsDHprdLclc9D0R z+_+;t4nmUcW}7(MD!aRXDi>^zp{p`=@I84b9Wkzi95xF47-UlCP3$`9NN@bx9|P{a zhNRKT@EY#j6>CTfdjwu!Dy-OZ(QV8cuPA_*>LnL$*iydCt9NQXeBxuV=HZQA6_PxE zb^FgldCioLM&b@XA%L%3@5QZqgCI3MI5bM*a5#E_J}Czw3rt$4e=6gQ666cxcGLcn zI3+>=CW`Kp2I`YN;=#}(I!_KL=BMoIqrxKPmVyqvRpP83|3gwFy6-gXpaT)J#2Ok= z6+x61@h2g|*n_5E^i4{8i>6!@OM6S0>WAPp3~WVIxiekgU7Reh_00Qm$oD>E(?K0C zonaOx&c_9AJnu7&_bumU$8Aec@nwSN)b1g$7O@H2!4_a&wY;6a*6SAG7tQn?qhL>@ zEPAU0e)J_?{fb8X6ZQP534bpf@-}x^XjtxUK<=kU5+}&4QFv^8TTU#1%{yE^7bWi^yrFj~3|I$!6azxiYx2x@ z%#yOaNE|aNIMmw01o0-77O|QT{$BdQcuR(sUH*Y4M3sLyW(%`*JTkhKHKfu+zz`4k zMlY5d^|$&;63aGPIeIUs*Jff6o$uA5xCpiF3XAnNFQuv!HQ+Zmg-X(2$CUN6C~dOv zRWTGz>(M^7xc->9XeQY8e@1YUp)4}9`}nPc5*;5&s%w*J*&>~3*%4LmZpWFBhwm@o z&X|8CU|_~UFD9S!uvWeERDo1Bjy;%$VW&U*bm^B~RuDFZ+ONrbZVsX@kj-t%Xj)Jy z%%z2Qhg2!UzjEMaV5+k=6l$&=h67K&yOalkHu59?C3axiZfVZPPyU?f*%G{0Fy7|#$PD#;#T_u|-fD2?&b(B7&J!vp^%DTC_bvX{AI$y8xg~mZSEf6>>9fXt-}hwIF%P zO_KgjH4+){ZJ#R@yZ0{Sm7RpH)@Tv#*YV4xh#q3@C)DNu*P83p0t6xl(bu4nGK5wi zYX6KGKu2rAY>Di~gfK-cveUKNn7Z82!CC2gv{N9(ITHW+C-wd2qo~)$@`bDy;ObD+ zi`v%y6~1dDDaCg-Q3Oln9I$TwtqEM`4<=Fl(!WVDM3T8VF$^0fBO#cWGvz3sxJ(@n zVK;gB7YBdDWQLoDKlK6~L>t>|fXXb4mDD`nYubJr_RT%Q3y*-=vm@#9ycH!!Bn$7L zM^|&@a*EC3xBv~*^SH7}%Fb+t)}dX^OBjaKUhZ5^t7;E{@x{{%pJP^vH_>u+W+orU zWNr%2>~9x_1vKMO+}A()x4M?MQ}?f_*!phA4=5cI39B1-v=#qMO>y&eOi1f;XXY;0 zvK!bIox(RgySoha=x^|j!p1bYcEmQh`r6gH7;U(Nu#fQbyQw>GkbZa-AH$I&PCYrl zx9K|GH2klxJp&W}WtyqCj)f5@Z>~3fzwMlzPowER;nLEztMJRQ>$AvF%MAok-BnwQ zje!G}c!TWwlO3NtZb2~G4aD&UDT{V~QtgsD+37}b$#diR^60JNY+K?#-3!_qg8Azo z8z@YN0l&`_C&5a1Q;gvELj26fuh7V-Z^v)$<~N8M40@#^p?&h=z&qYr>iD&m-(B7a ziRjV&iwKGOykoF({juC&$7`>W2Acnbm7t=#8M|~|1`W0=0ttyKuP64$o)6X8VEkfB%=CKEcuG}i~ zPOb6>ltXN;CfCK97O-?4`w@cANWC!NK~hv9mOnIdWX?O_a;gW14${iA{@kV9f_C9@Y1_t1E;FA*8bOP(W%PxNI+odLL(;<+fTuC znRrVDFX2Br6M3*@?_pcQh4XJ2Nlv@3>OkVAoW=7GXP^_9&}{7SriNxWD7tur;0(qz z2SJ(kAv5oTfKm-r=;|~Zf0){XUyKCpRH3Q!szZb0cb#HJe5)SM%`HjvjgdIcc?cZ6 z(OMq{vx((C@G;haz@H$;{=tkd%>adz>B{VPUgA--LyDvdB3ducQ9C2^Yzmvi{mX0! zr#Rd>C!;Z~y}K(mhu=w!WW_9LAb;2Zc98lJfw%gWqZJH902z*h?$#r{cIc65Vf|Tw z(Hl9VMzi2wkEx}XAoVHWTB>^Vpmf?yX|dh-4OnGrBc*Id3@~%B=?al0Xq?j)>%$GY z%ZEzPz{7;yFC7`t{rS2%)=lfBpha_PZN{x zfl+YlCb#=xx8L-VjoeqJe4mCQql+bRk=j8|R5gl%&**x3 zxUr5Py)*Dr*_E0dNK;fy!JYcm@hoPPQb&I*vH2GlMMZ2ygZ3c5Az&;LIGSvqJ&C1Z96;TmZRHmZ2L-(>H&AGQR*B1>_x{~^?1Y|~8vofJV}DR+|2@ibAL z1c*8Z1^g%vuGH7{ZjsxpWGpHqv8&hhNH}Uk0;x8p&uWqRVW0b)$hinmh#qn;Xw_w; zJI>ay!3YWvI?G&TdG?y=nWJPlMVHBi^P(190#;am686AWQ=S1 zta&d=Xt!~cs&;^d8NOE`z+&e6T6;+fHl=^#5^)s^YYCiSLR1M+iH>r7*wrTUD&hcA zl!xvN-O-2vsT54ZuRPg7K@G>>QK#ATspsfA^V(Pfg@>1S>2l2vL7b?T?faXCS&SA5wT3BnYKz-4kpz(o)EMs z9`G5uUk$2J#H4`}oD0Eoz_uk*=y;_YzbvI@Hl0q*qyE`lLDU4b$CBuokaG~gZ3~Zd zzQyw9-(~YPj?U~p@+dr5_f^%0>;%~`kiKh-Y-fhd5&!jF9s%*CVu8sfJeNRa&Vgx!!UX$I7yP{)+l_jIaH68+Ut zH!(ygAuXgEh(H1V(cmR5MlvER_Vp$Kn$ZiB!~6F3_ys41bj!L@!27PDQRbsQk5{oI z&Gb0sCWiQJt%Kxmgbl`}#l9YVLFUYfonPnfG&_frW;ENjv%8xnNI{0q?K9`oP`(yG zQu_=PzNgmqc&D=NY8**3!heUP4PW<|C12-wM?v~&L-?n%-kL0PX-|#oXTs% zYVn&lq-WNRM^9|yaMl@*sClBwzImc+yI=p)uXVrAk<9Vr@oPCpmtHUBTc-VIJikZ^&-HS|9Ds^?RGCa7Qqr8e?wkZ{T=zvVc8NNd$Nvw*2qZ4e* zvDjUT)loQx@JF5``1N?`8wGdBFPC*9uKe4O5yxKZj!6|lhJtypkx?OV(!q&A9MbohQjTO^ZNHs&nLlPE0P%X^hqR6^!ft+ z8obP{UcmmEZrvOmdke=ri#@|ugNLO84ludB4$J0N$V3*3gYGoxtP3q#NhhKdef0zE zr3u^QQ36V-5^+GOkb`kh4Q9fMwnYEvdkkTDlh=$^uf>O;p{5L8{fP zt_C7P_3+!jg#tyjW|qNL7~8=ZO4Ee7evX0e99)c?hu>jvK_ATm?kP|yf>R}azX{_$CK=5OP^?f`D=wIpcVYF4jKIrL7# z%0byI4(GHH*^MV5G1LPdZ^U;GwQv%9S$50cE;tZ6`@m;qwHwn;Y+)Pn!om)Ffo{D~ zRI^F(&rbM(*TcJbx4Z+5Vu0P-n?@CsnIX z$Qo6Vs!0~JL&N)ObCrsr3%?V)p>(VZ>2%LRcO_FAUBbTIbfW5je5Z)r1lQQ3rT1eG z7?edaa-4lsowB<=9{t(v`F*_wnf)^-h4-n_e|T}^W;<(2RpW-`iSpZC%>mENy)@Vj zCi1Ig{NiPd2}CCqb+1}AywUGL5%%r;qr`8&9!!moUfj(%wkCYkIn00J;JrX;i)-}wJ@$=(GgDGklZ2NkQ?1C?!;^j7Y>Db zLn>bRBW2A1$cbi`r{mGNl6NT&Ll0U7#ilZP^_U*teDD30#^%KIlf9myIscWqI|5|- z?b4*TLE_IXjL)%%`cfRk2!3#+K#YlFCyriT*1HhB3B-!K!{&h=cHusLY zh#^wWL8a*1xsrZ~W%NZCapD<&$o+nV!L1%_nLovzJ*EO`oz?raJIR2BC@o=F3%C>m z@_%#;oVChXv-$GgnT1+Gqrg&7V{pgLK2B{Q(c(2{uLL(4(4Wl9#Q!pib6sC9A0!Cs z^;@Y@=c@r`QSOcnk0UXC426;gTfun-FX`%JsSvA~o5wZ`k3>fymD{VfYI+lr5>!N) zlRC^)OLA2uKD?B1bT{YRP!{JkPif@d8UVq>c`y$T&kxgjDCDUF7zV~gt;Inu8U{qI zANLWwGUHlE8Fg4a>l(S5{6yAM(-E?;%svfv+)?ah1_m0&h;DRt>+q)4z!0@Isj$qN zgA6(x;1DiwVBj2o)64ZM*`lAz_zb>+t9-Q=T-|nY7xVIpCrn(k2a4zgV)Ig(B|=yu6$c#W+v78Bm37d zNEz_W0{8!?eO@S;brkD%h-;Vz`GfCblnmucx>~Cz5W141CPBAouaxS?H1x00x?i#X zYz|2N#?LVGy5R;t2m`-b-;<}=`l2&!I{+sh8I>JDLdX*yL&GO^<;sPldVd$mBP=^$F4(Z%A1? zcF1>45dAOEXoK9!DqQd#HR(q}K9Oa4w5eT2z3l%;v^KW3InzjQm73As@5;m|!$uSq zrxUhLbYQ_$R#1@ND6F6gqqlk2{$_UIG77)fZO63kJ(}6&hqns&SgFD6ACXnJRv7)1 z$=FqZ8=Xg;FTEzSXLpkRUqY{#@Lv57BHF{)RH^1vIgBCdsrKLmDB@#E9{BZ(gNTD{ zBcd`rMB)?v`S>pjX+oYVpf}An*UNf>Dy)t$;HTaoKFCWb)AyCrI(lBPkOe>G z4n%)>5`wAp^3_l|2!ioSI$Z@WZ7(5M{=86+Tyc!VO<=>p8c2>78Un^o z*;#hi%eIfV;aB!SlFD@y8>#wnF8Q#qGX%%>Y`+(sXJR?4IKN{JEaFPFQNp`K*K8?G z*}y!{%w*v=vs*u$WN249P4Zc^#jNXCmWlGq_?a1#7<5 zV!h)gr0g5_b@Zlc_bP+Mq{OAxtkpym4OzULhZtk{nELi3ibv>-96j^x zRJC9k{2~vmxlIhM4E8?bV38#^B$ablR_{7Z_+evJ6EX&yRgNO53)Fe?=L+}};G$lv z4U~VW{f#`p5%WQ(z%-c}n=#t6FxyrU+d$IM9;q%kVq#W#x`o$D-1kw&Dx&k4s_a

    cy zl@BYkuZr2FW^Zq=*U?6sp>8-=kVgOszFa{bS)d?~f&{OG2y*q=nF})AE5!A-^=&EJ zX{yu|THVayOFjDe8i4F~|1YmBrIo3Jn$W)K8HaADGhK#H;K z$HTD^j1w|8jE6>GlpM7?(3OglO@OECiJfLfMCixS8_6aL8yiC5<8R^Pli=6n!i;QF zAjMQRgXK6Cm?ngJdK*}EdSQ<&Oq#p-R!QeIT^RM55O)SpFcZ~lX(2WX&xRCpAi!K! zA@y^GP(KgtCEu)M^#+RL=uK>*$(hTBz-D3GOgCQ`^$XzR@5Brl3#Hn&(eb3kWQ!mf z*1}?~Y5AKkCSM}e;qO__<`u3h+Cr9LOQizbLbFW$AB38kDNm|5;_|flJl;EI`Ikl~ z5yn~QF06tDBayY1kw}LqER(9g z#w%;M>kfnE5O)Q(vM<6~8SZ<-0Kc;m<@Bqtw73rr1Kbw36Q?6xPKLJHgRQgFN8$m)5}D2vr4E4OjXw}rOYcgG6gf|dnlOe*yPvqElyD!6V0 zZGtMWZZ@%XWAq=W8|OmTP2skJ6>aCTN^|EjU-u2XDUJOw{MstjwM;dN+pM=iitTI$ zN3i?eA*64^ZCbUDyGI^$&Qi&CLf;m>ysNxL)g%?Yd2AO~!79wAE!gxWaEqWr-YtSW z4B8Fl!;NIP1?LoY;F>$rc+z4r%m7)nN2+yK8}Go4$@l6~&Vc2#LvEiCT~r@pVz>TW@{ zv;w&DNyXi~D+=S=%^OyzHUBlBqBjKKPLYM1@ft=!!Lif%(t2P*gh_6oIx zb((kBWJ&Pru2jn&P`<2+{W@mTF|EFr;wnY zz{Y|{E^7G6@@;x%|8y*g)zw&@0Y#}0Jcl3+h1ObFV|f878h`|(!>^ZxQ955iiVQY` zG@M|(7BY0dk)n%0eLeziPG!$Wz)iAmg(;oR5Vs)&HW0j)XxnbclNOU<23U?vsn)HE zykdaKVIv){UeSovE8Yp2;xJq5NZf8PapBpUnx|_O(|e^PHAZ=`;;!q(yl~iNIXm~7FjLm3W0^FkZQqCwo=@Rdluv*m)r5^1;4m;^&5!z13~VxgTK0z z=zkD58v-{;;mxRY<>=ZtYbU^rs+Vu$tR2^k%0HClNYypX+bQCvU<`n9GO-?XiYvQ5hqo2US`5%rXqa25(HR|l1PEM5DF@*zX@j~Dd@eC?&6UhkqQ=z&0nr#v4AN1KS*g@CQ*Y&>F^`4ybd1mIGduHyrXU@zV z84JFQ-rc?5Glf46mC#@Po{Nt|_HK9n@8AEm^Ml+ILL}3FdQL=j(RjX(;z$LdsS{46 zk#%30X#(E|ZA<4=K>+4Np=wc*<+Q`YGLRg_HunhgBjawZWH_F?hV9SRhkiy*csARBf5^ZTSL znsH=eL$dIk@Q;7FiLu&_N^XS`;t*An@8GPLndO1bsUlL zZM{ZF?ycyrxr{V#7RI*+-#<)jK_vErQ#V@^yN``WEBwFQ#g{=zi`chEO>5K+@CTG1 z{eB|y-|YY&Z>)q=W;>+3HB4hx)Nxxp9$ayvm*}V@i22O5w2V}QTt@CNm(MTJV*k(z zquEri!kbQC$yK>7ap6UUWcmP=kSkX*()j3nB0IgucY8_)As)XZK zlkKR6m?CL5qKg^R-&j>|j`s@ag2$qQH_c773~Vfs{Ydc)j^Fg8mqhI9r?Z&!l!FPp zH#{yY+WbenYU36H7sDEt{tx3YZY9Tqub*ht;WRY)x|xuJG=VyYVi!}{rK+8 zAhDHSb6{}rkm>$;ntxRC@m*2sZh7ivc{~7KACqV1lCY#Rl>FJZ-TE$SiC(Gt1@RS2`kX0Z2mks!RF7&Zd#H(Vb`#>`7{=LT}w<%AKKEc(J<{-QSKSx!~iO-X>AXo#vNMLMO@uGO((& z59?kf_K+}}`lV6<3~eOLGcTP$g;ey!Si3CUw4Q`LxO0g>&#B1jKJ)u@(;^b~)$ag- zQmE*?KdbwZ-c{+?_P8AcN}wV^TlO`IiciVdmI}jBNMkC0-%d@*k=kgr zcz+I8)T%`_3k)5nXJDDheCN0=e}ED=m85Y+`OL^9P#P84N6S>;ipuDTB2Wqy-CLdi z0asLS=^+9=prTbD8On7WmZxKTzPk`8fr?JZdg$Yda-O|r6zbTw>LacwJIjTm(CYc| zEV!a-_DPOPOHI1tJ+3IBjZC8?lzuydP}KTGwev^j>;9R?Ww@dOXJpMEsg1>Y=7gfw zSZyV~rb!H*(8rs_;t55aAuSz+p1c&O#1-{Ibmk~zdMTk4R}>v(<-u(xZdf=9t&-hVf-B1Dc+x1;k3GR~MKwjnjzUd?gN3-F#1DIqLI<8i zi{py2u(BD27(KKIMO`2*8-;w8YJzb^r996DpAvnDT^#+_LBjSXHxr8LxE4nsVJfQV zJWD8QuFnYqiBeJMkLZuMqU`YP_JC$m5f>euSjP!cI#%Pgfk5I^lwMw_jVtP7(xOp_ zv%up6uBd_*!BMF4qc)+a)y!O@(t1^Rl2Fvi`qs#i5^k5WB@~tQJSTEwzS?S-2}S+7 zb|Z3>Hlkt)MI8^i;ACgVpMhZ%`;UdxSj*swN_X2$AVw+@Ugh-(SJclnCIq6Vq8YIV z%W*|1;4dKo4WNE~@EZw(W+EoXubPg<8_XimFDgpkFQA1hD)uNg3Z0&LsR&n8Y)p13+;Y|-v3Keng%qYYawHErvvEbW;Z1Ki+W)qmP?Rj*^oFAk@w%UIMcGil&^&~Y zXChEAI~}XSo8EA=WczV7Tu~)>(*qPjMX#q96yS<_i#NUDq0|S^(hpG7D!l0pM?+tO z_Q9Lpa3rLvMkp!+Z+gQ~%=fZVTv5Gv(;JRt?;ju(Ro@ZE?#?(l1C!0w>yS<;C1JA* z_R8Xl5-mJIp!6IHGMp1%iz{klq8)+OQqgIf(mGsG!NJQ3)R;{{8NaTk2PcYaVBGP_ z1bRqC_Xf7e;fi9Zolc;3D&pL#m4_>8a9ST5j-FD{rWhG|Tv7WKKC_KXOEKvEPW;j^a!SJdRp(-f2{M<9~G_7+@G$B*bykRb(uqAH^! zNE+CI=Sc zo7UA_Tv4wMn@~^;1udy?6Tua=L~{xSwNTL0P~H!?qVCbXZ6c5cCCmEj9|YivIuVwi zVH{5nz9`eFfUkz7WUPIz<~&?ceP(9}B!f{(KX8wG_*iwWS0%JqyVkS{fqU$jIdJz5`~-c7k%KRv#;?nMXXuAe)Z|0k)Ha(95`(5qWDm6W@;&6moU>Ryd` z1eW%D$5Mtk>cLh=QgWZanMys_YD0EOCCgwNjA(e|>h6CieM(@tp-Cn<78OWoc zcc_}an6KIC27o;!WRryZBEc%?nZd<^Q*#`8NzrG9xAj;Of&{PYCr`whMr?UCBkTCh=(naS^ zbwRH#c4}%(W*rIpSZnFk)Chib{PxILPvc53eodYyoDv}Tt1os^K8;WW0pjv+7A}O~ z^Hk>^_P2OV!q(ip?`~*bOTvuuQ!bebkx5wc!N>F2qd$OU(!fsFJc=h_C5t1(gwJMx zHVUJ6JU$pp!i-bDy{r~Y2B*Nl+`FIQ8VPGpJF~t<5YWt=qBQm8S5ZH&`As%tZ8}y# z_Irvy$vBp_sMIeHjLoT;HTaXpzZq{%2Vbl8$05b=Uo;vnjk_Wn2P;gKHK-3VC}+n^{KX*3uZT5S zBP<3*%)gf@uAy^G(IlITo!z)6mNJiHikL^3B8CyBh+%{&Vi;kH7)F?)k!NHwk1$0H zBTNy)2vfu`!W1!#Fh$HGOc8$PEj{;z_bzZI#04}z{A_}Xe1Avxii=55C0|)s^UgK} zwBMBPx~&wTF0;a8CcIF!w{S(gF4F=O`ty!i{)r0kTwm`^Oj!1ogcUy$=zJ~)XnnJx z(aDH%sO<6wJ*B@vjn)lc-EnFvARfyz^E1~1>XH(>FKoJ-gzefBZ;~Mkh(l+7{()LR zvOnJ6-<0%{jD;l%(>odh@|>b`U~vq(-vrsXH>Ml zCwy!mV~%uB_b%)Mv`)L+O}ri&I$!q9D2*m?xNwW@EAY`HSJ#2t2L4^jc0dnU48?I?L61hT_u28+XTXMx&iGLIv(UbuNAuL z5(g=fUx4;3*Ha4H1NK<{=CyORPJr@7BD%%Iz!jdn@yw#DU4SlXuW~qY2~a=-?>P%? zK>PCs=I+}IUb3*a)53LRKqm?xm#1z6bUAoOSI$pBjwT(4mlXiY^)QJP^#io7^HuCT zPq0Z`i79I~6a%UYmX}yr0La*gFGbiD#wQATH7?UC0nNWt{?=z23|)+y=rmT?eI{W$ z9v-ildk>Hr-JWIpF9CY=vZ_5`=~r-1KPm_8{sLLE0R@|f%NI+7Jq|1~yMAx+dotGM z7$)U_P0PSk4D8l&YzO4u|3pg5`vnxG%&F$goZ;7%U0wilG*s{_85sY0E=bc`` z2dI9EuXy57KnIiB8;(?mkTH6PsrVPru*Ab5u9J=d;xln}xKnk4j8Q+1hOJ@jgs3*#Md*w_~PS=}T~Yrx$qIbU>D`8Ekp&t^=rg=bo=3$KH^zq`k}=&C3Br z`gw}ziURuHm}H}~tB^8JuNk2EziOL*&-LRk-=@$}-8`!4{ny3&*TwtS#rvOi@y0$s zn)=;E^3Wg9GXH$YkH0)0<9#{AioXr}b)&t&V2cq6oAh$(*`&gyq~Gg1XnK#wf-XEL zrjB#pouuITP||s*6gLE5P02J zOtq7XsdvtGX!c^;*406~5DYw)qqF}u9=hR0VxZ**$zwtv?#Ow=tDC=K>_{3KJUOUo3Wmr ziM=a_gq1ye$#WZdj@Q#3?3m;T-rTDu2~5HaLT#JIwX;eG(9MNY^(GsYkuW(m_LV|% z=rwa&<8rat2z~9}?Yg*+F@rnT2<#Bn(_eT(6+T?FX1LOcXwdL%{ z5e5|gvwzk@GY}&ci_W^s1t`o!Sk6oZP@a_Y>Ubp(-g1sr#;;P4(CEt#c`Xelhvl~4)&O*=Uox~4<;$=0a*@e%Nb840 z?~RMXfLu>Ci`A_M^yA1XKTk`L@bt#`(7;20f-Aizvn>Z?$7=PdaVg}hXV$77#?_#W z*f6GbO45L4zdm}J-Wky2IhQO87J@b&85AVT2qEN~C;t34L>QHq^yH`xptMHS!*zmy z+?tu+-ZTI)RI*y6qGti>+kWx(1tma|(aTFV&4zrPa`8!L>&ph0B+ak+$Pz$Td!?p0 z0DwS$zbpW>#5~R9(^Eh%6<^Fq(g##~{ey^DGi2HC7Sqg=Hh_c|y-v{H0H|QWy;lz& zL0V~Kp9phpKpQ$-w#u&qq9?1C$Ru5P#&uwogYgd3;R&6OcvRSh|r z+>W3PX_fV&nT5FyLtosI$KfIe@( zD8i2rU&UGPMOq+6#lW+a_{D&}aOnL~QvkG)M9<#A1NjQm^0<0R?G==lh?xEYROh!# z(e2+g9njbB?~}S%0JR=;soAvxP~V#Vjg1A6<>FY84c36KbPm-}H6$d{kR_U|Dv zkk;Yvhwe7a1oUj3!0T*XKwIYO+%k0sMa`_*9aV;gL_TuPyYx;%gti{S1I)((c}ZZBv;R4 zKxo(f>xWE5Kn#7hmFlq`fc)p4XPvbGkkS{I;0?b(_|?-`4n6b$34aZA<%uW*GJDLi zTZa)@w%uHkuk--P8jw%!(gyVWO7U)obO?3Je3N8$*K?>^U7-!as3;w}1@ct`AT5@A zhXS2G0=npV!v7aCdipgy#&J?0A+JH=r_ebNVM8dhZ#im2KmCGk9%4nMY~P<&Q3^0LuNcUinElXhWaexBWUVpv?~Ll}p?pLdTt3e+l0Nr1II_a<%cN+n?;$1-0AA#Kc}yDxFW3Xq}d>iEvnfc8k=Y1oHaWsCwD8<2yv2Ck95 z_S*uA5>|CqMFaZqzOxBCpM&t0Jj-6!<^p2g7hM!JA9Wl70>+tFK0@o>#6E)@2V$f} z{G3$I4am{-Ew4@vAfYqgU)w~cL2G*dxTu&3(4JKH5?xNn^7iV>SKH$Og?_X%&OxYl zg{)zDJfKXqv#&3n0<`5Lo1hPB<{tgdNk&c}yiWId=6luP5Y5z@s*;Er5glir^UOVf zmQ1$#uqy!&Pl~0~ZqytG*W~v$Dk1ZgR@|p$4anxGxBFgoK(~LsbvelbSQU`bJ8^dc~ zBQ`)$pWJqAjR0h6sQSUB574>LZ2L>UxHGVjbQv|%PY~hF69*UhvR;SQR89B(8bWW# zm2KOOLYC{^_`2n^La3Zig$#A5lv%cw@#UjZF5P0l{H^6V8Ow9k+ewea5Dl6&kb+A2 zst za~F_~I*0YP9}r=)&7_jA27sKLp7GTcLW51dd(XZ^1xD?rH)dT4MYeadm&}bN#en2~ zeZNt_2}o$uJ|T@Q$T+;x&d)mq$U>gQ=|ol`8B6X^=3nm&=uv!GafvBt<88KOjMoi7 zU$0+q-s^)QcHIpzb8lQ|&wo=clP*MK(MBX6!P_J_3gEbO{^ z30XE*(*$O=DG;imLFr5Ck|HwZm>oCkpdz4Xwlz-{qRv-c{)i}}KA__0ZniGe6S*m! z>{R~@h^~hG#a<4OEnoK*zpsFN?G;nor`JH1JNb`PZm5AmF=tII?*wG!kewno8IbN% zSBd3HfLfwaxswQJ6*FG$}&B~L3ojce(>!%hV=PmiS zyT1V{V=&n_AEDVhk4iXPu7WHV1xfsphX`*6$#b;!L4@Y1^$T9i2Nd<)sz*B+kW)on zrAj>@DY^UGgNy+&nPuhN)Pn(Q*oK@~^^bto#4ixeuLC61y>zme1)$7MZJT{1fY`Gu z-TLN(7(dizPmk6Iv~G@z&Nq7y<3_^s2LkCZB+9nuVN-kwW0Ca8h-oHHfRw<%3}fC~B+sCeoD6 zfZA02NU;d5@cP_EuMKE&ijT44T|k`v+&{0O2;WrFsUNKd3Aqn$Q+3g9fV4v7e5JPn zTK2^8)?*F`_146Jv%Uoo=e;%DzNUa`x!05rh5+KRKNrJ_HIlJKGq(40tVd$pw)z%Q z2`DRRKL>jkgi5;qi+$FLDH&L4(Y5+`asvsweCw31`<7-hX1q%{bD2J%OXljU6s`kO z{UurvrU7X7?Qq39BS5`-F7iCt1ZdjF>w7iX0R>k-_1SF-Nck4SMccf35~g6B!|%-l zNMWj_+&42ohB@0TidO@w%R9-}?g;2?;nwW$>j7=PbEWkSGoT;OV@dg(Eo98UZdE1g zNeC)#Qcc=Az2HMSzFemD^CDP^mP$H3lN9U3V(@nfb!Tld6oJ>_-bkX#z~2QQ9}?XhL7*s!@6zm#>;a{6URtL10MOH2TNk9P0kqqWE8m5!m5hn(zrg$YCLo#W z!)cdK14?drFETqFklU7!x&>DNoowD>evS>&%Jy`)+S3APgMdJEZ6u(jwuhC9bphEq zMfYE<1+;dn0n_Yhyct-WunLdGDYWuo`cs>fauDPE73UVa8GvRj65=b`4roD++{wpj zfGU&4g^q~;x)Ceg(JBbYE-~fPwsU||c*6}MB?0|>c0Vuvd=qpEdFe-Z;s8k=W0IO_&sy8l>n5HnKGGm zA%rrj6U_Q#`Wb9*h*j(}K|tS*%@Pm218999>lt}pK;|hj8at;0+EnpABC-Wgk$i-v zvk#zYEpCEK<^eiAiGJIc_aJ<*!6er&Z6IN?uhbpe1%N7a`Okk!0@U_&kGo$1Aaczl zYwLx8gjP(A2(bf{KFE0Z*iAs5yNm_*r~wL$*xwdV4QWl^XS3Rd3@Cao+q@k7a;=qGJLqoaf>D(xuBMH#X5ja2FV^do`z=AuRqSRTny;^Jk5i(#{r$% z*>g?V1%xj*n!W6s0-$ZjDkZM=18QHwJ9*t@w4`TWsCWbtzW3=oL(*c9kVMb+N-Gl3 z2mdm`{Tl%(l^kZ$b7~=B^y&)+xj7-Ng>=tM4w(a*dEtkZ<|;rkv#%%heg)x|ThFRk zYz>HYuV=HxFNm-*>#~o3HlWY_W`g?mfVu`|7JTpkRD42h-RmkqTjJ9agq#5Fyn6Da zBtM|VZ#BC}nvj;QzMS-y9e`?j4p!MVg7AB6oMX}|0F@rfP1u3v`(Fyu*Dy#!DDIig zA#t!#W269oU(yL3#mc4XU~ORJQ<%A(d^_I|`_P>FUw2t!Ryq zy+xauKBTp~sxYkM7$9sl%dbWiK$CVYX`*Wcbddh!iDQQW(a-boJR4clH6gtKfeLBbz-q1fJRK-Xp}FupHF zz2gdzR}#4$ny@wxGHwl zVUii7W&JRIA>$W70!pl0S@D3LipFXybO5^Cu=2%^7W97RZseSx4nSGc86LPrfbdOS z^DU;=1B$3ki?FvvE8LE}d*xpU$Ytwu-P&qEitfgl1CfB1uMs#9RRn0m>kqZhrTHMs z=G&51&iw)dsHexazI_4`K255er#2f<>H)`ra#lc&UNcCZv4D)e!B}J-Aa1LUaQPHa zRI^h^(n~);SAHCATDuU?F?KKU+e-myS}u$ZjR%yVDWlb}7|>--k7G4$AV$~JwaP0{ zBia!$KkYIJP)&cb*?}TJQyceHEQ|t_#?+d)1|c&ht%VN-A;MpC-)y?Q41{MiF}tLT zB3y8M_bK`_fHvheu?Zdk^vI6y-Xax1bvqYbH+Tlf$+G61ix(h{3vc;@vjGikkBVQt z<{JsqVChxJF@f&pWI<5Rs~kYbFRVM3J`Ye&gUf{l+JKVnSt7UO1FBC^uVDN16*}L< zOIC79fUfjz5E?83)F-W2N!ksl?|OD)iW#6sn>R^)sQ|R|j_~F-c|d!53?;e~0GV7q zAUC}p<*P~5^0ow^nkmN;JXAqZQF07IQ=0&tR$z5s=L~4NE!T;`Jf!()MYh>>fP@ZL zJJpl|GCF6(-hzRm`a%L!rD_1#H<)|A;0EDO9m(Y4;09E!v)~?&06%CWFrYg^zKw)6 zezu4=QEeh)(^qCZRuKf$xO-K&fheHTgPKz#WdX@AP|kma-rXG$bH0(-4iP?NOI|K5 z2B=<8S?9hKptOF+cdGt?sus3bw&ddZqd-U4WE!NL<)v1t>3Y^ZA46fL_!;|Cq9(orGz(ocrbUtPVzP z;x#9t$bgJ}qmI5+0;F1Ce*>fyt|EVI-6ufFKXfmAEdtcH{Rk`5TR3ri?s|m*dRuC2dl4Z?`nT<-LVz@znY}o6 z0os-O(wXiwpgV5HX+4pD*fFrvu6gpr`Lh2Gn^!;JW`} zK%BOWYqLHe2_?_Y=g9)JzUpxBCX`lF$Qp%Z939}??i5(ADGg&iwIb_zXB7c8uFTc$ z>48ue!WQcVhy!X#tn=8f0?22lL4j2XAU~lRv04d0o-uSW&olvr^4ny4CjcT{7j}(U z4rtP2<7x3L0ZD|pr5%X|wDHZ^U145;avNQ}FKj?>p5={Ocf6m>oIM#P4l7SxyDe>XYpJnoj`z*t;#{&K*Fj8xPtwl2EAj3kQ~~mxD=J%DC}N3LuU( zSIsAHN1G!y8_sXe10TGRH7L)>n;;XYp2mb8B<%Uh^YB2Od~yL?r-n zz;5l*kODL%!!=axE}$QL-viH704ihk*%6^w3{IfO;k)PW0eb!^hl!Nefo1|vR_vAo zWFEpIU-DKb#2%xaEd7_dDfSO3JPcAM2lymB(Xy$1^FB?-#UkiL7WBCP=a+$S&k`^ea>LsJ? z8!EMD!~y{=f1Y*2r~y#?_O($98&Fz@^y-&n17c3JJylZ=DC1?r2DyO_v}W5i!lx9F zkzoDez$R4ZgVSeySMLP%mbhA+W_<}(^vsrv3@w0WH5^{4JRi`ZPS)&a7@Bk%ILUtG zE1=8|F3Eg6fW9&(Zxo*l=+)6=&u>2gx$}JwitL26j=k5jj#>&xsK(jBgn1ei$}=XZ z70rMw{2AI;o&_{V=LweuFQ7Qn*J(080Bw;nwQ~3cDE5nZN;dkM@|NP8#R_7O)~kZW zq+{-{pp-8(eC9`=XMR>As^@J8$oA|W=8$`UzSLa*-Y*Vl?;+t*MSDOIT>)RivjDMd zvd+)!1_`Z6yVE>20J<2kU#*qxAsJ(JktkK`0d((6fpX&tKuc#ZKb*@7NOJ$#vpM}p zQTo5$Db7L5QZ6lTzQ6-0@5Wsr6IMt|=~!+3-bsM2u;zUh)&|7Dd&I2-tvxZ>6Feu2 z3DCZX3$ycs0MT8Iqvt@v_XW+}AdGZad^Ak(b3dSvJ9L{Lpif+j*l649E&=qQx6=>X zlS0OF>bZ$MP;VS_ukLaL6#TiiQY-s(5wseYUD43NiG10u^?JeLw# z=G5!)iAoKD@w?q*BFpcKqKGVKYKZ$6J_7V;!yzKePt9KuS@u+aZRztAP`5(_k>&DP z@kEw&gNsChy8*GK?jW+9QDi}6`AT-b;T7GBFcOzNPh`1#;QM+!%i>pj4)rDjitqYt zjc3`*kCVuLT7HjC|uR|NS`jazQA73Y7k#z5Rm!K-j{JxlF5n8{~`}s!2k`zG2XFP7_ z`~uW&k{@(vHXsGg(%!F`fYfAH?_0wHX-V$3_f6(R_3&wq^3NZD7PF?2-=o?q`f|5Q z7XzS~=PG;YSHwYA%dyjw3Dv_Zw*ofnaRW+coRPaG3DDA~Q7t#-1KPN9!&0}!fUGlj zZef(a0sSfem)(-+^XGF#YNtj!0CL}PRHE4#(BW$fS|kuUs4gwP=xunW=2KnHQ4{G8PK6s3-exa1Iq0W-<8{k z%$K&=^qY%Qz^`-Nsrp42kmtg;(E}_H%6@W1RAn5X434sIM`h6m+TVmd6+xTenDkHT zyUcn-!hXgyuWd))Zr}Dpx^w*&Kwdq(<@56-Oy!b&X;S(~QJU)9ZfDa-*a}%^RsD9<`3hKu975{dvDWO_fIlEr_Jsv+e*k(x zY7qz)2DE&!hVSDrKrd#k*td!f(n_(bxV?uC&^Ok?1slo$F*!W7$>0PuzvQX8Qx{}; z<7p2jbIo)>?k7#k1ptMlt~hf7Re(h@Q(m&>0E(aXK+6t&U7n4HUF`BaKyewHCG2g; zFgS|N%E>|6&|EplTWtYo|4RK^PWJ)vIc+|ij*w(Ws-`<=-;_{yh`m-!lRJJrm&HGXefR6X4%70scJ`;NLR={;M+q z?z=~d-Qk&%fmv?aSu{$Y@z>yrl2o!FkQ@~WeTb{Z6=lV* zMj!zyk|}z2*J>F@I##w|E`hkI=*o;|%DAEmZ6*;&kcy79?R<+XDmS!E6h~92XnIQq z1FonQ52{5+ro~vx^cGjtk#iXYnnOiw^JBztMWwn&5oj?L1-2|B6!pX85P?=v(X{Jz z#F+rMC3aoTIE7XiE)5c&yQ6}HRi!K=4o_%cTtlEQS`?J}b2)K%f>@m#fzDFVmO)nH z@C37G+yqjlq7qrPx9_c|YhcBdbOhp}BGzn11zb`2ytUCd(x4)hS3LQ+qF(4eC(tx1 z>L>~%4o_IRH92}@S{3>4iNg~PEIUu2gH+Tl!9gf0S;Cz_kE!TT)h*)igf_;t1Y)3S zgZa`bLQzK70<_~8CuLy8*;-*Tz6Ib~XO$6(dS+`tpjA{<#<`~sSCpz02Z6F)QP6d1 zhX!0xw;Nt9!;vZ#iCfQoa$A0Z26k2O7J+`fq#);g%jV&VI_K>|ARTI`&r$btaYY^f zrbr-mD$2{Wro$C=VAj-8X{9{AnujZ@t*&q>j;>Ns-HcttDHAr=&Ju`@nlHynZCC)pMpAE%!k{D<~h6GsXEaRxNygC;{~Kzoh+x9yL!goplP|KHn2 zJ+k!1*Z@6LdiY)5q-$CaTDUT>{D9~OMl0Wwu;Lh3b#xvowzBA&CSxXd2G+AiVZBH$n$XjWBxe=)JW=D4WaFF){#9fTdSE=dt1FYmB+7a1fx(Y?URSPXo%K zBH_hW%n|1RaVvfE$vp?ChKiQH-BKVB0%&P;?7N$nhDYc~?dK10SPJ>Z4o5^S4bc3b zsw|`Sbxx)Gt9_mSKQ+_;OZ(-f)O1}=&z<>q_scO3wT+PqB>os_j$e=znW>f7=P3R>-EMIc|EOtsC^ zpf6f9JMksMNMNsz`-`p4cX^9pf zZSOO5t%k$VkLNS!67B*LX0o?=m|HVU4CZST)NSHGpdm4O+734M-$sjq`^`l+|Ed`# zMzznO1qWgQ1?)2B_S#uHEUoRDmV+uWfWFvY*tzoda1<0+NBCdeZLWm>H6+Fr7YZuS z+C`vKR5323>az4q0(7gzHZaq)ZkQN{jP(rnvI5#>ZSGZ-S2rvz7LM7Xip+psm_*my zVyz#B;xs+2Vv3OSDC_;Oq^e<<7%8F@#I*kefriAm?O3VvtpHGkgs0n4p2lHfoTLBb zzvDHah)|a;mwg(Cr6ru2ZaF&}EnD_`@XRT5IFg^e{BWcS*dp5_0Wyo!n}&&@#Y#b> zg0ln~DikG?8+I*dx#-mW$7^eL0K%wZ2)Epb-!>mmahpdWeJ!9|YFe=|rw+}U188A= zx>BBS^Ds20pPMj=J^_@`!;lzi$trejg7Vt5r((9R}t0u2?4ySS(PBqX8kS^jsNXu0T6p;)ANdYnQ16e|ly=A6~T)2iQT zrl)%rkX@glMEA+zD4|{ON6TeEQBl`}<~|%QM%G&j@@Tt3pdm4mg}lm@qtIgK&|mxNXtp!E);=JQRG#m9(UhLcjRHa z`WJHh{Pps42TDmyJyY$o2bZT&{FLuYh=0qUL{mFtY7fYc)$9~{xzpm^B6jL~uJO-x z--6z$?P8!F`0a$BjIJ~EN^ZwrypqF%JO3lENO>jqMlkI1*3Cahb?#V@ zGV!C|Pu@~~_{S?bj=%d#jyN_1VgO%*Z|Lj0)M&>)HUx5c_~?34I`u)4vT-Ds+p&%f z@%k+{}SEz4636*_V{N#v*sO02}JrByT>q2tR#DJ)1&VuNWBlrlEIA z$yW*sTj9y~=sp)&UU=fEcm7an5IkYmG7U*-dzIlw)tI zZq-*37GA|ye|`@+H)**F6IjJaiw|wuO&uic{W@JXr4(>c zJeqor>T<#p=5XC_Yx&UY9X84EU8~Si+{V6d4p+eyRNPkgiM<;VW$`UHZ8{4h#NbYe zZprl!VYW?LzfWvAXiWBv_fLBWp1*wQN{;s>B+T%*OD~TJIJCCUdTade7Ll+Rfwy(C zXJG6(==`$eqEi70(|&r7>^Bqs@VB}j{pQFU5_ZlmT>4-`775!yJ&<4MBvIA=r-s|K z)l!BLwUl8*EoB%{OBqJgQic(=lwm|IW%#p}?&XX3;)|b_fvuz-oFo;A?WqhA(!lt& zDd?in*>`)t9X_hFa3mFSotrE?IQ1h{+ z_kGZ6o#G{teRGn6$k@k^Usi ztR$1M!wcK$Z(RnofP1p#5(%_=@uu~WW~Zm%lVR7?jOMijKkknGvbF2<>1neJjaw-V|Ea(zy)Fb()c%@ZrHuqYZ#o zGklIaXajz@ciVtw^TjV@?5eABs{ecNE?U>5Nv}QvH!yb-1<-|TzVMotB_3btF;;YvG z8*@)X-)q7TY#LSf*Wv#aQQTAFu71p!sF5vbq7G(8Xq|H0&5xA3^^-yt6*?{p?f zMic@{W2K;VOY=``Le)UWVIbENo`;9ILs2eo}klrid#UYRai(OgOnaY6C(YYL~o^YoNvF zO4D-9mjg<;etf41LJ8{xZe;7BW#kMD(m%HXS~N??VHZLNjb-a@o1u*fKJx=xg8;G0 zG?hmoM5d?bYqkY6_eo_(RRSP8!C;mr2(i+i{&pO#6_@|T5YPA&P~Ad>AKPTmV&+h8 z!XdatVmq+BE`yA1F2_tP5L!2QGk=LJAdRP_+1g(L$<*l9u0!bM^vb*HC|{vQ=iMS0 zGRfFa0n+{B2x-}0p08{GXsw;TyQDZEgCed&*$DMI&UKVDN2|U`AIsjV0D809RQm@) zjz_F6Pe<#VzZtvb^ zkI1;vy;|rL4e0s<0Y?{vjLhbp)a?Ldl((QkD;rSP6!V@kgbXwUVxID&HPz8paRcmm zWNhXt9RXjod{?ZYCsG+LOSX8C*BreF&xglX5N6p_MhJI0ih*%eTz3&0cvuw)4TEzQ250}xlXha+ih9eR5P?`rTp~j zSkrPq?s>fdx9I_emPN$R=0XQ>W``Z$`3=ylulvK#B}0TF4lB+)y$h~b%jHcj+*M^z zH@bqg~cd5g9t1#VCf z?L7qOfOu#2ci%zC=O^RgV`xWPdC;>LZy2h{ zSiGg}=LIM&<=PkXT{-~GJhXAA0SVBeTL%vGc%oe}{j_fj(}iq_`9!aXfI*Nj13P^sFclXS01?oNO9Kr z>Xo+vWnQRCyZIVW0r0Bj zpL#eoY(xj#zW6Tw+!lE{^L&fg{Q>n?u;oRsK^r{R*?Y#$07vPhAaAhDYe0J8_q4JM z0F~N2H@Y_&guk8CcS6CniHvoeVBeat8jzjP$wNXH0rmX|HM++L?(5#L3vJp)fJ86Z zwD`UQ#MeNV*Rd9zC?d?@BH{;b`krFx#Iyt8*G$PKeAe93c`ODO0cME2js1En$wjV5dY=7#yx1_`;J$Fdqy!xD6;tT&pT{@-tG3@ zJc$K_H@RFB+n0pS(3qVvTa*=0!-cIz^A`d#=MaBWJs*ZT$30H0Tl5jeGfmX-%-YkE zRnb9^7GD`UzIc|gLGc@CzC1Ie5&U-FMVioCm1}KaB z*}EC{0qx?RS+H|12yZ5K^Uc*Hknq;>${33{Ks<$gH}Y-)TD^bi)F;iN&{sdj^1by5Doy!DPeFAejfgPK`fE0W#Ju2k^?P77CT>>cwTJGnB zM>fy=p+cs6U}yAZ0g}DM`ekD%G?}}F_reddL52KkxryK94LWpUjo%Lz2WW$g?pu!~ ziw~=-qt^<|pjJdKPJBLfXuf%@S@59>@}JFuQwLle6OXglC)4x|bl0IT;O=4gPrre_ z3|&~!1*4`;{nqWyk>9#?L#d%DzhU3Hb^m+cy8ThWNK`J!$#Y?OOD;)RfDW4d^I!&i&}ix9aR4Y}MJhGB{VG*DMEU`_}D2 zTmqE%eso!ff4y}LBTdrd!4Bclqsw8YvBHl3HV6;yHPLr+4Ts`7Ld)ARsyZIw1OJXc zX2QHi{@X_8qpnIB^@EY$$sJSw2heB08@9hQ?wi?6<~)k@-$dMz2u&Uv_3hfRzqU(9 z*)K6#WEz?qemR7as-pjAKRB(Ttn|kRr~g`><3r8=@IUqeZ1g)8#)T@%Ot-|x`u?@| zNSok;L^v_hCY&T}!UXm36kd55P$r4xVB^`1KbDCR>&a@#<9~>m`p0O5Poj?7uzZn3 zr_tpo{@H5WEO;@{)F{sk1@l9H`QweUnl^KU2g4)4brLXU*fEnP6^Wf=Pi1M&k~r>$D9+!Bu6b-`oA> zJ{ks>)CzJf0|(d5B&Tu1DnM3|mCY;dVUW2xtMd~6T8Q23ApV94yO7~!&Mw|K^e*Th z@?zC!X${mL{n;A+SuYm9oIpmPf&M@BVp*tS{O(n0NI7(<13md4`_E&gOzA7bM)sBA zD78I|Bl^mSzw9e7p-?Aj=_^IOTYX!Nr)I2|cUZ=Gop1D#>b4k4k`8)@q+@nCoaG3avj?}WXELc|Uw?t;sF zot9oMjtQ^ZCwb7mdCnW?PJ`W$=|c?MIite7Nn0=H$3RusEhNTmyr*}jrKi8cG^}-T z?nV79u@&tRiPI5D5Ko%~cTu|c&;^%e7p{Z*wCG^pur$e>2_xijLlPv?Ccy*TKVqPY zk%a4D4{bvqJXkUchS$G`V}>2S8R5aVF;37a2MpEVl!-=2Nka;IMD*Re+}$=i*l%+m zXNaVT@215vWGn(+{E(TfNiDkZ991uNOfUL!XsFNA40i0Ul#89+si&IbYUMG~MIg#s zD*Efm-x&(0p%Cdq5gfpMUNSB9!3))gjIf$_V&;sRoEfM-^gCL*aJSCTO&?<{47d51b3ft2@ca%AMjv!1X<|vlxeK6s#<9YVU##y?$byNA^*jTmGv_S)CyI5ff#&!l zMMi4A-Xl4SaK&6?prL5O(Bud6yl3LKA%WHf?f`rE}(MYayc#Vss&7BFt2ioWog~A5oZS4~+ZLJEab2{F-*fK`k zX%Z1uk7{dU#!`dA2~cCf@1{~?!Lw95x>}6gD6R};w~mR1X+JtT&91>gwhTJDz~Ims zlc}C2Y5Z9k>D<$-$1-wAYn|H*B~9NBmCA@|zwzoU$_ReBij;g2S8_cqN^TgTnovXU++f(Or>XhedPPPi)HXmV9mcyjqCgtj+*)}~z z9qN>(Gh}@yFuJ%plF;QTQc)Z3fj*?A{8Jc)4-eZ>`S0V4Us3J(fJDUFF}i2N?Ly~B zM?n<*5~at9QPbo6jwF4QW!(d8$uUVqw}W)BL{ix2nk_KDZoK3e+2B-Au@t37i( z`C7=FzfjTKUa^@meg&pU#MMumgO8h&GzO{|1Gw}LXlpfTL-q)_E)N;U3OjzQ!GjM@ z)DPbuiVLmM|I2xaxl{qW$HLF4HHS){33iGQi+uU}z) z9Wir~g&K+%-ZajU2yM_akMc*xHLydm2#tv48fvTz%wsh$M&=O>40YPLK_Aw@n3*SP zU{p=PzZQ0OlZP}lZc$?>iENa_Gjw@^8^~v)i+Ze(=_rfVGOIPfYLGc|hglRaA}$tY znkp)8ov$LD;IgnXk7?e=u17D1%mW)UjRVDaWPiXpwnplq#XS6V-^b2OEu5GC1MZsQ zXm^cLS2#x2m0L)Iv5X_?3MWxlXz=f7(MsDNW|(S7X$*am`99 z3Y5=4OF19b?~6g*fHY~!)*~|0e|xMxr|*{kEb2(3ngME##vj|J`E%ht3ROAOsA%RwFfEc( zSTv3;;2voUCLW9b<3ZuqArQlywUeTNOSeHb1(2K;>P8_h5yz%?(XO!HO8D5lln& zl2d}Vuz0ZPDA){i5%@b{aY>8OMG%D*!uz}^+UAb&)P$L-5xmFqE5b}ekNpAl*j0mr z8)955$eg0gxURjN)!Aogauy=vDn^TIGZTdy96XG|WpEawIGcz#Nt|y`EaJ>G&1a#4 zBKmF#<_TOyfuWquqQzD09~{Ij|9Extk1mUrx=E|SDMJM^`=2s6G}?N}A%j!?PuqGq zIl1w?52=wBM;ZwsGt%PB{!5D^ivpC>^4^?UNEbsxb5Re1zn}}P{*QZ*@fz10B+*=S znTIa(@kU)iTccipLVQA10FOluZ`881g~fy65#TzJ|2tt7Pzc4L#A|Sau#gsmpu{}f zX;)?*ntSab5pk)Y{QtEJuZj|{LID<`zZTKl{BhW-y&|)4d?D!3!0olu? z6PZ60BQd|UjHVF|3^E1cSI}veynf9Y7W#-ZYN-6@0+aCv!XxI08b%CZak;fe+4%`a zq#2_HqV=u(srq`GCTGq#Be?5huZN6zOAUxmZL(` zL6;TG6HUD8j?WoRn$_U{v!8pGmrLG!X zXUDDh%Gm(yk_0w!GgN3<+3v_Lz_;PDT`~9c|M0 zqjd2s*rUs~zazZ^3V~+0*LhKg#PUghMlz!}Pk7ei$ zisM916DJdOXz4`DnDNZLUp!J*rf9<7&tAWNPj>ns#eVI`|6%V-;9~mT|I>0yjUt5- z*`ugbl6A(KT_J18mYpI>wrru0iYQA+*=Zq5X~teE+R>&GX+g4-Q2*ySGk464rY=9f z*Z22({eOMGpX=WHp68tByw7uBCjkmF3OzO;Z{APk9Kb*unLQS z8M3Jto^bgYwOpaDX@(Wz-fbo3tAn_Ab5y8*!B1WlD8&ICA0T6bO=Y-Jleh{)mqT~4 z8tM|WEvgoW?Yfx-0UY!3#)&(Wjk)&ZL>V#TXChnpIlDWv<-o@VjJ(ty5o)o_~ zi|y@|IQ+2IGb?Z#NPUk2DtOT)g+^1Jk@iH41VGZUkvK|8S?OM!h;OQ|pr{MO+RUgK z3BO!wZ!ZfK6n>$o&LQi!OkYXe_}i_XuC^N{NlA{*pDiR?wV4q^#7F?jc-NL>tE8-S zVu<+G28kgaWE)drECegrk>sZBRxucs6 zAT2uR&ugJO*eU4_>Iy|wERMzkbO7B* zVL!;khwG!)jvL9={j~Qkm*t0+e17HZQvJMSHb1OGlH*%-djkz&;XBOG8?<}h)mDS& z=kIR>c_8Txgq$;3DL3rknae^$E4nlU*uGil?|f#QYh1gdaSyl|ZobhR58Y7X$fx%2Lq zUztbBO+xl>tw9+Uv^M6*)W7b_lHDO1iCq}P93;%C*8e)E%zqn> z5#-cq@tg`q6+*;w>P&-O>$51(nwc9Ue{|QnVK+Fs^}~5hXSd*7xM*m4)u0E5nHx;N zuJs5bj)WULC!W~eSP7HMc}&0s(xB53ui6>fi!R!W?O)qVZ*hBJIM;MhXfN&lnZ3{h zc)cxpOR<CIeW zQX55KZIqDE{Yj96B99e~PiCeQ+uM4{^H>}#5x1H3r}Qx1PI!qyHMm6kIa0LeiCfMc zl(?%v2QZY>r86bF4Rysj9Ba?*st*gS3aYuRd#HWc+x2R@$}~Cru>hqf5>E1@5%1j##li6bUyXRA;{JxmxXO6tURi@6X z4bEP;Hd^`w%Ogx+EM7>KjU=WL$HY{|AlV~4-B*r16vJgbMzTxEcNIU`{IKE`7=1`Z z&N}ZZN2`&bAbwPOqQbw}v?5_f=#-~leFdNCbLg{=!~mZ_V81t;dS%Q15wla!$zA~% z#UF24F;ZyDPZa*~rWNvHiIo#or{P1O@?ATP&3A3iqaOF3qG8pigiX=bNNw-*QvItN zKxy%M!#<1?g*rX)f3Ca}q1jby_Tf<}2@Ho#KV-tw-K#i#84aIYaoy;_;SSOW5A8u@jEg33ob5KHbn?QQw_IIOZXg7T-C{La2H?T}Pz7af~w$s{ra2b78g6_<% z<5w$G3go^8>J*0Rw&YRo#>jhR_toU^?Lw*b0IIT0S3bmxuiZzW)S$L{{%yj!)DEw) zhWBu>!pQ_!ZNs&HYYYi z`5-_}A#?iWH~{pb_|YtG@0VQ4V8!ROO^-C8Kz+Vk8+IF@;zyIBXRmw1rMgr<*4{A` zcRy|N!p7zuK=XI;B95pObE!C);Pn?~YVfEc%VX|_16pvXg1&n~?dFtnsjl%MyF75e zm7tZgYu#)Cdi#)n&JSfbFz;nsJm=`5N}%s&SAa|eM*vY>Z52-*m^bFPVkq|~#ZZ_r zjl}2{--;zv@S|UE=pQmDhILnj#yAq^H2O$pZtPhuD+w#Ykaz6|RXU$#v#hYqlq^vZ z);&-*TR;!ZPEP?jOuHH;SOgaRbyvegM&`HO3mc9(Md)@+|M3uAA7fK#Lv*R)?Zq_I zp^x|&W;#8J;Iwkpn$?W^o%A7_QXLg_%3;86qd+4LeJJGLx~^WRZw6}q3@^_W{$O8% z7bua57ZS>J;`XwbwAr%JI47oMCU)HLna!F-=KBuI?G1|m!sdsS!>oavi;nV_ifWUEWbkVyfDFgZc-4Nn(%o}`P7gvTx$0z z&$~xdgW1%#Ms}(f@;`B@c0H;-9exFDoND=DxLVr^E_Gwi?V*bb&auXIptg` z-)4IG!@w(SD&2UkOxh!81~*-E<9lXBIGa-6&KY=J9jbV9^ZB7?mPSJC2_qD`R26cm zw&u|pHD)u^?w&)_C; zsT!L_GgqH~$fj(~ceQC2%;i#U^wB9icm6)L)a3~II~|X~n-#}{ZlBIS%BD@M+a{IuRaOyZ%*YFH&+EQbCne{R|PS1 z6)B-~Ls)38`ny>92jub&lOBj(Q=T_+3^6~jtz)A=K#=V zx8&=ADF?Y!_@2m#nxn*VxQ<8=!FT5$GU|5i=X*i@%4 z&X-S9iCoGnhZDKj2cTz52E9KxKAla4UJP<<_YvB<RfM07eEdU(4pK>D2$TR-2E&8G5pYR!wB z3XSKyCUK4KH&y3Rcl|PY2dd<;snZjt&-=Uu_hCNA0s7=tvY|?~fK45oc)fb) zMQ8?xn(ND?Z;3K8W`@3iQVd4~@XqroG?{*%Q`s&|)muKE9Y_Wz7$ulJ1hzdkVQw=>#@9_^bO zB5U@iXSAa-q@VQH=VV##FnJfO@mXLfUu=K)8t*L^cv#EDd)BN~p-t-U!@=);i}M9o z)-qSq=zZ~Zp6$+W1RbJ>8pO=4Y>HWYyCLBBw6{$A@ZKehO{vN(%#Ql_m`e>f^YZnB z=>T0wKlI$D8lY``^{=G12gvluECqKjfD9AQH@Q>;ko)^3haT*UC>lHxz<$ZNu zS%5$9&@IZM>=r<3yBGXSngr1J#${F&Um>nVeG)rO{F2P2N{#xzOI-?3-mTc;97pi6 zvB4caCoKi&*3Avk#(@BNc&+YpV;Mlr9i4J^&H>wO;jpZF%uCepV}bS$XVCEOe3zdA z3QxII=MP&Q^gjZmd+MZ_@|X;8Q}3Tx`t$+ls$2O7;|hT0+;9HL@fv8Dk~H|FOb9@u zlM)A5t^{b8!jwYS2#BlPoV#P=aHw&vZJE4%#twj-#$EG%*(rxj_4v?a;N0WsTx#yF zTTz!I0h;o?kwb1XfW|fI$l5tAjZ3AkjhI|BQ{iUffTOD(_RAIp8^Jw+IRI^wuXHp| zfts|>`69}GBS5+jTnuUl19WEQ+siK2p!}}FfoC_z1Joort9Dj4#N`w2DW`V(C7ZgL z?l8YcBdC8vTUzaU{T`sFK8vR5DL{33;f{0s&0XM%Ldp~#xwnD<`Ds@j)$XFX08vHrrZ|5916Xx?@1~dp zfGYj8s6F-o>2CQnE6Ev@*Hq{l(7r7YrO`fT`BW8v96pVmt>g}Bw0>EuarzuI0|x2H zjozdK(7os&ov>hlx)tcS% z4wRo{a5}?hA3*JTDy2IQLgh852UC{$Y^u$Wd3(Zrz>B9Z`Y}V%8ld~fhnJt71(5cg zUU!-{253@Axz;!*fM&mSebZkLpr^bb|618s!2F>5clrkdw0Lo__B$t_x9z}=?yGem zT|G2CDk^RObkI#LVR9(Y+x^4^8=fveH5P$2N-+SXzG0SsWC)}gGy>F)q~*>z;c zvvYu6nL`Q3x}F9o-tFh(z)t|FM%MPxQh}o9*6yqGmB#>aWV`e~(;6CJ)y_s?;nvW~ zim3@OoU;V##;+-N$6W0UP{x|YE3b|PD8=Ibg<)xLxm5AJ!PmzP0H~>1&FSwIuh`Uq zjN9h#Zx(T>;qMmi>opf3&*-ov&VG=t=Fa_G!>&P+7aFu#xONRdt`jb%%g%&!by*rX zW$h_|Op|QXwr&8(y6DHl?mS4B)+3J?NsK91GbIA@ASKL z6QJH7GUu-;2Ic334c@<10n+tzVd!JM1c3HGe|aT~2g++WP?IhfLAt69crpH|05u*O z*>PQWfW}z6oQ|&nNORPQM~a=G9hjr=z~!~tt&vcZ!h|Ow6txea~T?x>GFx}|cHlTdfo1I<@#sPG8Mo{lL2GHi5 z*HNd-^eLeH^B0L5zRm*ZO6eC?SQ|)JUyl)m>IMMWHNCxUojpKFtL{C2><9T3IOljYYX&c|b&Y&t|dDgg}#yBL36fydVjPdXcIajQG1T_3u zmYf9LFdnsW;+)0uV*!d(AEf>x5H#efUUf3ujT218L-!gs1?Br5DF1r+48(Q(qJ~ZO zVSvn{2I<^kf%3+8^Q{(RYk!H%ttmrJ0JJh<+?g5=P+qa^HPb#Dacgq=FsLi^VNhQ5 zVNmDkBcz(pM@WsQkC3`YA3U|3K6uKOK6q*neO%RI`nale`nam*^dVO{^dVQi^dVQ< z=%cZO-G?dk(O3`MS6^9p5g_Fa9X78hg}C%bq;)Jr4UKh-7Yx4w(2t2{eO&GX)Zyq< z?^hwEST8@BnRp$bM=i$(UmXfix|2>}&2`Z5`ZT+$`;P%)k2UW+vMJE}V@X+fw^)cv zzD1AkGhP7HxoFP@y;h)nZ^QDtLQP6N_i-K354gln(z4_3R z2%HdfxIlT!m$0b;@7wizfEpg~Z}ihB5}=xzj{7?0fEvm3RBi0ffQH|025sIF15m+& zmYsgs0;Cwa!R6*nEJU@|T$5ygiUT5}7j(n(%7#Zax$_v}`ZC?G>*bdKb#<`mFxvz) zytAW~!ADeM$kfh*limPi(=)?4B@#4jb!4(;xF0}k0wOl7xC7AfN9(9$bI{Ow&DT(i zE})^yj9OV)%&&6K%MaJ90(7M8?$-{e;lW;ItxMtos;>BU#(OVl_`ti**$+{m;Zd!$ zr)s$X9qHBi%()q$;iOC6HPKHXF6&;;Hcc!8D3moW>A5{<__VqGsxF5Cy4kcyZP6!y zhP_i*xv&g0v>)bOA&-5ivSYdHR>lJK(D~t}_v1m0-90v`#diV?R|WTQEW!NhGw8mY zo*qCUa(B{fNiOf6<99p-pzWizFTD%~4XJZ}LzYH^hJDA_Yi%wBC^c8BI>`w%?B#j- zK>H-zb3ZinOh^Sz#ai!puDt~`Y!b9b)d1D#7FXQi>NkK)DkjPIR>VW(N~iLBdFAv` zRK4k=s2b5nQQc;3ewmE$_0A#*-<)|NfLBk`HM_edF4Nqu1c>k>mpdF0{UAH>|Y9u|K##cjyK1<*z z-NU^~_d|u9i8^{Nzb=dqZTpu?0_y0F*4JC8$1Bz24(cg~^%Q`5EU%s{r@59qx1oc~ zA50bs38`iQ^(`S9l8CZB^BudiTJR?l>HZlNq=waz(W!RNR}yt+yb|Gr$@P&j-nI_iZh0{>$ z1h7xq31Cf%-QtwLOvBTAYoe4r32~SyTgU@aA*6EDh3v)^y*m zpG$XDvZhi=eN=(s1(2vOB9Hu0gWG&CktHhcKuVKLO& z&nx**I1TO8UpI;nwW8Yu38=zlOeP`9ewLX8G|up{A|a~4rL#m_{q!o*2vIuI{VUm-h>T+~0rh#Gn{zgZ4)YacIEa(BXtAr}3#0&=~zi z&k0e>wqKKgep1mCA?oe%5DDmQZEY$cs>9|T63~X|6CDUqwzKC;KyvE_@rm+d50QX8 zdKLH*qHa8h3-tGI!K1W0;9musa*izK6IBs>mJhX|p`1_Ne4@I$@8m2YxlmvmXcC+hBl$H&FfRbHULC+bJ=x#JRS1cva5+V11G%-&uT z`key*PaP_->q>}WsjQB@Nr@u5aEq!qF;n-FC}_Fe&~2J7|O573VR zqKq{yVyMewJMp0(G!(O5dk`TiWGf{BdA2{6PKY{w{q0f${iLCzTX91LAWA#s#ZvLO zcC8OcAw*3Izrly-dW{-W{jNPBYM;-crQ*t`NAAlcM8&RJ%ZFrXXx3^YK2cVr=;5K! zQTSKNrmm|#=M&{YiXK2`X=vu@6?ufH`=sar6h=e-lc(nrqCjU00^On^h_u~`Q^qlr zD=B&a#n4cU_M8EPs8yus0d#|gSdP3@Levsc^Z>doR6`$IazNA@QuIXQ8qx7$G9juR zDS7}!&`|d$YxzV?Cq)mSOEeVW(UMQp0aEk;3ZbFCmN$F|QIVwRK`WVm77lh3PdD#I zh$<&VPZV08^qNnUJ}G*l(6h@UUJ|0_(@SNA5VlOTQ@X`ad8FuxLj9L)??;GwPKq8t zhiE9FRZ0pWDv1<5QKM;W7i+a*4LX_2~ z1$<~X4Lvt)^O_KKKcXWaYDGgPSn) z`2Hl{tx-p#_wk_$S}HOMOAQcp;G;vPcw8s1j(S3fQVKWZLk=|bqQyg9Lez#;WiJR6 zMMHL}_Rk4XX6Le%_egItmfl%R=q_q4g*tCL*HwCJY+A?mqStur5r z6F?3&x84w<#$-nGq4FdFlzHQ02_cFX;L3+&o(rI}Du!qF=5Pj5H=f$^p>|mUsLaNs z6CvtspZ0u+^GpDRs&;!sh&mkfdM$zMG6j&)IvptBMNpeRf3#LSF6~uz@q{RO{~dhD zEkytw{9@IX5alv$2p>vH6hJ!RA2SG1Wm=8+kX5Vz3R>agMTnZsIjo_3RtA*c^!$_Y zXY>h5t9uZlQe`IdA&1QZsN~S;VnUSVo#uS#zMBBb8t|~35EZp0xjBJaZ52QpnmxFC z$bHN}Dk3t14~5du{n5Ky5u$?Hx$vQiEdnTL_oO?7C|{5Md}tRfb=KVEJ0Z%G+g2hj zU!%3Lgs9rh+3EypN(VS0ElYNXn(GA5?_Cn z=*JS2@taTY-`B8(9X3iiulgf-!|{tcm87M^p;Y&)m6JEmwhj4at=E!6sdGBLCvR3b z#*a@mQ|C}Vb5qL58;2wFm)t+nghMso;Z{oC$W1coRgg$=DBm-NCFIRP7C(X1-DU>- zH>@3(M<`|0vZ;^j_4sd!%5A-yM#7tRZ#wed#M!E3w(_q1M@y#oYyTTuc2o%ZDjejLW#@{p5Jm zl?!Jk&x}YGft-g9_Wb@vfk(Y`2s<-xA&*NfY_oz7?T%|2`^pErjf1;fc>wnSH0Q3Z z=0nG-U&tp6RN+y%jgHM5b?5>6SAdDC!Go@rG~rQCyiQ!T8l5HrjZP2d$lU=y|84ma z$~`rcO=ZwfJ6@{pxpRc=oxb9M`nYN82&4bjE=WgLsq1aQJMuB}>A60=6nT`wQO^NxBhGNC z(nZN04|vcPD103?V309Dp|kJg+}m)POLb746q*wXP=1_Gs+}G{^ZN}M+w>=7)W)la zT_!l`9g_3X6pWdwv1zu+eq0~}DWEJJZBK(1%c>14U~`W`112BfHKo}nryTJ=wtW( zu>;40)V@1E4;?-P%FD@?G;VPRdqdDBMc7a2WB>))}d1xCgy~d_G(j0D`-k%yf2OzUp?XP1> zuCuAlG>6UC@157n7NDttBd=FXy~(EHX%0&dU)wVVrP}vv)M+J3MbR88QHMMOR{%8J zBfzPp0jMG5uu~JOrL8stWYMC1v!3c#*pv~?VfvQv&x`i}G~JOKH}Sw3Hf2w9n7gV) z|Y$7_VMjMeuZZXM*xbvf4la5Ts)iFNppC4gt=dPKY*qi+vE+}lE9|YX%4s9&*EC3 z)cBgv7BY`P>Nd?`P%1md^DNf1!9NF1DgiYtXbz(@tB%Rv1ZZSPRqQ1HM{LTF=FnmM z486$@0a6;!&N=5M)XxiO4xKsNetGc#RZmg(UsZ8mghNfU%tJQ!0gBNa5&W^yLlF)K z+Vx*)bsZqpymd1!WIPff$}07{YHTP#JHqxBKED$y0-bo!n^&>~D*Q>l+qNCIgbM#7 z&Ed!4*c zZNx>(b~j(LsrNL8_U#pyd{_(6r$;HpqZ{Xm*rB~|h1up+xXAMU&|sr!0PUeUywvf? zyid4xP$_W9OnF?ulu2`V$i%2>6H4v$X;f;8Quk;MyA}sJcSSX_UAmQc-vu@L(i}!C z+fX!r3qY)IU5wNNaz!|7a;C?{Cc6Mye7~>z>}@pwpW^_Mc?< zQUr?l)U4Jv5Sow=5n~K{>$4IvMGI zmDLJ>yl4)!)_=cSco3i<%Uz+Jj{=lKb13KGHHAoZ?58`>2BjX*93J4vJkvroloyzc zSn~Ci2#31&vxiSU15k&~VN3Mqz7pYZUCmyV+DiZ>DfjamQ&PaD=F%Mg{L0n59}19% z_w`)I8vwPTIZSX!yjO!O*uKj=IBET(K!n45_f19{LICnwZLQ|!_)3JRC7fBcy@LRH zx6sdV^hHs~Dki(_)JD+O4!ZfXsM)yJA{;slF)7Zf0jVW3t1l(Gz82wd<+V0fmsSI` zf7-IeVUGdwpgD{j_ORe(B|sa^eA>HLy%s6UCnimICQ?83x?Q=3Qg>+%d#c2L)j%~0 ztoz@5JmIwnhtd1a*jqOS=zFuMERUE%5e{3#O*N=i1L%C^P{m8b3q?2#xZ}}_s}9io zO?SqpXcUTYxVYcpSKm}|`Q5uG>fuuhML67KJ#xIW5Q~{ue zlTH*|PmLz zR!2Nl-imOTGH^hMWgUY$C<=m6*MIuDCiHe!kEe_X2 z?!9_{*&R{n!{h;*M(RP2N_CV@Kht@|B6W&dw$F$}Tm_i^u2rKCUd1AHit4yc&1bd) z=x4DO2asZY4lONZuADXnU7DSn4DXw$m|smlS{OLN$!aECL5+Omm zH+3?=Re-N!dL_0g7J*c{dVZSf2~e->#Y?IlmWXg@a4GM0_AXpVx7ptK>L-A@(;VvS zChS^<-~4pi@VxZUkW#4HXbv5(I9<=%0+9NYnxJKl0Oisg&WKSOL!=IjI@t3lN3q)t3}{y}CmK(RE3YO8*<(?>OS zR+WC!Ooh1m(;NnTdA{EscP9zFu|y|hpeTo*jXZ4Bkf<@oKU-Ek1UBqw4qduN&rUlI zkbGyy{cR2wi$*KSI?`BC<&MF)I`>am^xOU^ zj=CD34%3=10+eR`vJAV8arDkMED z66NrCxLc=dXrj&3dsakR(Xw0+u5pS;U78-GZ!|}= zEU#X?qy0isV7Et_4J{Te%QaM}BCyzm*rs{LIcF7Sf%h|fa z?3&@izvf~6;zwT)Ez1}DC#a4&3DDMdBlj765G~8RD{`)J0q9_T)4xxf&u~Yct6JKD zF-WhCLsa|nQc(`mj;~xi7}KSraii!$D^U(po6ayx*$2?jruso%i$popJ}EbEI1*)2 zI)27{KT!_lLl0FZqtw;hH*b9xiE?<*Udeeqs&PcAy5elPD2MGz3g28mhElOEnu~2k zIdtvhcfsy7K(jB)4)QD%<*-I4_FCsN0BQHyygM{pl*2^7^m%r;`^oOpd&cblD#~HO zMfayU=p5R%)VdY3l;PGz!eOpD#?<~|$*Ev(jSu2`YpliHYzK+&tx>K}-=^bD1nWnm z1j|S1)uU3@G>*VEjdvY&9y!{2_h)^?HH|K>p1nCdp@Pldkf7qfx~5TL;U^szf3U5& zr@!GWzfY2zH9c(|aB|+9Dv@1cetRQ1+^fZa+)v`<;p7mf<>`% zf;F*B_lOyVk*)pbhfVgfFqhn_rD27>2)B+I{jYbaG&SjICS~uKui{xyiAq$7?^5}# z!Cfk=QDC%O!~2@5WQFCrG}hqFwa33VY_Ctn&95(iCppC+vPmWH?%KCcE2D%{3~nb| z+9xhK#}*mx{_RU=F7-h0^(&a4=n(maRVOMd)jvw#{qH*_WGouR54`*NC%e$pko*${ z;7ryrqs=$C3r!>Vk>HGvVlHdF{I4fAKP*{_(JnM{@H5sp6tKq2X0mmOE3;i_h!}q2 z%}<8{RhO)E3vj`Gop2qO)Lm$th5JA%QVjQ|Cx22timz*bA*qSmgxXnQ3Y9R0jgd~) z1j+p?>y{-{Zo~l4l2|Hr%GQ~!cwQ3qdP%Z1$<~Q-zn<89zM4=H2Un6Jqe_XDNHvUg zg1A#emnz*U-Z+{2##N)`Z`%n-&6+vF)HI`*ZP7_S`?x7MtWqLp=s%G{{Pg+t$*r^t zgfH6jDkkEy$QGTG=a>1XHhRZZrlR&|c&|#9<1qE%Q;Dtr^*&!@o%Up`%#Vtbvb=Qr z3M6J40trqsOXhS^|;*)SI` zexzn!&8%J}V)__>0baUOb;veY7b*)rw4*&bz1_>7@5nY^PNW&m?_MNvrhRr?v6ap8 z#cb^%+0^*cP0S?ri=pG<&#f0vt+Y+*W-XX$UlKh~H>_-);Hg0NX~u~js|8PbuSe*6 z?${!DqIDEs20|s!osbh(Bc6ts&tX$7l~Z%B+rb;RdtdG}wRdDwEVZ!tZSYOV5tkds z7Q3>kD~d&3{AR4qjZ;!Seh;O21O^9f)$SGL8Dz}DyRlls$bM`B#16}N&$$z6dyZBsja zZ`66{-E#f&q436h=)^8*_{P1)cf=*5P&U=fbnRkoOuI>Jk0#zbaZ;IPqwPlf#J4V~ z1OIyCxBu0JUuNdX>;#-k>F_*cg(xfvnm8nn%=51d)j>yJjE{?J$KH< zYBAdf){3p?2ft}s$)*&HukG*o?E{-?lD*WhMjPH-Jm$&SUIA~q#>&cRw8XESB2T5J zmcpCQC%&)LZw_xsi; z`>A7P=gAOY-2B@=-9xg0G5x1IsuqIylVO8LtE4?=Q{%U$ zmHXed^plyT%ZgdLteBn5D~#S-Py4rOP&C>CPIL-83$@J`Vg4Vd z*5)448Axdk6+nlrypx@`0`&frQ*P?S30%r$(A3K22nFr^KJnESfbtfOmUo&mmrHq# z>wQ8Vq2g_W!`#;al+pkAcY|v_Tq-m3o#mlR0C_D{de_Slps}~-hY$FEf=lgnI+Ia* z0ie*)$Cny? zIiK<^07@D3NXK9_mrHFnD}R0ABtS-LO=tD*2+*eUc3X;<-Q!XQj+c~odjT}xEy|-P z3(UD9vp~CbyPP zi%|#Ya8TPgpP2w%>)XgE3a2BK3pBrN!L40Z?c*$0w*=^zO5S4G^#BEp8SiG)8=#a2 zdcpdgMfcW|>hJ%PwcG#IFaKA+{7pr2`4^g>J)bFfnyh-LPx`U9f+stb{>nbtpX#jN zHh8bpnQ{D^0DI+~WTCG^m%C?O9`nB^j%w}4uk8Y!nHMgOYBlhG3iRrS01EKS4UJs| z(3Jjd#!T3AgiF=ZP_sSJ@qL{D3UwP+Zh9D?!8HP?cCAYzU1xyiH1@NoyaLc@xp+Qg zKSh1Qn)LuJ%~0)pG!>xRN&?7c@ROa>HUXqFd-n3#r2zd<5kLvOFP@6u4A7dOoy`VS z0`&7Zd(@Mj0JU)My)MNLp#HC07pU*Kz@;us2y2sw(0z}zBNyfYw8-==*U%rJ>WXWo z1d>%&%b&RzD|iikKl=!P%&SsPrXe(c{(%w2*es~zI&X@~1n69oU&UyIlp1+{*uE5?o8}saz=a zJOiK@c4k&mZ-AbTn>zFhLQA*IKG|w6Kp(7EJx&}9(Ce|~%dTkxw0%;4<&Y=fliyo} z9gE+Sz@?(I)@kiU==JEzT=z_L*D|qY%T54v=BoD6l?Yi)@3Ct{1wdAbMsEhi0rXXU z#vEA2;~ zx(?8h!x6@xWI&BoLvFX2UIS3J=eUrm*#J2uR(oA+1dxB$(aYVMfQI>T0oxrv0MzOI z`XdJ-A;7kaE%)5J0`0<*lQUPUhd~uGD`XOS+O*xKd9m3c&p3aBLF?>J2BV(IzU#Z zBE4oV2FNC=C9CiqK;@4P^-j70(EceVy49Ni%4`{V=&TmBTwf$zYIc1cK%4FathufU zaZTDY@%V+Ud0c9z_x7DVT>$DeYkSm46M(9l-WWRA2cQ{cpV9}e1?ZUNf*vcD0+enp zLn+??sCoOJm6s55uaeumEe4=$pZgD=%I0&a%d-}g8DU)JuO1q%tN^I}rfH62*#Pyq zuwlbDSAgt(c5`d1f-UkX7Q5qSBa~?MIV=TQ$+xde?YK@I8aA6EE=iKDk%0?4$}!Tiw|0lMRtcl&$-K*tZ4kL&*! zAkLYe*29Yd8spoHZ9fb5AyABzOI3jeQkQG16ZAGf6Tj!Zb<5sN!^3PIe(vyK0k)lb zc%&=u1L$W?kK# z-g2pmoyzlg;{dYP*|kaMFhJkG_*;d_LG#!-z^{C$6+pVj=9hRR1EldrCb427?s3*e zc4gbW&}Ueip%-y`1GJRX6DOJ&&jx7R$C8LVcYva0Bhwxh17u~WFF$h;Kx{3iS1Z;7 z^dn>E_NVut31`w_b?vecfEK;!Fz4_}fI2KVTBVi;?LFt%RGC?>C0y!U{4V36%K-X0 z{Oz1Ji=drm*s=23lA*Y~ZJkli=Su-PUvsYi=m3C@>%CWR9*(OAOP716m;mJFVly)7 z8}7mu?yNQ~0^+jI2vn>#z+D+WwSIA71$1~0yj>92$VR8YQ5 zC)_sgBS1TPd9obT0Ma~p#rhkr=)2?=?!?Og4cqoDtGd(}pyV|>=BO%y@{>*$gnYjS zYIt_L(M?wgpf`T=tPITnnxWF+X}%%!ySCH&6~3iAR}<;|3N`3m4)f_<4!!7I4hPeF zBd(zLMogjiM!ZY!sJNQmQE@uGqv8d6AI4_%K8)k&eHc~febOT6ebV;P`=s4-8}IZP z_oT}=A3Ick7O2tWz;+#9R3l+#4fi%~s23S^IBQQ9K+AM83?}UX=;!2#yNjX#(#u*> zG9Uvq{E%KeFqVg#NM3!PJ{r}ywzAU|Zx+PmY!Gs9Z#ZZe(s}qwOI+S&lM?uFz9&H4 z-?4Ig;z~-Selv(Zt}K%<>-^v*FZzX9tvCcMd1dc zJ7*YfLN$71sCB+x2DTw*DBF78WzcZETvv8aKY;eSWcK^M1k})**-7uvNzia=ui}W$ zxDa%Y$+Pg@`vG!vm;aHB`@aQ#^N8qn6E%D=ZcfE#=s|3<9DHov7Km$plUet3Fuxid zw(YjV2{atH+GN7S4FG8ubzgWKm$xab|Cn)LHE4L@_NLa#R{-kx#c}u>T({YHaa=}= zOQ2!v!E@HV2?7nh6?;c2;ELInO_YO-^+Ao=Lm^{Z2Y`lcN1kl84bQzBx-ei-`*8r} ztYN$6w*?KYRSi464aJ3^7q_qYm<$@$o=*8;y&mFf+2vH}!E*q8UcRg!dje<}TkJge z^cp-mD_+mS4A;wfS+ghKp8y(8*!|6si@S(+R2@X=>_FxxwQX*Vn-Z$|xVjxU1{&(O z^O#wVC-b?y+Hvq{V^CvRiH-s1AZWP2$3$b4GeF_(?i9D^0nmcizRnM|@iPI}c{bE!`xLr6LAsa$19Or zDBR|dW)(qo9)sB4TYT_@!poSt{C&hY;~^`py!ni3HEQK zM>LpDy)VSctzG|Y`4s*RPs=uw-@5b<7f%@q=i?Xsv-x;|I_Adm;fw2Wd9o|jn6aD2if4`QS?0#SCAAm+NFg zdN;F)a3V$mFvm-8>JMa>qwF%gSfFelGJ#seY!baMO7x@X08WvK+x|=@(FahX^Q32S z`kT%aepmyUEy+5DWaA_=KOLuu29k`|slhpsIJqp2m_8&62QkxNFh;&Yj#gs`;qMHy z$!j8p8-wwg99E~S^ra@jsOAy*dZztP30DUUuWxn05Mh>CGF&@`XJJ#k%a+L=8kglH z%hT^mSCpwA>l@41?Y_r@yHScVC*1FGq#xLZT#Uer1M+i`lmy8|f_3KUCCpQmXF=y2 z22ea!I6f^T3z`*p{IEt!=Bbnu-6(o3-6%$U=D79|E>uT%u@JrXG96H%j{fjcS zVV)AOhU%J|P-EGccO+a_>vyJuD=G84ZtWYdx z6PdXK;=Cte-8BfKdhCK>)uFH%t5>r=ZH~n7GG|=3W=1u+?GFq*pfp}Q^%~ZH$0kNd0T1{ zw_@n4i6_9znm;knwJ70?7ZOS=@s}=4S~Tm#3^V}^^k!Ry(+&eF*sMpG^RCPcbUjAC zO^#M$1JOR7nSl~962K0;dn#X-taJl?jB0MI&p@}!(FVGSVz7*`zw)yM1C*O^q^)Fj z6aTlItKlM8KA0l-mzEHj1D2Zl&BBD^^e}4+DV{r-xZbV5@-B(Z+J%NL*q(vS4{)1= zX-m<-9ekHenrq4gIzR^CM&py)?r1 zBUj#d*@J|=AcXk{)8H%J&1TAr`Vn#ZNLUA$wP69`?ANSasE05+j@OqRhlME)WR_x?Zl?{`ym+ zK{E8GMzZvsR0n+;({%#l3&y(;(#$#_!>Fo`K(TN+I)Hb?xnE_{&^Uwcya)Xy;(K)U z^7NO8Wmp@YW#-&5N`5=+93_4DS%!bEJ7fpP&fdPMsKwSfdV=kqgZp!~aEE>8vOc16 zpY!^&ENZ@TS?4gJ=b0&YUXC6TxWH&gfYT}S5~?}NDbO@VR--|i=AsjB(4Z~~tC0); zyc#h!?=4x4H2kqwUnsY~l?ANI+}$)TTjskS;PO2aEyJoty|2~TpN|x;ByJ)t z==($}iR<-N5_Ae`YHM{(P1S@cXgKXqVg{lF{A(-f&m;?x8_j_-_3qI4$*gCu;Lb;B zuiY!xLI%+sK3zckQA5!Psb=JlZV3I+&41>P&_q#rWmBFsQfSV9E$5be@yj6Vn?k#XrWA#j+jvn@zyfz)yZ~=NkiTwi zFb8k%YeF0xUGdQlPI7+2js90^AtANkvWfZqmB*K}Sut2-3OmjASj?+o^A)@;;e(jf z#6pkDuwlf*s2=^Rh7nT9Am$jM#oqaEs6l)ER)aQRfekbh%Gsw?yUqWWUNJyuf#NSj zU7`x3B1?nmN5@0g3=2|i_dyG64FKMK?CaHlG*>oaCBEyR|NqJi)jm>AMFSdm= zE7rCGnr{X zl23>rx@1vxav-%B5%KK$hAec1VdXGtvhs_YqOiDq?TRhFJn6NuGPP%CB`3zI2%2pb zHTBAE6FBp^;>tHP2FU)H%lR=^EF=bFu!{epOVe29(jXe zxhVD$FUCYRhnY7eVnrChTf7uge;`|e5~ae(4T&f2%ES|wq3_*5`lV@X);mgcGLD4x zUUJ5$ZVmE;i6&}%AbwDvNlj*szPH1Ur7Ti+5q%s7j51Sx)|kUGKocsL>>1bDbwD{Y z)7AU^{TuUGy)ew9{#ujl?Q6I!eGH|7Svo$U_W78O&v^HRq{B>sQ7#ZM5&*G-uXV~w zFE1vjW@UYKK@~+0M1HI9XJ3V*9Mw!lx#{A!|86f`TQ7MI4iMOS75plGh5a_$A3yPi zdrk1K5O5I`DB*D~ulc`VE7Q}CT;ZMc@vg5p{P7C8`;N5z_>=LjpD0m7(E;=&hF{C1 z0U)D@JFx^gG&eQCQ&zgc^g}iAP*ACsfP4tHsZ(T9UGv8sWH`?g5?BHLH`x;7U3E3dr6%r! zkdq?38$_~Z6ce9REH+m5QBHKMjD)46D4sR^N~^J=Sf!=!WkN|QeH{8v%2=5+Cf{QsSeTq9cu^&0!f^IP4JDf5g@j_s-vd&SK`xBOBGE|3 z?Ne7hr1P!nHW97?mX2mKr~M z1(xvnH;bSxLAWGB`VcN1lwH2-QGXHRV%lu|LaL>I_FV(N@o3T8%=ou4r3UrU5?a95 ze?7=$46S-8tyyhx$AJ5Nky!;1$Ix2f7^Is>B?^3H(3(vYjGIH)Rya8%%@?@QzCc51 zUafU2?Yr#Sx#V9)tywO$pDZ1XWB<|k+BdX?%76Tgi@DkF!;1~7qa!qh>VIttJx!#V zLK|^YXp2hdlDb!D3hf#+h4v^=&A=1_{QU>yyUO!UkgR&am39W9&>3iWXwc!(>{* zOmDwHa7!c374U>@lf_hMoJ3$PIqHX(se_r9yG28aLs+h?|55tr2cE#2y2y&r1Z zpExK?!6L%k04B^0M6OgPxZWI;o5N)-K^|*LUwkUQ5zOU>#b(AF2P3ECX*CA@33G#l ziVjw+Z{ZA|uO=EQ`d2ESaB038`hE49>d$xDWd8bn!v!X(5Mfop0%TVgp>yrvt5It&-D=`0oN8N!o6cR7M|I^>zn z@{m9Jqffz}N%e3mQ3`~A^;cpeQ6n`p$5D7Ux^8oiZx?%t%6lbFSK_=QyM14(7 zS6h1Bg=$M*p8u3#eM6SR4{H)L?QKwlW+IZ`$Rm#0T$?U~x}QhR%KVG@}vijo$$t}yO}e;7C4g8t2dtVO~) zu-!kd15J&4Tm07jY`C_nkKcMu0}%W`WN-36=zVu2-dpZ(ClK+>d66M9c^O6>?Zrao zJN@g{he=Oqt&b(*g?uUMuuQ!5vAn+42f3^uozDMBtq*VP@{tSCZ6)ajca~>V13I9D zBVP2-419?fTE)Z*`JvzO^Y=G0H7#Ycj^LAtscB7Z?RPfI2bEdPto|mA2Pf2k=(2{m z`rld*QnTX#MzyxS4s17}t8r%JYRpVkuC{%k!K2AHH;qtKe)f{95igiWqJgJ^nJ4Lk zq=HU?FsKGA1s9}%vPD_Q?}=cL$jo&0 z*c)v>2+80|kWtUaGa_W9VNkz%NX-0{5z^A~ul*DYFYWO1Cu`w02>q1tKl4-k@yT9h z(%jTWaW}OIRoE={^7UbT{;`3p7!NN|66~p zvkNuajTaBRc#>MDmw2ti&=kl8Kc`_Z`=)AYY|#^E-pGGFf2p@eoaXcB3dscnW?dlN z!o5r?wtZsbyP{7Vepp`O6&u4uVrgf!`)hme{a5zBUuf@r|8)bmr)eMg1n0U8-dj8; zd{Bol@xGLAg9gt*fxiC<|0T`f4`4bD;>8ayhls)VW7f<%j1mLL04H8<5^HSFY^H*U zkpPGW{v=+8vP4rtB?;vkiT4VV;hAU!vUDk;gQ;mHmlc4ez|G%(cYyynHtQ-Dt0T;s zcO<<7@p2S(IYzXf$!q}`*?Q=TFn}9G``>mhs!Q^?BGI1Tz+gow2%l29{ICKgM**aA zKpO|^#IG<@*{pEn;3P8+NCuq3BeY;Wr& z&yx`h97q(J-%t0dr(lF&%Ff_rFea2svgs@nSNj+V-d^f`x9yHvF6$mXDM9P-l4U-Z zAJ#d=KU~dmUg+?KFso10L{@W{*0-3$7WKhES;O%1fb^7RGAsT>>;kGrxVorVr>vCS zwG;)l0_zf^oTV3L3^*BZVD&)ZH|q6|G}gVEAM?Yt`P2KVijUZ=;W)6iyXt$) z#M|0>bIzjeY}OdeAp1%Ank`(LN zN`%Q`+8P>%xugHrts#jMMdf7b$*~FYbOE}q$ZP_e{4KDze;t$GfhX8d;{6 z)5rJ6m++>%p|;f0WG_RG1c|r{%6YZH*$dZ3bCoH-FQ)mKBQG#sCuM^~nf^6VfA{GGj621;>&LPyBPQ-|=p3;Y~; z)714ne$T)<7w-2oH4XQ?Y{aR*)i@RNFB%hb8!s`6f3Oo77bSRPjt4_|u29#(sC9aV zJ6M>rJ;s0Qwf#Gnm5qMl^trUco&Br1{IKpaYq{LR$fwEEYTPHpU6Wh6{0*sz0<6Wb^)LjYUcKc54j!z-{H= zcv^2=Jd-)NN5XW);$0jeMzTh)Gizqai+;`U7&FQpKbYI`oz2Qbryb8MYe;h<0WajZ z;lw)I6#Sy~M7(G{MWLDE9kQf)C%5P-{BFn40SE856c!NXtr3mvCwJXiwEC?z@|!oU zhx2);@?5--(_)iJisuVcoT6CIUM~w#ELD*X;0-axQlT-XDN5apYlzrN?{v&BBhTd4 z)5hhn`C(;2j+wr!|5D($H-Dxz z-@c!T+xK(K=K13G{X((c;!G@Kp|I_ksVHt9S$y-5{=p;)Jy&di$GQ*mmEh4J($re^ zqmLlJ9rhWu&(1g@nfu0qAN_hm|FA9||LkB|i8KAW$S^s>6$jU;E|ML~4(c6NQ4OC3jXO&vJMrcp9{1e-3wff#9h#yGg(M)A9vRp7R42MG$I5+UfEy_6tt_a-wM@PkOVOwON1cGndlMzgf^i zK8H)ialVXE`hV>Gc|29$+rW(*H#F8<9%iI`a%iZ31yNI8!Yc4VxBg4IG+1g z6EUs)#%6(rZ$!*}H%;+g?HVH1k-~DKue6hhnJFe}I#$4)N9{Y$CeDF7w`G#N1q5q} z*ow+{pB>>}h}e&-Zwm6%;f|=#7ehYU&qPe-Yt}xgy>RChXQ9~4pmriQJ4)Ao#xc0l zOo+`|BGE>~*wi{sm7ak+YM;7Qt%)r}OnNrY+Y$5|i|An!!?Ue$M>b0M%9b4sL@exd_yzA}aOaV_mAT)EdLp(rJoeS90g#!M?MT$6 z4CLWQ?D~m(Q@GP_a8$4D0wlRsu4A|+4Ze6O^Xdie`9Q?d^c3riBSAky9LwMClrAA+ zhQi;KjJH%0G3CL7L!sX9h?v{zfE&CWA3>{E-Wj?Qh@dftmv#Ha%0N+SH@BxK_z|)7 zmENx3zZVfP8l(34$Cd9Bu;nxY2fvuVC1Q8ptkvTUP9tC}lFv4n1!fYl8O9E;q$RQm zn8;w`aZZVN5aUAR<;z^J37DNx+|GhtZzASb#&*q76YiYic0aQv$&HBFtnj4GI|p|* z?0=|Z>}U^ZZ@llNdLQoOM0k2fDVP(n-3lu&#+JdIG~u7ZIoA#nuu#s3Gdd%1CsX3x z)k<9$tu`Hb2;6y)>DVRhtAziIJLUgZ`-q;pgIvZ*su9L9)d<~~YJ_e~H9|M0 z8lf9gjnIv$M(D;=BXnb`k+H2WR1=3)wcb0d8 z;?{PoRgx$ZS4mz<1pmjQGzCHtpBJfPKA^P>a` z8wgm~mV0$GX93z#TNU2%6p)bVIW=1aKn-Usc{X8y+Gnk|c<>C+fU5Mes^gysShiX( z-%M6O@<#IKf1n4l+PWqfGXTnu?W@V>10*AxWgeUg=zP@M8;<7nkm0$W%{!?IhqHHE{uQH}F%tWezB=?S3w25unx&wKnnIbp%W;V9h3*L_o(H%)+l$0UACs zkbZ9qAP*u-z}g|CjiLK5w)LV=1EM#GgS8-hE?ULAjOpH3%FUJo}95~bJW(;fW9^ecz-_%aUBU8DqW1c zLS-;}7n>IXTH8ZxX?6zT^Ya{2-uFU%Yb78m7BrVT4RdLw?Hzao+IZ9cB$NJb2NBB; zS$^2d6j0pAic;D-P;Yc;|JO}CP;W1Ez3LL~ft)y*sOro@?<*lydDvSS5L?$;9X{lZ zx2Htyiyj@?@6g&6MNSv0-_O^b(7qtR-!Ti7;0-Y)|k zf)4^J@L0!elMiU%700&c7J$^lv+LDN0A+nLnPZQ9N%=AH6)>Izw9xY&@q#I!8nFdr zFT+dmJl{F@DA~&}snj5+!2iu!Yf|F{uay4JaQQ#O<^K$q$qg6Q-~C;YKK|#fi21Tb z7KdBcj&nsE6Z_al`}C&2a79cKiZtrWJihcy-4!t|&~K%60{h@t@55H<&f-5?3!_Tw zIBS8#M$UCL$0KXuL2y@DnH{tvmES}?5`>^+)D5RJDpUe03rP9qs|xMNr$f^`m7hZq zG0W&{?O=w6$z+pt<1=|^N3`v3O&?wjwc?Hjl~7YTKpk;9&u0rls3ZFhUuZheM8xjB zmCa;CXmO4>eda=F&V1HKoWH0Ar6<^j!|361KyEV+%{wCkjo`M&D;QGd0qS2q`}X3u zAcovm<7ApEAYn-Es_4W;AmO2u{WlV;0qINCTe`1@xE938Ec=d}0a`FEYLA%)4|MT( z+~yjcUNE3wwppBE$? zI_Gb`P!GiTN}nDcAq}Xeom91^pxGs6PK0Xl#5{i6L+}Okd4{V_yh>Da23HzNSjy)6t3G?qA zV%0-p6w(I|$FM^v;ToAFp82{$}&5%+B`4>4pdM1|$->4F-uax=%x3{^n#tL{B>s)Pq7jhGwQ z9z$M9E8Z~A-VA71UF+^p6^LOJv0qy}9mIJ4c&ns~4xndq>mL_ZffXb)q>=X_4LL^* z*_GWh0AhrmC90eC!UO#XS`H=#AmQ+c^SojP2<7;r^{{{|NXR~7lXnU^R9snkZFjXW zAT9|JuH|z;!W1o$m+`$I;eu|V<&Ikb35u?Z+(U#1E_kzZTSWv&cuwdQ>-PPC9iY zfL`Sgui0v?8dOr)(>0ePZM4*=XZNT8ve>w~a-#}J_>52B;~Q6GwN?w?b1wr4OOt15 zms*2_5ogUZR)+%8oAt@`hayOLMfswyxiFwBfdL~+?gA2<=bTh*1rlmSTXyLy0FpMX zFD709wBlgSSH)KTv$Xj~M7XB7i_66xA6PyrGq z$z0T!Qx0MjJz?szt3;u^TeF{t0FpjWKXc$4pqb^<63tM$MD}m9V_5)}>lC(Ex#S(JZE*t%ta61A@NqzwR)iAo)6 z3I++WidV0_*8ws*`pz<)4s2bG85wEs9Gb!8?qH0ZHVrI)jV)}s9ZY~8sz|(cJO+rD zXI`)55s>gp|KKdK*~qi&IdN-8CP4FsGNtmhAOp<5>=fj(643Ipn+)AaAiTfv)l;QQ zLHH{Jkzp&;rX9!b+jD;F-(q*qy%XL+MViKvz-Ibb-wox^En_?xzAkz zGc`crA~S5aooInqnetj{+B}d@yKmmsx9gFi9`xnQ>z#na=>vD(MZPgxlA51YZUeM` zdvsfI9Y~nj5&WLp6(qcBxyyra7*K8SL5$1e{KiJbI#qq6e6H5 zyw4R@Rv2aK2uRsK{pb6ufW*SyFS9=hV&pHp^|l*HxZsL#`Z7;I_az5?cy$5!f3R8c zE)*m*H1>AQyM;PdsyAxC6oZ6o9`^;jjs^)6)~zWwi~*#`F~1=+2qbJ`X0SYrTow-< zOG`*bojC732I=jgAO@B>Q=;@TAdl8nF$<8d;DmWcy_|#reQ@zoq_r zv!3|YI=7++3T|;)1QLGwsW5-F4^q_hBwsqD-n&k54&RWU+tQNy`B6d06L0Ih7kipO zLSC-Q9tKpIA9h-~w--6HJ+{M6);Sg=e7&3wvg|p}wSY$76Q?Re;*w<$S&8iaZQQK1~!20QA89-F*KT_R`rEjE zE|+F7pr~2j2WzhYI+T8sZTJpI=seJ-v+pi)f$M6!dpmM4>ulx{Iu{ug;&&FsFG7Ba zUDK=1?tTvv?&1+WwgmYl7IjLho`bv@2g~U0tS(1g+S~dY(~%qD1LbA%`%u4CAdWls z#%|;Scly&iGmsBt->nw})I(98*Z!f_Lxi|G*WIA~fg}u3tPjz>11L~p$DR`CNn%3B zWY@MKZ^lL(_nQqy$2w>FuH*^C8*vg&n!NF1nE#ta_jIl+57a_YX`{Qr*Def=O=lec6>lf_F2u>f76)cvwDr>46@Jab@3<3p04LoJNWv7 zWKY*rQ+rzRc)y0PqC!S%1u0A_?^mMY}#b2HMu@fv4bg-Y? zf2P@c6H?#gqx6hF4Agmc#HDLB$|kiU5qFOw&(M9ln&@^DHq0sUfmzwDz47oC%l$Z$1K=LL$K7r;D0?^^!C~BvqgBS@nMmPdwnci zQ(DbmMO`dn!SHXFsi@-pxzWp1D2kbEp$9(5gVez_aj%nOFS!TJf~~P4_irc7cD~dF zl+{yNC3JQ1HPZ0bEs9%bw~sF??pS>$&ZJ)(UF|>ZGeM~8n{jQ!N22YC?hCcA)~3$1 z(>CHey*`Ri?q*cju{w!x&8*3>q&l21O5AkodD+$m*A-as+0?155~`u9|HyxAtqy)f z_v)20zvYR4d@Fc17N7jB?4Hv>Ge6}Jyp@l0{cj)K-#_KWP}XRMcEi7345dC%F8jxr zYpDu8_8(X9a;eF7jns{u)2>HCd>XrJq~RYI(Kn(1#~7ye+9sJM<{mpYMXrj+R!w+W z;l3Yvnp7%qzgMa3-#NhIZN#(7ud&;|oKyQ7 zx$)JE2^6`hYyPr8&WiW4_?WaEF4asLL9(2q;D$SUNPL&ZUSH)ZUqc;7>q+ESZw(Bp<&!JrLi%eU; zEi8|6m0fpXpsT!)awS=qb#PwPd&*UazL&J1UMbETMWRZ+lvA!GDzj9w4pmUDrpdit zv-^D&<*I(~w0Q1WwfI$P%yZQY{7P*&*3)`c9p&oeeA$=2`|vAUdZX?cMEvS$W*H%F zM?K~0;j7?iv)lO9DaI_}b3OP~@@x(H#@J7ktIfMLFB~Xupj?S9rHOJi=kx3% zcQ!RsECXTU9R*2b?-h$2I=?QnlZd@Of8}(aA{bhPC(G8&&jwUoXu>y01jHjS{U!5t zKr(Ce`PLQy!e~v{16~2L`g~r3vl&ozgheuQ4WOqi-^2MD02N7B4sE#xMjiY2$hMD7 z$izKVHC=rKkb3$Jf$NQc(p(sIPxb&h5OUCeQ2iSb+pn6aB5)4uiGquP!UqQdJ-X<0 z^zaEl{c!@`Ttq;k`Kpm6bTiYidq8 zfDf~3I8*?oD%}VvUdTbQvG+tX3Ei#S@rOqv z+tVc+!gZq&^?^t7ZLL?cbQ3W@{DD+4?uDj5v@-!DjEk`t7e0*ZoOiY#AQfErd_2j- z7neXYlezfX>KlbY1EUsJLS-Q^zwv)+v@t z)FJ`JZ7g0)r~-3Z^1zD&C8(cxIy=hR!57ft0>i`2E`S!zzRm3&0*K{N*6~1NK%AmH zy~F)2L=5B1S~$lK(3iUhw3b3w1~Xx>OI)7-NYzU0ehr#)TqGc0zP%T;aU^WRb)jv5 zeh$w!sX=}1q9@qX4>^E(q<3(xLIWT*au3<5tJn^MLjbdJmYg0uoMDyEEVj zX!W9CBfous=2g}`ilGOj(_S9F4x!l#lYY?30D7t=Kdoq_8PdflCb1ZyZA+DNG(`b1 zgbZ3eK`3a=W4bvA&1DUZoyh@+NymAGE-gHe@O4%WDb(XUAu%snKna8G8$0L#>7IDH zavwrDi&oK^HA0T^Ul7ZAnF-L+^tDpn2=TudzK~V|=ppTpVmnI<%4{n-a}g4GNvo0j z0Fc%9OYFBf0P$bHWOfvxh^<2Q_TGSo`HlPYnE}~D9zlh0yftQI70L^Mx;Hj+n3k8j{;DxPk#5sHe)kbnz7wDkH#d`)4Sy;-V`O zgxLWJ4IQ?(K;sp|1}-BXLILR=Q5pZWG7pxd=7 zTawG5BC63?BmdqTkhyEiB9?eSLrcrgJjekg_9D=tum#W`s!CD=h31IK{xko+-Nh*F z?yotgaff5zLCF)sl!*S?Fu^E>$f$@Yh&n%w2W1^I!TiS_)!)vV70b>)A$902Sjrbn zJKy-#y(9cKeHMGRN#`yn-@lqZn_%*J>eFXbJArh?@%7~l+IMd$Ehkl05@XRnPf|UG z>mH*{>@V3@CwJx>_b61KESPd54A9n3@)E_xqtUdb{kB`H0f}87tUMNnhRSe6x3rFy z<38lCOcDKv(2&vS;Zs%d4$F3w^|(U({6?eGXPily?U#f#*FI!3WlSg`_lEFWi_#{P zaD9x6lT^xt5{5)t%AZS_P{KodqeOGlCX{eXz_LTd8KWhfyOAQ{*Pd4e>2Cqm-F(04 zr7y%)dLa1x2_)hE*h<1+HjgAoNbwc7-|`1Lj}+k^($``PJY(7 z7=|zUC#|$@BJH*~KDE7<%_zhPG;q*@zP0|%2Ann&qMiDHpQK#_9aGuaN{c){s3BKE z8t;f~pV6*?{JcDpXJWP?w9Dhm)OLA%MUkIGk)I(gXeW7ZpuwgjJt-LJ0i<+vGEEeA z^1*`RKJgE@=;8A6ApBX64r;!SJ(6{fT zCH=Nj%QGZh_o85Z=%atauoJ%bv!izR5ZMNP?WrszlAk*G+inr^XwQ$aqdh|?R@<>V z7=AMS$)hH&+uxVlO`1e;RGLWRL+4RE(@6d;`l(~@!;^1_xx_GfL(B+Dq6Cr0={oXG zPkI&&^VED)Fyrs$0t&@Sl7NnR3Q8UuCps{l>d%AxEPCeOw&YBle=kzsC>j-<%FfU~ zE@{N`E%hLknatj=u`QN$)L+I-7h*uyqiVtAYo*tZy`xWK!FCvR_`Rq7Je9#vMrJ%$ z*!{Oh^dyzD8wIW@d^fQIgsHr!AUe%<z)|Nh|i7&rCsh;c)2ssqrDD0k`oFYm^kMt$2(|FO4?;$~uyUbT9%m(4QvWwRm~ z*v7tWcIJONlb!^yMWIFmb0~H81{i&VSLJG!>g1Mq^bFse&JCho07Wy`u z6z`Pw3iB}2jB?s|4b|?X{iw+C73qPz%u_m(`zrb^ABubq`k2c+(Qf5Q!p=h>+|dI_ zPZ1!cTzD!Tlddz2ZesaSTBuGN$*o0%udJgJ$tgn6`?M>6`(9t-X3oAHf6 zewNr+BkP|QYD{T5i_{EZG~))QT6gss6fW698DO$VQ4)OAaS)$0qAMs3U3#2ywYYJ; z*i}b+%2lDVUXS&WOO&A~W_+N>oic0xYoLdUtbH1znih^m<^`*3e~h5%7@>n7(-s5T z-kK~Ch|u*u7UO1wWE{2|>{$k=%xz(76heyY;^N;UG+@|hFk>a4z0XgGKO?QBq>0(% zf{^sC7a0j_0KL&+y4rw{nfX;7b%Y{cYe$-{1*CoX_#7^Ov@~nk;-=lhfco6e=bLW^ z^du*L^CN_2=4QA$`~>ti?h?1CJ|OjiJ6T!*Xeyztqf}}Lkj+Jpd}b3s9%|e5ECbQ9 zJ!9L0TnG`ZqLS8{0`eOw+;kNo*GH#Tb^JhsWk)!yY|Q}OHk7uAMo8ei$=jCG@0EUE zwnCckCyj)X_y6GYK=`>g_$<(5=iY$s(@(Y(^d(a_1lir@F?nloZ=x2Ry8nlWy`qew zl1E|xCM(g8$x8HNvJ(B6tVBOPE8)+iE@8kzpFSywl-Wa+z2Y zFgDIdM*QebWWec!Aah#+7R%Hu;%f+Zs&Cv5E?ROK?AjU2jX&*$JCcrKo<#~S1dQNu z%y}ESW9UlMdV1EAfN|2*c((0rJDm^vBDg?zFj6O(bmaZbTpDt4~Oi5>(Mx(H#r>vg3^f9Q# zRG!u)?V*uLV3R><>3k4%uz7tCTp{UKPfdDRq&iY^Ly-h>q!xTi5-1{#`TI>D92sF$ zChJd$MTep64yH_gD{@nlKpshO8$}+8KGu;WP?*{nBq`V$^Z-&i6i7Me_pp;2wemU&QV*jsT^GRdse|~ z*U5hW`wRK?`^k=QLMbF2i%L4=bi+iI%RgoV@_El#ny7(i)^|~^-?PE^lRQ~4&CuI^ zeoiPG#EKj>F>yiJ;Ll0={o(&`?CKgRscFh=kCEaX+P6S|9Ii-7)RQL{2D$bjUH#lrlXYEuJArL4(&Io)y7RW)3<8@z(%c&QDV1zP6nQd= zJQaNyld8PERC{0_^B+nZDH!Piq;%|O9vk)m^PdmtA_XsI{8xva{pwMYj()*k7`ZR_ zG?w06o5q>aGpr(A-J)C_es|{8@R4B3RrEmLwX!2&zxN@1j&0gDD;A~(`zGH24^Ih`8CIY6Ab)0<1X8Y3Rv{zdQm}u__@|`}#j_p2X zZ3N7<;1v0ek4W^{#p)ddtRw0S`OZO}wI3~ozd#>S+m?I>&&*hk(^>MJxn*T?hqh}g zjXIy>?+zuSo+(25`(HiT^M8I4(w`F*K&lJ4l}A0r*UxXe(&PuFl%EBj%`)Vl&j#}3mexT`9`_&-Ygo~d%Kr% zwTkwM=5fY8%27-sikmkiu=G=yo6>h1W8neHRiL1+a!=;~<(JxFWNl-9m+qV-?wPHO zF_qm}Nm|^pY|ttAR6U^L+NT4<>i}(kM?uQE9=6NHXDVZJQoe;1E(N5dsUK<^fVQM} z<{7Xj0qVN-Xxgryvy`!o&s8fD(Hff_o5SXat%W-qstj_TyoE32!AA};RkaW?$z|%T zhrYp`PuRs22Y2|Q{nF;$VVXuFmPgn9EOZ-0(Y?oT<0dmee1VH=B45@KvEU}*rw*Bb z?rk>bJ*SA`I-$ROuTeP>`+DQUic7tK9v#d1OeY2?SCA-PW>iST_Pt*i!^Op;j9uPB z>-kd<&{95~oL+}iBIc4y7$(jF^d#x?stkTWgPPIABFTG1thoANF<~Ykmi2DgdIEq1 zTEaIOh}|GycCV+corln-RhJV@5n_7sJV$?lKLJZwYxDXmH=yR4SdlXb?OU2Ntzm8m z0oz(t%6Jx`wMiG+B1sRd-`UwPGm?PCXV~`T%mB2CD?6?Op;OT@L8|@_2-u}B3iTh5 z@cS71A3a3EFR#wp^P2S;0h_1Bq}<33sDg`zxl9OJ+76a1PRf*||%Ozm+ngT}{2a)D?zRUI(1yuZaaLMY< z*M#4XMEdWP^#5knIi*Fh_>?}8`sLR$*-y+(O;P>ZMX@A0!I?7T z@3j*ygB|L#*F=HyT zZT8hcZ*&JJY-cFfM}a#~M>%v~zR_bly81H5(FGhiNOdgV&(lG~_JqYIbKC|LRkP7J z)CSO8=j*I)Hvu(O=S1@a0y=VJM!%6Upf@8UFHz3G0`RKRAFnEZ;>hWtq}TTvK*2e9%$OUbiOAapg`4bKUqaUH(h3a4DbbXb%)j9Tpskm`Q_Sqs{rj|RWjV-2k3+2 zB0BAOKr%c!HTD94>Thq_c{Ku>fQNIAJvC1RM8~=GP!2z!Pfw)hn4{xBQXgz6O-Keb zEW)>|dNv^Mkq3NlbwR@FRq-F0P^iW_t*l^XK-zq5NfoYulpL;TWIhLU<%_G=8CpQ^ z0vqPNLOWCz%Q}WAb3^@;RZzWEcNo6RKd)bO1nrDJD$N}GV;0V?Z!meq19#2~tG?Xcf~rb$t2P5;K-ZsN zv3ZIfXeFJ0TdxkjaLCPC^TiTvY-%%`zpMoA)Ia@hmXH8n9zG3=C>?^P&9c5nb-@R? z!+mcLqk24i>3)$Re`QG%5%br$cwl!8s^0HcTzeM_Umh5r;Yhj!Xs+#Z!f}L_sCF)K z34@a52Sx2IoI0l5ct^H!kORJ^Brccc$$MlGYN zr^|jKVlxGjjd#lflI#ESpwA1C8e3nj`d&cnE@}$IwScM)CC%2m3Mg@&khaHtK%%Qh z80%F4C2efh+;;&`|LiNSA!UFPE;QPwqfp-Sg&S*60s6uwDw9+PNK#6;b$THxjIng0 zGxSlLQm(1J_!czThHEwz8AWL2s zeZ55=h}fNu+tP>XPyx9a;c-tDkcQ47Ypwl&gd9CK|EvX+Xqw4mj?4pQom-1ktpP34 zX4JA{gjO$7RCq1_IzXidq&1%Mf_d2RPwgHsgVraRM7m(sXrrLYU0G<5qd88%)(4l4O*F+H#&urGafEvWq zrM!#tA_4im9$sWG0BDiPd75o&0L{B9zWdCZI3lLwF#J?{A)q-PN11u$0PQ`Vs4v$J zs3UEcyUa2`rX5e%=B@x_)}n73A@+!fEq|Ay`eOy4i)XZ5D^P3R(Y(2vXB!}1-?{z+ z2szHLEK5X2#kQ5Fb|o7E3Y&LUqDlx*Smv@C6O^v|mQG)^y03%T^X*Njw+NtCdP%z_ zvVis%?;>i<@*-jt*Jo4)EC<9D@GMAtIiMdh5--K|06C-yM43th^4M$l_45KiDH{%+ zGIazr<59{wbA)1J9hSUBCf4vRe%-X|fMS|kFE0`Vbn97zB=?%p#!}>`kPjb_HrS*6 zN=uO##HG$%X*&Q#bZMAZA#FV9s|fsn#CWss^yTe&fZlGq#udJjWPOSH`6F%IzvaK) zku8*fJvi>Z`3^!&xM7w#yslT72N2tZ-OdNlOKFwT3NS=sq?-?}t~_#|fT@cd`8*4W zu~VD#`2zG(a{Ba+*M$N)akAWk2Z>RuE0QgOUP|iX;5B&+(F81O(UR8{Nb_y^G!o57 z4DpdgbNbf-N`B$_^8-Snx0w&WLSiU0W-CbT0#v-W=~K}>KyOYQR2)KLoaT<&`99(? z0jvI=x!XY)kaHJ{`b#86T!E6UU@o9r1PgzA2|x);>^n1&7y`*OyKZwnAz*ZRZ#J$) z@2mcNw}8VUBw>Ti&RdFr=$-HBDIz3ow<2RdvMRsj4#Zk%0g7BEbN4b2pk37!wp>bp zcpKh7DJn}PV7}ANyDj4fwEorhm~tfH_A_Ox)MpS080SO1E&PiCt-P6epjrwQhvV$8 zn63cgdFCkmRt%8BTy-lyWI8@q@#yF)0(2&)sre;B&E_G)HuC`;zfeo$X#vFX?L(6` zvX+zkd>ft-0Db@Qxv2ULSg>uOKS_Kr*8ywc`eiB zZAejreyO|>JIf=y6K9g&T?k8uD~A7c2uLT+5vbTxL+ zsGD|EbsVZR4w-JaUWw{1@>A_npl@5RWw8KI&_cpT6I4vZHs^qx7gMm=Gu8*ZL$BCb zVEs9H^hWFkcQ)P83{k`w0(X7(LnX+n1*P1+lkj*Et z4p-sPh`f>t(Df`vXH(RhTls-MRPfq?lwW9h$n`K40dkVbXo7(y(rT2|`0HmU&!CT+ zDaWt>|ZLYqs(Fo84kmm`8k!Z$B&&ePX30GIDWr5A{{1PW zl^;jOE*d$C5`a=XYSD-l^PhHz;H3yJM5n8&PDn`=q)e(PYZS#X^zjnKV@{eZI6jrh zf{&y%xs;u{Y)8=4fxzOz1G1UJ1oE?NrZx?D0!5zAfQxaGv~a_cI^cimPm=|tV5A3- zUifKJ7WozS%s)&PSfDu#Tju|SXOtSBLm3950~F6FZ#`SCvNhf%jvY;){^-}8>y#f- z>x2J*M~{CM4&q}sKE3~-&6q$_A6H?d){oN5XBhY7(xy!`c7msS%-L1SWHyi2W#wQ4 z7v(D8fK9Q@TAIIp%7GQ~7D+<*Q#^8|W?!k81Lmgwk8L?m1_;>g>lx19&4!7XJ&saw zWcj?T@YM`>JI8Spha;Jac3$68K)b|l|2!Q1{bU;cf zJ}6AnlPBHjLi{7e_aGD z0auhSj`(qO7FX0Q90}q`iJGHuG#^J)MFrqU7)P?WqWs38ZMdR>#vv4}J-2i<+g1^QUjrqrY|n_83>x5*$V0=p?SFWjK0-qcymqR^liLN52(4K&d#A#}!2l zm4G8nTv4((dV!-exS}SEi>xSWx}M-@2d*d{9L3-$8dnqxjv{e18&{M+Wv-4=np#KI zQR5M{jv9{?#umNNh^naZh+0Qc(M=pt>!|UeY{nM7-{K-GYCPJ4D{4H7#1%Cj&A=6< zGehmp`TaAX@x5qXUaJZ}PR13bfg=+fox>HSh@)*dq87bXI8wn8RZ%N&B#)!jxT2_` zRB>d5D{2Xj6mUeX%qNVCtSD-_HseSGSCl1=3~&@kt)p;cfg@^V&Up39gZ)dUD`Wb` zay+76Y6;j&Tv0u)6toIQcDSNyam0lqWn58(IO;H>AgZEra8!w-Rk)(4p}ykiDz2ym z9M$58T1QP77gxSWy6kWyg)2&snSx&9$Qf5uDvkv4BoE>SC$;PItFMXQ`qlNB(hpe2&#TiY zPjqh>Rk+F#Ot=8HqW^W<&>)yCGL-sBKX0J z`VF^!ZkPUsZ_xVE?%yPU&y+u@aI`w3I!L)niM{K=XRZgpn*@r*6p|~!bfQi!3^gyc%MJ~-fgLG%pjkL6lI&kN! znqVI3&No%H0Ked20@k&`%_@X0f`BF3qy`y&`ANV^Pq4lUJr_y9%C{U#yu>>Qx&K<@ zriAAYA^#mPd|aX1OTapKPke~sBZ8seu_5YU6BsV)3D2>3{X7CzH>@qNF7OLv1?wF| z@up$|w#P|6de4d$h%_SUl#fm|0qaY@yM^`(^0gMY*Ek}r0ZP%$WRK>dj|8lt+A8Rx za~t$D&V>>Vcosne?^4EI6AGClcBA8?`nS0RtbS4Pqd=J+u*d`0uPeV#h5|-+v6|%v zbX|_>Z%NBo9S3S@vL31({sEm&V=ev*{Er9}(}6}AYtD%Czj71qBpI!%SkDEj6KlR8 z8hqm!0egMcKt)-IP8mDFWq5c7*9!vnbJJIAdU1N?|63pTuhz$nEjD9^lo`hq8@e&Y zhHgx;p&L_d=*AQq#xcc)bT!0Lzjb#dTGFOhCAeM?`X5g8Nha#Y-xDx470(k!U4Zna zU4Cn$4ydp-wNv170T}FWZFcUUhhB?a^zcm|BR~f(9sDZ)A)A1GxI67~DhHsNk8QM- zrvWWJwC%8DBNTua*8A#g(S-6_=2R<=%YbI7X-4w5$HJF~8f3JA&Wnuh-94V+{KP>4 z^I=ydBZnLpG7`C;;E?w53K82a{xMhsp*-CJohO$7J-TD;;8Ssvh&f+*l^Qi2P(bfU zILiei_2L=OhMzL#tJmOo@dJZrFJnO zmAQdB(Q?ILRRwP?Xp02IablIUMjGnS*j+loT3Z2z$<<{`k4geMscXEvs{I=QJ7}|Y z4#xQjx(hoyD^I@xWBiVT*~Z24faVpQ7m5GgNW|v7(z&`CO=r*tE$dl`K_1+yrYEjq z*h<9a3p_4%3j)hDhvCxMd=;o5_*(^8bw9TeG5vK$J>F;`imgB-^GTBq0@kyAi_JZ|D`33PoH!y(uq<2S4$dM$7f3N z_lcJ@KHIgUUf^OnarD*W3Ekbv1Yb!l=zd3OV-~!MefsEe-S4dt>6c7R1)|5Z@x_m= z(Qo8Z8oGXOn@GPrvTeFcxjMf1aUfCV)axVo;zu)!&sp)PvcI+<{utd)$XyEpHUuv- zPA6a<4Gkr1a{#gF{#<S&J#S8S4=)<-H;@KxrS1|zSiH$yoD?+DBw9jlj4Cr!Yq3(623@Asey_<8;`U@N8 z`x_J(0A11Vj$f6SO2FdpI$ah81Jd5fo1)VNXvpVntT9?4QhMOUr8`Rj z(QTH$ZDa(6WmTultJi25Nd9rhnRNz$9v7{Tv3vxmXhG?jRD=wY*6KRh0Mgo`=VX}! zsFTLrqI@o(u22T$htmLk<`kM`8jwK1rk~U~BQqaRu)74K95d2utGO2{i|FQbv4b>k&Y1 zhtD-EKuG)P?iC95XzVprS?q#6p!b<<^*w0u$Q4~yi_95-D&O#5zHsjr0c+przfX_! zD%A`^_2&Vyf463nN*SQjF=mBNBmv1fRC%=04{8h+Me?w0=$5$f&~O~g73nzsbb2DI?2XN4gRgwm(`tQR#W2keoI8o$>lN9`1` zf4^D?X`@|M&Ez0zp8Vgb1`a~v8$OP`uA?=g`v1vD^S zZO{3afX?dG%up%?>#S{l@XBxQAV!U<-)2GfG9q>*s8V0G0uarCeG9970p0nuf03gs zpa}Qi+^kQ4*79s@*zp|D+9sRhGM<3mou1ygqX`h>jc3jT)Xd%Q?akB80hCp3SKiWy zmgT-*CAVf6P)9-ekAQMOTFS~I#AraRCR(42Q~-4sl>Bs(s3c+rLjfl4ae&UM8q#!X z0b)NTTg7w$&|$^MyFLkk__ku)3oHOJ4D{4Dp<#%WrIk@ZNq`JJlLl@50SO2?dI-;` zB4U9D6?3~10TuGrw?2FfsL$=Rl)@rFS9>MdUTsHht~JKY&76 zK5Sb;3vqD;$X=4#4M@L!PCP9NWy>^NR>B7;ep+-Zy9uBT>hBBgEk{dS=S67xn8E|= zITOpj@`A~{MbZ36p){cKuZnwnj{s`$W0hA^0wmS5iDlm!^ipPB3Da>0bW&HOGT#}H z;4%-{HiWzsefIoJ1*H6Qm8!{eK=a)XwFjdGzYFsfHdmJcY6)0u;P?_yV9aCQJ!pls zL1*QOuY!O!w|wm7UEe^&a$3JjZeRm+On8K=Lj;gBUx@euD?mP6uZHwy09r>Y#WbJ> z=(hWj=9y`L0y?bR%@N9RAR~i`|~4Itb{KE5YR&Z4(h&U{7c6hLBhPNN}wL#O3ytc(GOr&N_lb-U7Oq zzWHry1E8>7HsQJ-0Xc16=H`Gw@8`74rbP96KsyvGRb{w9_~Jd15|JE$^k!|ea#aGf zc-2e;jt1z}UDOfj6LJTnyFg$5E&uJY8T>3|Mj0Pl608>wK5&s`JH;#C82y(x*%bw)^H>(-#tX@CwByjMODfKao~ zRehjoM>|ErN7C}U03GKIV7CYaluHvCwy+V<9@Rj8FJln?X}49H=XWb|Z-FFqAP?Z~pnn7x|&w>1g4IcEAV;&Ufnf1SF~D<|s}82^&Uyqpi{C@Yf~ICmy*0I*50gojiBn z;Acok-)|Yvg06jYJe!ug2KqcP?`g3MND7C-^ z7MCsplKq)2V{jUfaZ1mJWoUm1A*eed<}{$I_a5Jk`T~gi+L?V9UjusnQt{sY6M!N@ zi|2BPffz%Am-)Z<^vc}<*mG3wSg#ceHj2UPsxP58n6fLvcxUJ(xlwAqDk;Ot94 z<$^G3~t) zpy{`*{K|F!nt7VGqq`kYlr%%c9(h0`*K&mi9RL~iww)F`io_5;y5{RjKvgkx^TK}u zYP+(2WZP{(N(*b|1WE!jTw|a?kOwi+;w0nO1_MeCbl4-e1kjn;v#+wSK&XzVjq@11 zA+CK9OZ^F=fL5=Px#)!fYRpR07A-;V>)q*{MhgHbL)|SX4d{-Kg!KDe@W70qn<1HV z0b%7H{C+WjF1$26yTTO^SKhwW*1Ui;Y}efiZUgkZvR(3s6Ux{^(~P=i0NSzk^Tv{- zW&)P?zUiRE35e_GdB@1y>43WD=*m5R4oHahOX&G{K=a?oGhJZ=MC;4-`rao%R$`86 z=hwgkXEE@E$1?zWT+F?@Zx6&ZaMwSb))K9lIc4l?ObcjX#5{fT0CYgER}kiD3W(Zg zoS=jHhegv9AJ!hzBEhnjrSAm`Rz_X`N%-Rg01EkQ;Tx&#hJHi4>{{NM5a@~A-2d#VGt_SioUt;cgfwV< zWQwL$Hlkc@{~}{sUmj%C1D_1pF2jrX*>b+^d08>ojY({qqPrU)qwf3A<9@$_fbqUD z=}Pjg2ZQb5HK(V0>!3f?#zohBy_SI8-L!KkvKfBdwjpV?V`L=(GwpS8EG~g}B!tbQ zXDKcxV1(@$e{w5`G1v0Ph*_ayMB4R69 z&n#++Lc5y2UF(sRMEzWqII*q$=|n8L(YfcO2cVfdO$y8lA;X+*mup%ZTS&yxpPpZO z3w4}#%u~VQ?L2CyXr9;UB@7dP^N_)o4Y_bMSbgl%$RqxRz z$YKxwuf9=Ge4G?@1TH=S3r&5VgUle>(e7=a%yjbGXJ|pfYu?KDg4LvSw?d8d=-xBS%{u|{ttyK;Zv+J)Sh-cA^%nB-#lG*EM={i6Clh2A zll;z#pE{@?V)l95!0>-3TmH7cK!N6OYRbt5kN>Zh{O{C~EL1g*ZvAM-=pWr0@2lx= z9XR1H_xJJK+MveVS#?@}F`i33wSA(kCR6v@G&Z+A4|c1pJJCg{x^{gtN>hRE68UOn z%)_E)&XNhMH9>sniCV4El(Fs&@N-Q4{}&{x*mjl{*!JCX!`nybN*8n2g1PEep0Nt^V?@&U+$ce2o zxzcigc70hGG|i^~I%pR93@K<2qO^}w`9%ppHea;6gw6xgT@>yyrMqM=>e zZ)G$CI)GX`Y_DxaXm8As!O9La%yro2Py{<5#R5|fJ%qMAIjVHe+8Ylj z%7**gCi5;djNJP6N6NV=5}~ zM)R(DCwos0prZ~LPH(XlV1>92C+{)MHLN3IKlFv0B+sD17TXq)qg@axMIuCN69JH2 z<+;w7lYl;KU^{jhjTBX{;JjHt2Z$%i_^lE`{0F^eA35|54d5Tlo0d>Z#1?24U087% zkieroL7FSk_!7MvlZqFhL$Per;!#}ZgkNusp9QGXp0F?a5+Ln4kqP(n&;W=u?XlV*Z6ack%2r#Rz6R7=sIF-r18A|#r_2ox06FFQ?z@-+NchL_ciqE)&fTqz z`LYJka%OYrt)rct>j(Hse?o0NO)YGpVp1a!qqnGAc&q?WhV$au&-(zqEpd`)xCH3p zbGgrtiU2VdU6^)e1|XYmrFrE?0clRh+SSk&bMD|p&93hNg)nBscWs5HoHk?wbWtWNR2OYo_GYk- zBWD4zJw0q+kO3x2!H`O;WhA7l zDN!k=)(KGebJ4=AR%qz@-5NR!%mCdbXqySR11ip|jd^hnbv(lp=PXCl^`}QZE)Zk} z6dUwRdzm!E#q(8$Shoypp23ryT`|)D3CdO*axejkEUNSU@eCx4)7XkxaRLgGpxHcN z4`LMO>@Z3z1qnU%ELY|T0PKV92hAWli>S{(zcl&?w3DUG z=JD+DfCNKdxE8Gh6uzxiy>I~9WH%FmpSzv_+N||b)+hq(t8<6;wUHdrbN2bllN`|( zZ~7i&5C!N--^r-A(SYo->6uf|DH>*xp>Oye0ScB8EVPyYwEvuSdiXPt@WPj6TXtol zj_07u2B|)XOW)({^npx>t6jh%oaH^BLmp2K_WlH0?uDFC{s3~P#^7I~Er-teIFFlo znn^EElqK|@4sznsT;)psiplx+wzA> z{SUS2AF8oG)E|E+xZ;M_m2~_dKMFjS>n?Z%+2@{yHyyhwyzPYyEpL*)@8Ra@6=gIq3QSVXup- zcjDMy*QA>}v(V;FJCqoE^l|BL&cM8kLO7rg(o;^5wzWD=Wm~J$m}7;{VB{-?vO8%6 zHXEeWD^uIn>Wm`KX28X8A#H0tjZH~tl-tQVc1+QN_dSkDIFT)c@|tY|o*}Y@ zqAMt9N+btYq-Wh8EB!yWIbqZ`r|4g|IiyY9zs4j;ZO#ochE;T={=3hDU;Tbq>vGRsv}FNRZ=AL)ci)G#3+yQaz0Wzk@Io<|G452 zimx2R6*79X33q+@hdPQBJ+5{<`bqV77b&0|DKQFtyGN>{yr}xS|EFUYNWn-CARQkO zP160Zun+#>*oAATbXjBn!!w#ESgWX;aq*PbqYA=rii zS_{uTI=_(IFHhztchoWBv716RE13MKdnf zMCpp3-PRpOS&iCvvrw>g{Zq=-(~F1S#TukhuJDXRnJ%hd{F>(N8_Jcz)l$Y_I((gM zzx|rR4QcQ3!=3U1EBf_IDOWx`j(UL~@f{rzkKY}?pi)7(>WrLrM#rm)a`n*glb~S= zez@rDzbL-X;KxUP*fYk$7LuR5-=R^;ugr(7*xqPM{D@+W*h$wHU- zRf!FhEBEOB&hY+5%9S`<|Evm4d_Aw)(!`pbdC-l28*uZ|;484(?@89}xR}#M#L~CC z{MLv%|0zF~_^{*wIw|J0?7VW;O9M%aaF*|W!wIrZWwbtjCwg6(xWg5yG0wlCkmjApf zAV%r3MzxQC99XU{ET@G|B)4vhdBiI~>t5f|KR)v_5!+L-y?1~K(Ef#cDvcDoh!}x4 zH@$T?Afd$zKb}T|HM-5wYc`(+^#2g|9RN)C?a-jsQf#7go zAy6@%_>MicZ(I&lK7M6IJk{)*idwcpk8oX}VvmieNDsiINyzYhw zWdC>Cu1*kJajku!@0yJWWRl&yn|D9N-lQoB!ztJpGHY>kgy}v6szPsmKi?RE(jBw< z?7f6QW9?4e-hTvv?)-5uoZJ(M@_b^1SI095bp2+c)%^nqRMzUOX(s~&`eo-e``3P0 zy0Kz!-0P(X6zjEY+nB*l<5J+}%+tR-Q2;_C8OU^1g1bT0Dc;p;dg|o%5 zYrTaE1Zwc*gW0;RZ&lQ0xAdm%SHS|EXYFSP(g^gZOU0xGqY>!s{D-ISFGirg+r}@- zjzWwY*QojIE!7aHWcc+(?H3|Y|9=ZFTw97jvpOt${JuB>?KtD))j0%0S7nYIk8O%T z-S@VypoE>B0T=wA%K?I` zAyAM*a=rUN;le|o`@CF$K;Ku#TyoAtiXEAK>4vWyW%wuQpw&oV)V!vai@Yx&P}SBh zA?GV2kWu=M7Q4;(4{%cuIPxZkM3R^2w=uY4sW%Hvty#y<}rkZsAkt#_v&(CqD-ORX4#KwnPo z{#E)M0{vds^VG^11p51raZ0j8YBTdv$+w?k5NJxA?_duV0y!Bkdp>$R0_ia+*dW6M zFngsNB8?DXFr%@FZ1JhPw~vBwk4e07QRgr z^k8zkTJOgo&}5_Xi2+A6K~+s}XBR(!lxR_}VKp8+&;$*5>oC6SbEL3k7B_RA_E{4Y zd1`IMx*eLaNC9+*k3|X;E|_P(A@GV$3g0vH&;PlklftJJyh5!m=%lbi_P53A^ExTC zZ%Tb{dPygRNiF`pU3OWM!q@3<(#?RvS`kt17w&0ND6`*GzY0(|+p*Z#Gq(_^e#P#; z4aOqSr&-t2%K(LaEEjaY3uRU7|6lK$`w@k*-94Y5#1!uJoL?V6G2>GHElomYC52W@ zp9mD*A6>6m`#=E>9lrf$=u(CF+E_pk8?WK_q&?pY47_v?mMVW^&h_`OhF*Q z+~}}v1zw2An-Dn_#*M;sP5S(Q9+LB;&HRSseDVAQ2kEV-NifP@QRrJyTNrRVSMdrY zW0qt2mK-63jh^AK(ePp8h6RiqGGNl6;hH52eF(;q+3NnRG8;UCFp|uqp~6++s3m@V zQG!UI1c)eA0u8)J3=5EsIZfxf@UvQ7IKKyzu|A+!*>dl+R3^p=|5c@Pf33sn(*74J zKT&n5ga0x^@cTOz7krW??=XGsb6m^8AetNF;_47+wE<4St%t~YlbK2gqWa{K+7pHL8tY`y}jg# zu{-^Xt64&9lw2r}AyA_zL5BHdZLimB?_o0eg7dAK`@9G=W`KE6!IK)t z-`4W%&>y4z4QRiN`3&~pxutB9>6g7Qqj02k%Gn_6R26**V$_zAt!0s|O_lU+2dmRUu6VEnYcGlBxc|V+SupNG*D`EHZ)wey=~hDpzLd6P9+F9~vn@&9 zrsdRX)8zwS2E9V#-OLT0d(eq5Nwhsy=!SBNxEpeFZsexj4dt`CB0obGEdEPo$aJi( zU^ma*;8s@cB%}FQ!LC8kyoSSbt)agje(}^I&wyN&%t4hd6>0B6Sh59_99!s;O9~|$ z7og<(f)bZ3G9~70jJvy(4nGj<@I#=Xory??6Y|yJM8GOZpu+}uPG2UBuUMTSI}2C# z^)^s$CQ$GJl8*(U{u5x7h73N#(-(|el?)e`_EpFr4%hq}iQMA3m4j0@h3#kPEU5Vm z>lN#*BNG`mukU1|C0i4IwDf~$HP+vpoSm&xCkL%{P|_~09*bv$N@N=$ zuEA5??N8FqW6XyngOGnL(dr^K^b$gai=rttXYuJuU?}kEkkCLL;nmApLS=hC%1oq? zGGI+banEOJrZ1|M!_|=&3|~j7?|Gi^t3UY$Me3B)z#=QOQ{rw=m@uxH8PANGMeLNg zyEwZ@I3;qiXv~2I+Ds&x;+$xtm9+#Q)Fg09&}h@vH?#y8y0KvBw8L*g!{Q!-tOckx+^JyPXJiJs zE?`(g1~!nCHY)(GEnwI|f^$j7#=MLfficenV;+(*;dsRmYb9M4tbk&S-B^o^GDWkC1j1H4+RBn|6(U@*5{R7HQLG&c6K)vXMI&Sfw$X{bvZ0xb=qJjkb$ZfSCA zda6O)qXGX^a;n$LQHjclmP$!RRWaikWlzK?)J41rvd*Q3y|^Srn^Cy~Yk>x}Ko=va z8MqZWK~fdeqZ-yKXELqAt+%=vpFtMZsv0D1!UcLi_8yP~hOTLryU&54zd>K(e=9!H?1AtY{4yFb`u zIgyA*9DFvAp||**{#FV_mWs`bF(EQp3Mkwi5S-!31smKPvOPf<4QGJeZ&#hT(%mXX zZ=}y){Sge7o0*<~z6WH~1QK^3rZJ|&ldOR#F|HY(fsqU((9VQF0v@1MQ?W9iP|@i| zxe;p>ZHTg=O=Uz9@HFF@kLzKADIgljdYNcO$-Ok_VQ6EZfYIr$D#eZK2Q))ZapP)g zrf*i5cRwG+#?qU@&J-ZXj%11Fhx?=iZ3#n|BqNagJ$qmh~I0P1+EV#vY_pKmIFyWTX-sssn^DpHc1vhrjI_81pHu4QkMewP`PnREs^VzEP=*%#bU*NB8=inO&mRJ z(vT6F%3e&+XHaihNrjVpqsor>e+}I#H*}ZwqGdeuxUvyJC`R&x zTfK!ZCRAFP&Al}kGtztF_8jib=k@DDSxDR1^8UH6RGQu~RZ_O2Bl_KcZ=>W6?SHAF zUX8PH_xOuIAI|ukOEfI4q>45F6JEb{ii*0n?8==7PG(B#_fn-zOUC%cC5XkiX){Zgm*HzSiyQ_ct5{f|eHdeYMhZEz!cTTS#f8v^odg&%> zUN#kh_EwtQd6l;&Xyk=}7I8GKq-u0&{NLra2$Yk-WjKDu&%fpRB2d!Fn^_0k5ojAv z#tX}iD-&iR(7Q&7S4O~z@c{zy4p}#UmM*TOl7l<>l`}%1!F-iJ*KHCsViW>RYuv`F z$^$sVif5GP>F%v(T|=PCYiydn3x#9GdG>ZTOe&xH8i73THuKGxfIz>RaYC`Locu`k z7lB%LGB>%|Ra2Bb`-Mds^iwdx0hQwQeq1Y-JoG?i&E|AJj}NLDeKzA3`cPdmXN4Y! z_{ebO-{%gf6w1c`hWYuuzL;XK&cE!w1OL9?dBaAzdF73jHE-5c>MFZ_yV~u3xjbel z(?bgqc8ttaQ8!~_+gHC{Uszrf|Z&g?xv^eov2}1TwGu=Z%QSt;WWrS z2$DfKiifS?bdu4OE7(6a;8aXad%@dH+|8rWR70?aGswK@nYa&!!iVAr38uh>Y&3<@ zWkF29dn^x^B|dzD@W8(h2YLUHuk84??;9nW`a-on3&)bWvFCxU7#fz zDP^ks!NJ!WH2(oqhHuxB6feY%lnJjG!jo|bQ@@Uk>p(8c^#~%aBW{C{RBPKdeGyDacL+$H3P~i;eHhanq#ayj_pXUs z*j(K0nTRaKQErw(qOkcwIWyp?7Z%e{lE$&fL~}DjZyEcx6?tMxHm_r3Bhi_Hdvlyr z!I4{OgjgMnXtd0C4}yv)>UKh~^RtS0;f+(HhIw}!1X}6*u5I9&yC@M~gS*nKPbrNZLPLyME z3)eHG)R|TO-?QyU_@2Vj5d$||$^>S5sC`01q=Hyi3Mjw^WXE~Ho@=90KCUqP%5S*+6QI5yq z@lcYfLA9B%{>w})dQ-A_T_W>)qJbx?0bwm9ld(|`AR7T=G@L;gJWbPyD=iL;9z$fv z6^gD^d_!&2>%+ntC=?lt6BlNa8e9a+DTlcMb-o0hP|2yJfe!t5`I{&u>w#;I9l*!7eZN3S=*BY?*qQ58+pY_8X|Cn>PDT^DQf4JdV;*b}>{H)_W7 zgReNx56LRFFy*Dx7@ltF98~D6;%2CnpWmJopD0>M$3lfSg@qjm3oEWc~C zA?{1Sa1{z)D${kaz3zDmScux`RK(F@Bi^X!S732xmHs@x_QMV;dI|Unuf-OZuo2+o zfC6_}*wxke{B&KGB3*1L&VYD4D3PUj$6AW~i~#HY zlvdy28h?I9K>DHy{YD@IxO5cca~zT|+@P9}HAakvGiU+^1` zFp2B5Nro5vS8Nzn(MU;uV5dSBl|p}%^m=H>AIW@lT;F4md;(fNW9qArETY*Y3cP#) z3Y@vQW_m49@Rcm^a#9Gqd?WJLow4&~tww(1U_ZaSK0+`jdXlRo+E9GOPXP=5BR8bs zc=>FY`=rR$cUAOOmKVVt8To03-U7AHBCCCrAnfl2i0_tGvu#c|<4bz#UQV@jd>Gqd z5$w)AyuOLz_`(?Jtu$v$4EVjm1(F5A_?DAnk-xvDM;2#60zW|(zaaUIHIb+-oHJo{ zuZco|MyT1GCQ^~DEtGUeknkVEX+iamoE#g0wYdWy-QP=9Ub2RNpQcq(rM%8-Ut?ilX4eRC4Y zNXkHtNK!+!ni~^24i*}#qMh_DipJbchU7a`yOMHRwvIAgj2`C1lsQWorlS`eeBHU+0#XO`aXsIqBIA?k#QY z>FUNl{2{uFe`a62c|DfHrlkVW7Tyl>F%?qLyXFs&h(gdwqI>~Rd4FWB%zkk9a zyZ0KP_2p__K4ghNCR1ijAK)zlMfP4)wj=@_Xc;+U(>P5~_|LKOopQi>^RWH#FilYF zy<-fcDFpJa{3+5RQWF%jc%FPkj;)e1zMRTzyrK#6eERD7=idm#%OMx^yjq9bvLBit zizAC1&V8xEh>wxZT`Q%1x^o^ANA2+UT-;z=17)5=`g9Lci?cqEpfo(I^KEz12Qn0J zxs^mSItB`V4VqDHFrqc^=;j95$Os!LV>zF}Q5?QAkrOn*o1)pgS}EsgQq7lUDUJws!T5OS4W{Q@Ru6?s;OLGJSiopTE~a-9gas$}?B?Ej+rQa#%X~Zc)@jT>H~;GE zxHt9OaCWyl)){9$S$+L7YJK4iXm<)}B1qN>Z{2LCv;6WJ~ z^xynXI}#l%pndnajRAkzq27DNB~;2tq*6vAl`;~klu=%l5(rH8J<>Do`x+IM`lRE( z9$!B)RHErO#qf9Nmy3;U|3svrUtYaCX_4~`{jz#p(pTdr=$GI*_Sx(2qF+XTt)0e{ z{lHKbO(#{qRd%(CI@8?r> zRMf*IX3WsT2@K_uw56XzkKrn6sq#qK>SgaS)Z9~nX|-~ERn%f-)pB3X-ejnjC9kw? zWa@)Fa-PkacS8!S7ujY4hXG5w6>rP+J0yJH!fPaH=;A(D!ybhWU6}B-EYP`VW^&&8 zJ}BaVcO!QfsU>f6abI8#G|+NUUr?Ow3rcVePAYi8H^fE;lBbmC{&s!A^lpC^eV1OE zoPZa_K!BkHP-7u4z+ILl0izToIG2mKGqxn@jIF=}u2AaLg_v!Z8Tt~8a)apGL(e}< zW#|jgw>B{%iZWP3;fW?Z88+A>4<tg?(r-X#Exf6%SpyQ{9frQ zZO^`%*gEgrEN{6_Q&UU!YPf5nJNhX@dHxM@|7`P_)TorUGGve&kAZ#*6Bn^EgN&x?P|WT;B2pHF&!+RIRv{yNuR?SXzd z*DE#HrZ4&>_+C%@i6fC4<=Q24RzQzE4E1JBL#MbE84RWDWb0U2v74dneS%H?jzL~- zZRe=Kq`o^Cs{F3I?stl(A*`NE<4Z#~F;v@&U0%QblY%mAyx+Q&K`27M?jB#_#tViT zelv5@psVwc7q;tr+VHi=X}Z|H?7wu{Fv`Io<72cB}1^g_2q@SHd5<$@Xgof>}gy6o9I69BOY3}gHKw~ zph{9gIFn0!o?ozjnD$v(ub3CdWN+__h(5 zD(m8&b<#&unat5)R#v0ppQyH%^?Fj?e>XC49UFD^TDO-`x^AtIw(u-tuwiOSQ|n!f zPFIFw4aqKkN5S%>7n$V&`vG@_C|smWPeZZkISy7hL)=d~k-2yxJ=N{vO{f9Y#jR$ZqHIJ?NKQodbJiQMaXI+fFLB z%@e2Mik(z!+qUhTBo*7XZ9A!;VjG>W@9n-%_fOdS*?Y}3=N#`C5uB=DjaBa9`JBEw zoepc%mYK z`^=+X+Wb<<+7h)B*x&vfQk;|MAab;`fQDks+I%2-axM4B5KMC0+1uW)FZs*Q=NsgO z|GsWuEFV#^slBCJlt%3(>120bC9jPhm$$ba$XbEL)x=whw$(;B+15<>M#j*hq&$%X zCObLdtNd$9F0x6x?Y1j&o!>{}ot6xO)+>MS-pn4|x(0dM1=9%Sq(c9$5u6nwlJhCS z&L$3^zx+nbvji64ITcB?JpmO^O;wcK*$rXadZ9Lb{8oj4xisVk-_*kjrkdB{aQhep z<80JiVm2{m55N6+M9jr50c4a|DVgz#2BhL=rM;xS%Zqc+nAYAaYD0KF|IVB^o@T*nO16L|K@M-CpvZu0isLu9F%mx#L<2&zR){9n!vP)2-o-he(SYtI^kY>R05Dv>?3dRCei|2y=dlW_#qT(WKUR9zJYgUxlZq3H>=bf!g1VdVn=+*TWzaQ2A?Scwv5 zb;3&mbA8&8{F?AsEH4VpjLbSkfO7wWD%+<4z`hmiocb9tAP?+KB&8;d^XdF~L{!C( z_$U3SBvkkWMOhIpXurD&yM{f>5b1{x)8>51^h{FC{C0QoaGIQeLj_>z%f22N zhXW-4wRZK``l$U00AXo` zm16+;v_GA_>e6FL4VJRS`|$QT0kdfJy+1t=gh{h}$E!W8i{YihV+O|Kvr`=Cs(lL0P zo$-O$cz3z?t8+}B&tbpnzz40h#l56YL7t;~rfbMK*P(9Y!`&t+?yhpqD(eBe);^CO zJ$7A~?`)}?xP!Ns)Og?s(g)SNwrlDh>FQU*NvfXBP$K}Meg17UE93&je6%#X8Pz_^ z>gh9Tn;ARaBEzdBYH27FM#XFInkEMn!}FkhqdVCdlY@@&f?k;*0E&{GfSCb2fHl1( z0DO0UmiUNlRc~J-N?u0#h-9`NPk+98KyJQ%0##iCK@_<5l%;-U6GCmBC*WUHt6lUD z(+Uv)L=M%v<9!#_XnCr6whFfu_yY16kxS{#c3zWaQiOr$wT8dxNCwCoJ}WwA0KoP~Y)-FK0PxQ&(QVLo z+<@*~x!%8M0L=m-f3dLou9~gmjCKmDdBz7yYQGX}k8LM@AVBppW*bMAOi}7NY~}_Y z)m(IHxQgfrK%yG55P=b6V7A--NMFd@4$teze z5gl$rmXX95R=a&9V8#is^zdHiO%)+2^l{sP0!OZr*+GZ~Z7O?g5^ume+->#>nwTnf z_1{R`5Yp#u!>0Cs>eZsMB2%pLqhgwz42W9VI=IZvj0E&d>oN+=;083C#Td~fBR@YB z~1cGmvWfoNZBa^o2Ywg25|M-zPA4yjI=^BA!Qv;TQ@xH zgbm2n9+TZp6(?!QW146OLpGWeB!K@w4zRY#BfNs+ul%g=JGkf_s6)*Me`5pyD^am2 zo1ylh8FGe|PUfoKOU41Aun#Zcy0{S1mdiaJF2L(s=z-RT3RLrX=O=8<+56XOISxU1 zjMR-)XRljV2ur;C`oC>{FvfcH`hwTjs&70ByD?r*oYPg z!&XP}T@O=fr3~Z3igz2-_F=S}oI-j&!cNL5hOP$&m`jh<&51QXm;f6B^)KX6?tLQW zW*;AjQ=A;n&I>pQUX(???ry@yWTOU6IP8l4JXNhIz)_akrr>uQo}{Xsk$;CW>eV!M z`jZa;8Y@`O2=69I97*$3JcBN_7c?UYC8qCxbnUK41`WcYV>7=1>Fz$jVc|Zl3*o7v z?L!I5+SvNJ&^0Fp!E4T)FFZsJv97=I;DJn1_;WEFzNHgo^gLwfh^@D@x{#OH12X#d zA6HsYtuT6xCB}1vf+LoneoDyr>CNqBn*8ZQZfYZk4(D3>e|HX7Yn%Qqk zCjWjhG9yTphs0E6;4(w)-L}k*m|EzpNZu|IN<*bC@Qe!fq_PwK`2GwTpl8=}X9{@t)WP=&^}Y1X?mjjK0pqA~-0oI^ zU|%&t-)V{t$!dJtap3m^`=!xQRplmxayY=%V=39EdfL=Xe2?faGv_29LSLQ(3oj$l zLC6pNWEa=Hc42bM3gO9O7uJs9KBWx)rqcnpVj{%XXn8Eq%J|lJP<1p0*b7&;+1K(F z2Wp>j!@V{6>U8a3L1;Z}-wJK0K%Cao|8<7ZIUy7Y8O{&`!$qf@dG`Si$ZXf~^t@#* zus>L#+oQN5Q!M-Y;ne|hGT4jMz$YkSg81aeyA5%(1hh*FDb^)#Xc^RO-DGefN`(pO zSA((nJGaiq;0Ui3V{1#3^MggveAQj@Mcw}HC8+fkaih2BgiZT(9a;#a#^c=V>=h)F z%b>;36O7hMu_iyuG)UCPW0Y&aV%CJImaDe14KCoEZ5IXRZ$4da4*d&GcBFg@K9yev zyjrr`hVyfH8|+@nK~M?T<+1bMZfQnt#YAO{V&>l}=*5j$faDSInXFHYVru>yjF4&S z8_~G}F9Npi?5z^J=~f>EzOB6?LW*TMQ1C-j@IbWOOe|v_VYXg#M&tNcDh;jp$xYM9 zQac*6ooIBoa@<#j!B*{rUpshkU*I9fyE*XbfltQpWh`3wqaZ7V4ApI%)y^81gz;orZ22A7;68mOIqX7q zb&_3Pw`DEYu~6Qwq5SC#;40L9m7t(!n*43kv1G6}1x3XwB&{igxN}H}ZEK{ku@w@q zZGI~Rq5e8kQ8W>L&=bT9N3{!)HcFIMds$^c|vh8i1X8BUM%@4@}fzlhA zTa}WS8w3vC4FkzVxciBzUJl;@-krJhx-;z?(e|lRZM+`i9QaRMzhhoe8yeHr_QR32 z+;t~+IPkH{+Kd;0EIP*%hp_EU({y z>kB4qcj?46`!wl7sJ5nk4f?KjRZ+6Q^FS_jY&UgS4*M6M1}^sR`|7qtwbT<5a&gd-mNGr4E*+AEElMP^ zer?aitgvw7itpnyu<;NW!JZtggeE_NBK-Ma574TMhKuAOf=yzt@ zoXzhsLvz76@_y?U&~;lcL0Jl>SkKw;^o3-r0WsJ1yV#lT&L6SvHRV%2qpnCoH^S|9 zy&^c|&EV#>$PjgUqZUd;Sqn+d=FPx{>80!$53e6v*T_37N!k9>yQlH_3oRWf!In`#MkOdg9=dh|%n2Y9Pzwtk5cC`Oq{ zn-Y`y`SjaqPmu-|waYexnOvRHglyjd#I|JB(y+Ql{G#e$`HbHaOTT=LAHN|vvGOHa zYNf6~w4ONTYRKKH?pD0=u;u#td5+p^f+2L}MjQfqXgPO{)hDaR>-~NqbaXhfWK?&D z+MNN0uB&G$w0_hSiPzJ*c>Ug0jI;EQY#yV)Rk(J@vXem?wcdRj zHXpWe>os1gj3apa9Zh)trJUGn(vr5`7pLa@zq3dA#`Cfd16z(X{%&VhEOORj?wj&} zm0=UoK6a}gomEwVK}arZ51bL-3Ot?r*(9~yGeFKVraV8>#k-3t>yGIE)2p>E$0f5i zEoZ?pxYLq5f~VQt;OM25m8s8|R&2cFgd%R}TGcAht5lL2PDIF?KfS}>e}u+pQM~0( z+{~b}xYQMIy83Cc?2Ec+M3^D8D0LmS{B^Yi2)x6;?pHDJLzm*OJ#XSxKZxGktA|B+#9%52ZVZSJ=|hR}~aC`FvthAL%^uqX#vyxl*N>mHBDWM9-z z66E6lMnsp&S$$a;1I)tTTd@qt+p)vEfbH+fHsK{>e@%;Y4Qj5}lxc16(E6+-vRRh$ znVI0cdQzgY6G6-pu7@mtA-+_3N<;)+C%8Rd^JNPw--gCzwR`MOe|+X9XKs%pL6V+% zjtiA*$kfYq?47Ck)N-6Rr(BDabq`LNivig1WtDZKzfm^MLir*XKbH?BiQj{ zg8t;2EwAy>thz7~fIO#Zb1uSKx#TQ4n}Kf3F=8zQ zi(#N8Kjw0yC$b|C8=On&AnCz#Llog^1dsLg@u&VsyALYtglVnl6n0sL-Fe>T*^p<8 zi1o{P4H&TeqQ8g0OJKt#ROu+ zG!9>j2Y|$}{C*x{dbmvT^kw3_izHOyLw;s$aec79Q_cai}qmRXhaXc}PmwxQC zD>TW*jGa^Ut5?8ZKgz}1_fKM#6J&CaV_Yi`%g1du;;Y(MW7wf*mR@a^7@=W*gxvN|qDUaKeKE%uG%;e#Z za17UGps%J@_Q0Uk6_3VipZ4XkzR%t^MCu;XT9S}Wii+33(kI1{k4Y)PTRq(X@i?Or zcFjEf=$@My*55}bX*@#oqJq?{RGb{6IDp;J!<`dhETBia>4fWU-UZX;!Q;BHrACIX ztm8>{Gwc1WU-9aI$i&V!xwN1e;imKE5CLpxqo`PtNEApsQB2EUE~Na+M`kI*0A%!q+}MrgRaDL`dR{IR$Yz%~ z4^$s|$PV2#k18U+a+G$Ih=oSJ@(%BxoKwO$%VNIVUv)hpEN%1r7B8W>QdL>&>3c}+YEV)N zQeHs_7tHHZ^mB4#+(Vz{Jov;2crKRvI1r|a^()`z5gC&@EnR+`=;un#D6%$g$B+E% zVsd;O6^c;%Ax4H4)vl`Op)Kw?#Fensqj%8wHuI-F_V_~I-tI!8`lM$k*be&UR`jb~ z%;#X6(J73guFZP8?`IHKRa@ZeS<}&4sU*yO{q#8F&MximENi63#;~s|$7ZQpi|-h- zNEcyXz>yxf_ip3QniqI&eZdd_yb!&nKot&qI77|2zGlR|$x>NJlKd(O!<}1qLzf$z zC-t7~!ry^(H`?(?+mD?RvlHyK+#gBGoP}X?MX5Q@?x)Su79Y&GF-Pcx?X}uXf2&T)aVG$6=kZNUgWMck-nR( zT-~Wvfg9S>y_wb>E5g0XiK<8S1aUghFTqo8jizpnU5(QYe}A6uB1)KXVb1F9n8pcyA$~ zQe=m*NdHRQwPK1O2~$pxStSJnyM%7(v8?o$Kt7&z!drj&eKIn{EYI_sdKp*O|D+Q{Ql?q z*CkW2_tuL(oQ9jV?);$ZKg5%JoSVg4?JngB?+wrEVITj^PsD*1hIt+_Kk9SGgsC5J zRBzlznno!?!v91@nDa+@p>j#M#w-Ti3{j0iP_Kq`MTy|?Ao>>!H`y!>q@QGQ?n=7-XOMsF-bK}d z52WeQp-R*rSym02H~=$7!RK@g&%bU*_k^pYlr4aSjgK>L(jdFp-*8@ z6C@>oM+CIV!`1p?vtc*I(4hon({d_=9zkeJ-rCD2a(Bw2GHGNZjln7FT4B1>n=vx~ zVd`kr6b}nLBH{=6>YrJd2oR7s68mMe3td5ApM!j}O>&64<;H^H2p$r_Gqal^-dWH; zHO4T*koCgdMRZPtI!0^x@iwTTaJX!`b?|vh@*#g^F?VZBgV`6QL9;t!t(egCckbM! z?TB7ad}7i`J*aH8Q+6ui2yz7u{%%rA6+(X{zw1+G#)Ys?vV9mj&a)y>`HqiR-H$*| zoMvgzeebWX4rO0Yk>bKj{Aj>82=>9WkXy5&dxsL4r<5OId0DDxJTgpK=Dv^S_IgZy zvOdvE7nFUv#TMMIS`@7%Kb3PeJN{__+hi;k3I0JrqUleyT31)gOf?&9ugp)T_oW)| zLcvi18y@~0U}Lgqtw%8xQP8d3S4%(Wl=6+u)SlQFZxKkmAMT?eXFUq2pHGb zbriT-#78GWr8L;CJ-XBaDPLY*bQi3~{w}6X`qq>SOo=JPGUcl0z42zd->e&!esmS+ zbuN!ixtn^`rBU^LTY}yB^onj0x&GerU}}|WK1u{nKAfFPmdClk%DYn(-w3#rg?)Mb zvl81u%UQ#!)jsf8WHRJKGkE4uv2Y?Yak!F(=U8gks<(zHYKt)WS6vgr9%`2bsLvVq%MQM^jutBu>vshI zb>#I10v*2M=28{wfEHKem+cH{jVI3!1j}8my&z$W)bp={#|R9dq8Qe-0t98>P^yA8q^w9K3P8oyMwg++CF|<$i)-0r8DP|SI#KclNlJu}19o$Wv3~D~_m{&z zm?AGAF-8tfyIwnA+LjLIqalRzwO#rs+Ly0x&JMZA1qJ^j_LbK@+Zimlf!r1qKQROx zJ+^}m1H*5`TJOvOCX6i49R}nw?Cu@<^}@ppIEs%(EY)t1J=Np4a5Ke1I{m!?@5^aM@t{xo?0jo zn4Q7a&82hpiei2IhU)Vp9QlAhg3u;{ZITW0XmGAk>$kekY4i6s7dh~ggPTmq$?;OM zQU3P{s*axuVsppB49QA@@nT$Hf8DjcK%(4tJ4FRqm@m8MU#gCGUwVQK=)+-!8y4AH zq0WtV(0FAUBS4DgB${QW`f%Bo-cX4a6xJVQ)x6#WyZ1R_qTUp93QYNU7sh`la#}W| zl8I9&dQ7(>sv#BdfqicSRlR`hAf_~eTvB6&-30XJkbX}fmyBqC-i;d^a|Rk29B>Q7 zC@a<)Nxw3{&`E8$Ez9-EQLmuGj5NxQEDBL(5w=oHB2AJwV!s5DIXdE?z$68Ib;WNo zn39y3HfEa6!j=}E$sE= zMwHJt7)vQXKu^-;D8uxxFKnncQG-#V%W9-0J_vxm)!#1;TlRw=jbWU1sDpMvQS_y< z;8;|b(}7QUwNqrYbfj`XcCeA2d1XxKr)U6flVTp^Gbv7_xLEn{7+`EzUa*j{^LB1^ zmHgjo$~JeWn%Xr}#^9PheJkLp7m}94;lB2#sD!zv&}z$A&Kz}_y{nbV8tPX~KKyGB z`N$8^S9Con5QS<}$k{Xsz_QYJ$zE$!)|V(?;sG+12~U^4;FbM51TyIpjvuU%an@iO zzoNl~?3B2(su|jRNiNg;X3y>ub*D8ghFAY4l#Xb9+EW=$)-4mak7|}9D78QZES}~J zAXg@`sRZW+SEHL=5BLvF7M;N~vi@dg=kk5zvCwlZqaY?VAye=DO#S7)p2bn?9!vz;bV_eukS zY>M+w`zWML^c;Oh7`QK}kOR;6KmLJurpCf*y>bIG{Puq^SKe_oM_!?3V?ow`NyTD9 zJaHRj`$HTn;W=H9!>){H9x@`T%$2YYZ++Lm1!J-yYU+1}p(F<=W&O}-E1U~@U{_EH zoN2p#QPu)aFMmyqGL+@2-e0gXaBAL#G&}Z?wcvQ+n}_~#UC5~60ArLqSX%3m8G9Y} z86P8aK1K7C)E@GGf&1gnUdK=)`iRrX%3=Je{}ccWT3#{;{dCU;PcOjF0rTAc!Pth%@`eJYz4to&0~?6D5h3y;}1jp14*rMh`P% zBM#ZL;O!~;`2#tJ(0(T8NEbrb>}&tYJt#q1!MQpPi_5db?-rn!-MLY238+}>KjFgR zBBH9-gZmz$Tmt5$sj8g^1`;x`Og*tE_v)VYrLJ-Kkg(%Lj~x3bU0i`7Z1yY*m=OyW zY5P+gB{E&IjwMRC>$v8ola14Diz!h7vQBWWZPW?`IqelDTN*_@RBErL&u}O={F6Se zf0Jem*k`hx9UM{7qt53eltxTCvm5cX)Vyf9Ce!u7hu{j^$a0x2(YXa{=D)((4erF%fljPd{dz&Edw~L1<(j*{W%tj?UARBt>j%BH}!{}yJ)O10zwcbDD!X`IGcL!Vr z2`EmKi?LVXZ^*$N`Dd}b&OhH;!tjTn?l~>|J(SrvF)WxhZ?m0QwA0_dzTXI3&*6q? z(BWc&6i-uk8;c|EWt^Zbm(8E1#tB8Vax2g=s?tj{oh>C}H|5_@J}k#<*TaFI(xfML zB`gU%(uoLW1wrqT23fCisZV4eYm*)e)Vq@b6{C zRY|h`7dR@n-`xej4nr>!y$7?u5F-%(?hxLwWCh3hO**9^m7WlpX6_+oe+xq8wOiy< zQ*U@*X0i>aC*8=z36|3gkX*Kj*Zxn``r8Wv@1t`GJ_omC$>n1HHk)<6%Py8~^ zf1FQ`8`?1KUrugyCCK!3uw|?CYAGAv+rJGG65=2Io4KVb#hGduj0}0S#)C(snHi@P z)iMT+2C^GTIl3Wb%)V5a6@q|{PS{np3DQrpQH*M|LB`D!9rxrddA7O8D>h}eq%WQ8 z7fj{8|KfVOi_o0#w^o*d5~VVNdiX<^kYULKR0S1a38TWh&%G3Go`(JY_@QnO(GIYn z8_`7eMC&XXfUxVfKegC^Dv`?w)QF(G^cDp-;g}e<$RXMTNr+W<(!3J_70Qgf{Y9s8w90J}m3pwrlBtNz4h%lbC^TEH`bi_kBR^eRIk)xsNw(J?l4}f}La=N^Xu%e}2BiA4;OQ!1}hi(R*XH@r=Ng@_MK`4HmE*?N##02@+86!CG8h zG(ui7wzOz@jDJW4ZqB6eK44ol66Ycg>^Q(UJJEx2&aJs^mx)Sk3FlN+22DBpps5lA zmhczypD8E{*oy!OAGoxZ8^wX|(&NPDOd2@}<#xB(S0A z&5f^5FS%dSxvO-;z`Q`3Sg%HYzOvP7O1;~3uoR9S6>a=KYfiYxV>fkl_*4HE$yJok z6i~NadnWdCxBoPGB7A=On8xQEpQ5v?tn_H8R#IPk*jTcCnmA+;%^=c>uQf6*jua`( ze_X0rQLi^~8mapyl!{19IqHd)GMFm{&di!Jlq-hGnkT^?A>^hdsyYX4<^TyG z$*0o=)?+gus^O=cFppVxqMsG%)eRKDL-zIMrzJy?Kua!(K^*J9O;IG)<#TM~CUoON z@a25-Achp4${M3hbDfOtt2FJg*CmYJgHr~Q#CSRZgzxXA44=8I6c@q=9DO~Z5~}Q~ z^|+piZH+|N43q=#XcAnI8gSD#MV-C$3_VCI%?oB`(sJ z7aZ%6MOJx~zjcZs;|02B&!BD+`!<23d!hZ0B21h0rCz_ep7_xjvlCrW=qx0G`AMzV zmz6cx!x3D|ob`GdK8=P_G)Eg_bKU`- zI&r>@@;Y0l#a#xbujrY$-nIp=Sd$weJ|^VZz6Be=)l88gTq&s8!4_e}KO8G5F2UQ; zQ3B;B48Y)^##Tm-``>g%BN8J)m39y#Q44}ge>8`BLe zWY&;A26w*gy`V`U895+OIDB|cuw#E9>~5oI{iMiR84R4JkVEr4B@!3Za~=0Ovi~I0 z<_hVDsbj_YpZ zA%}KQ=bb9~(y=)dCV#+s(Ie7fsU$m@FMO-CIW3v^};GK7_WD(6wZY`MKjPc0v{s z#+1J?C3!H5Mrc zLU=zCgzUY`emMy4JWP5NF3yLIgGv%BK3VwSiEwApO>oYq^P}hQV|m6dOQ-P(O2UXe zuFeXi@l60-;8jyCNdOhcj8YYjS*|T@dg%ib+PcB+mju2JJ=P)`wM|(oBBK#xivHdH zmBV2LmBaP})rFjx2eRW)W}5uoaAfd?v@;J^_oFLMhP@qZzpn5J!a%^GUoc2uvpqca zJ1k}}zKXFfzE^2Y#or}Fx*;@uNb*W78E?dlLCxIR{#|+L{XqYyV!CWtLnJ0=b#q2r zgmcRiZy7y0DN8j}z8~_4_*m<(ZDTw?))#2BzTgDf8j&o!h!PQ335=qIvgl3Gpm3Z3 zYFWD$Y{Ogf%{VaMx1wgit-i2*3)nDc{!hX8w7(e*ch>uEUcC_G@b*@+vZ*5 z+w2G85i)|YFzydx@Yyl0R=xvASE6fw2~$$YpX;q}(DvhEpG< z%Iy*$Yst_lVUe3}mCRyK2Cg7ClnVvtV?gA$bqwMd?e6ctUv)ot$Z!&uLLP-&Qe{u% zrMa=im)loBl~+XSLA9PVH`}vt&>Q2BO*0e5o{WJ{J@W zw82>D$jg%s92Jo|?GHel0V&J7*m8H9C7O^wGm?ywdp5|oQj6+IaVe|06Up;Lqj4m3d}tiT|ecY-dFur%)oj;^V+Gt|$fSQ=q9 zrKRzPUB;_VU0<(sMTV&fTrVH~C5n!5ro;>Tn?P`f&RHZeC-9(7Xrn{7SMUk{K5OsX zIa7bv1;l2e)|WfGDXSG$){`DB$0dhX(d3hR=y~u#;aKF)xEa_EI+%TH)G+2vT@0lX zk4<#Gsk|FJ)f@5rr#nJ)L6Gs8@zG1jzOQFc|KLTZB8C3}kG+K9aA-ZgSJwbXqEqvL zZwvFp0R{9v>J}QSmpZ>&yU=E^ffiP;j9(66LI_zaWUNBBEEvAR!$~&~Zp^8U1xQfe zzo^Q!G1*E89Ro=;F4aQA^KQXzxj@ka!K&hLGSzQP>Yr$?Oo2bAGkqnjT#3_+GmTc1 zp4V*(T)^IGkm630aac$C3m*&U%fuSCPhVQUCJN>Du5&6 zVi6iAdI0&UAu^m2jTj5v05pvhUg?_`anR#0OreUC$oM^cR<-~aOe==sLxw8l-xOKA z%adu1xy5=v?R)1V?h~GRzqxZU=(J{K&#^wso`s%iDYvu3hRABqL8mPfZHfUCimqey zJP~BoNXX{J-l~>3)BtV*0KwIce2d2Yw!~B<*{N~XA6v6o{OPkCS7%wS7GJn3E>U7- zD;O|OeViJ#w_6p98nv@)*ST&lk*gyl6``WpZ8;zCAdyP6F^qEso%}6X^n7EZeB^tLz%O~d-?U%P7eY!p7S@xFiUZW6wzuaYo6 ze`SLI!3EtD^40J)g(FuXOHhotg>!Mr`u|Z?w=bUm2UQh!?J4;fOt`{5)lHk!1QmE> zUd-Y^%-L5nW=^h8sxmSevJI_&g5`YSgQ{z4c2Y4=+98xO=(ud(p~)RqtQN=^P7Ad) zEN#Z_==A-d3ds+|ziP2HLHR!Usyjx~T5kgLUpFVS*-uXSRbH#FECyBtO-cuB^crt> zQ2b|~AgX2WH#`5g;Fvqt=(tPH)5!B(oxLZv@r@ZyqGf<{jvnzkz=zuK=W%MjNgBp<0YOdLW`gtI2kP!@Y>u@Iq1z6|r1 z!?R`Rx4E;5K-2yhzvZzx@TyyRs|%xMtJCqWUCvS;y|23%d%e4vm3s2zCdxpc7&F6f zB!{@b-eK}v&^}^D6DBw4Hx)XtP`1RF^Qhbhd+Jg)bbW?kAlBk z6_qK%^4rf5U_lAbTK;xTkS+?B$ife!Ynb+HJ7Fn(zO}G=zy{8nr9G}a5C~V5a1k`3 z0qYvBY^@u>gi~AAbI(8Vgt<-ydro2F3$%oYgQj50c`O!kVSeHZRBg?<_Nb>!+hphNf$_s1 z!eZE2dc-iWCa&hIwocS%R>6nTF=wZh{YlpV(Ax@|Lbs4XKA7QqAc<-DEE&$%E~RR4YpIak1)jk-vLV&`9}Ar^dsVzfP~Yi zPw9soC3H7?rLw-f?LM@K{&H@0*qGSrTFV*Lejvr$m27ij+Gj;d;&nM;@-w%P5FCZA zDbU1tP}{pv-^L|cdA}Li#tOOAF~W=Q1ULD1>JIK{`2nyR96(XyU^hXk%$RC}J<#>h zu*Szf%pU7y)s}x4LN*4wxdCqH_tiIo*2ZP39eFO9WqfI)Ccgn{*Foi^C8M(Z@g4*% zrLBH>*k17AVw{v3rO9s6FZSnCLC;%|lo?a_xc@sM`-Mn&LY?4?`H&?r(-+^{YEly7 zBm4bQ)F(?8^6q9}fmlu_Ay$8*UTz0%s4_YFNm)VvA1OpkyPm-A8#u&+4VKanmgdr= zQkv*tn6BvT(Q=xCF73y!KJuI=-8|a>V8lgBGKY0~kpXGNy1R+1q@t>1!@YJY%xkXg zx`l`W1Vh`UQ`b5QMALTGp5PXoFhdvBWKA2SaL*@#b{!druwM_QF%bl{F~IdIMQSh| zUR^YYP8Kk`8hYU?$P_~ImK-{7CWNx+0@(4dC`46JZ~U{SNj<@qU-x_Lb`7q0?OMJB z>qSKK*OhN}0!isY?b-o26@Kemu5@3BQH z(=?;dpb~4@93MA?QmFx4+pVjUKv?zZ4I$Gu3ivIY#G~XTHJ?a+>&lu2%qEBZ8X^Og z?jT?>{_1XlqvZB<<)Q(!VuLO|MTBHoGX-u+aP|^%Bj2_)i@RVZs@v9cy?)p%*n`R5#?1)xlJYHZvNreCQ8>6FmPdyU&V_{wqmW`L``82E{ zen_4pZ6OyuX0d8Fa-XjI^|+x_DvG=PSSz>;6=7A>#{mT)Br+R%8yY+Dl|Suewkz}! zOapVOTW!TPX9Cft+my^w2E+SsgU8ugF0)46mFRB5F`!VMYG2+7HdOO2dr5zKfxn#1V_2t>@S zv&)tr*8P&o!mcY2pLm<#!*-7WA_bRy2GEAR{^Y;KVYBjNq#4 zJQf0@hIg@v3#g2s=#yPVHM|it9ARDDd?S5wNh@iJke4ekmg($Rl9o+EKY6Mm5 zJ!Tr?0CKo+i0JxL1Z}9Z|8ppCqig5v-+LP(sA5{eAIEP#TZ-ovUs za6l9ec(SM7i@B;9&MnihvS$wjIlZnnJaP_#ED0HvzqG43aTtOQH+r-*3o>-#u7P0& z$Au-dob$!d{t=r4P&?Tcm&llo|!c-NEKSE9KB`t zdj$RNa9S~7wkovS@0fk;Zv?&i+^J^!S*p;Xb9a9aGJ2;nmhlijmi>PM@d`X|{Lt~x zocdYlT}v7W>lQy@_JXG{zwk=VOWu|7Ti-$}PKGmh1=B@$ybhI@_76_0bK&DJEOopZ zU*X1h@44>cTv)_>WfwlT%9%_Vo7X?}h$4ONJu{u|J${U12NqHmJ3NuH)CVi3U#ck0 zadW)NyjtrUO_zm}fgaag(Yb)~by0pQ@54H~tqpe{z|QVl)-$ZJv%B`EmR8oFDU}X2 z6z;AFI(Nl+?OFq*dV6KhH>wzlAg{FbhPlHKG*+^8{=lIbiaamUV&X-bOuR_>x-tJh z_n!Z^{Jk_@Yuz0S?-6)|wXS0eVs+(6o zss*()2SGE_XjaxOCYGqV#qi^U`tDnaB%gBvbaGCxir;a}6S&_#!>5jT9epuP6n!U< z+^#SISz>WX{1d8Zg9B85%ETR@ic$&HI{FuW9o@V@!V#%{z`x#D@ciAN77~6nxQL@* z8ap)7im}Tl1QK=sVICq0eHFEmM?{9Ql`A zmhZiP3LQhDGd5R$KP3%Oq#@`!`srEqeyY3SDrd|r0p?tzXWJ@CLqu$9Y(9>{)YC^`qBIYVwn>$-b|gws9j2>n zNM}{Lp&i%U0t&~RtR~SF11gZVI;5z6o#~rYs%J%{heEO2^NJldh*0f0baHg$ z4%KziccYpEm;gW)gcgjI)cY(U{f+vXTtAo6Ga5BK5Ih z6dH~7juY25iLeyh(=B4^)=8VR_TxV^yDs5nYs7RYEfWG&EFBI*$2p<{+UQKpr|=KtjO+7GjRiT z)tGPpHz3@IoHZxjds= zE(u{i9AUg1Q&-9I`@Qr_r5M-e1z0n+gi(q7V?R`C7l4T}4bKDqD8#$rjXgo+w?e!PWUv+8+6EjO z#?I4PQ`H`o;P2^11se+sstts^U-DN`D!mFMwO$f`{NOWB}AW%>EFW*%qpJ7#h(FM7kX|+fULhXS9bD9pHg;*^ecv2F-FtM+rW2 zYGNiF)+0U$5_PviTXDHmugpkoiqVuj(-L2G)SLj_ObdeI0VN(YW z(G@FEVr<|9WYoZbsLQCqZ*djeZlu&Eq0gk9m`3w$EyMPdA{QIp&zmvi{pRXr8&A{X zR&r*=S*7p859bujXa5pYEY*H9WWm{T(+8p7kQUF&htMGr1m!Gy3zE_)~U!s;xrfyn4}zS#K!350-X( zWFe-YFU{=wv?%YyC%O1Myp8t|StN#`H=I{LItuzj;T<7CXLv;58S*K**)>k)4H@8i z43OwbD?Ga%2#I|3Ib#O!`fgA`Mm4#BzSD}z(RVcrX2)P4(H9;=bZP*dP18kfk~37I z(nrN7KwO7uG>u-3D<8^rzK0Oj2XNe`sPoncAOTp%d1k#4V(@hab*}lM^E@UuKL17L3B(3pf&mdsaG4-@XiesjbVnqc5xFDA>bi zdQEKoX~=_Y1)JAsx}N0^h4;l>1Rhrlvh0A9je!hsJ;q9Orq$g_{dgbBII33qmz#SO zyy~92wydA%SyE0KqcEl*u4#XG1OVORG2H`b4*8iNQ9Yh!powZE56*R>&Pbj~>XAGR zupWY+=SA{7ETK=ZNbSkt8l;lK2b4%i?R=n|7`9nyeBl02sWcp{Ik<`V7KqvPCtM^C z&TF#fddz3>zRFnGm8F9s%L+(>I?3z-N=;#50?1Ay~T@;{OcC^m3wPFp<|Cf!QVI! zQSVTTC$?e8hFXw4f5X@5W#o);VwfXdCSG)`;o6)R2x@h?(t}FF5#*J?LB}dht$DNp zvY7lWewFyt4MCx!epYI|7ePr2YZUKv7D0{6c78F}7C~vdI`&?+_q~Ft<+^aEyi{p9 zbN%k~?%n>O4^?LMOBf45|Igo#sM6pe`X=p6&WOlJ^x@c<-FqMXd8J@hY|plS+6d+I zV8G-4`_?1K#{a zNp6B5<4YD{yS&2`Om?x3EHrv}dltfNvAWbH=78zSk25Z|kO>NrhP|j$lKI9hrq)Qs z4D`w^|HFKYPkr{~ROsnLp?6M$Pt&nRi=(rTaIzVY3@eS9I@1ad$gwaWk29gs;al-= zSc=zP`Q0?2Plpc&s{PA02S;=`n%RgWL zgL_LZ*X{MiO4;1o74xPxJ(s-a-iEvU8N1E+DfhNz)!Sv_SNjzj{#?W3duqsTE%0Q* zcC0_rHuAHKDL$jc>5aD*$e1S584*>-qi^J0E;T$6w_e6{b6;y=S_ghptikHoraNWK ziA{sUmj!>2G4AHa8$XgMWz6%&38u-f-pQD9b1y~r_#P``tbAYki08kNF@N9AdLNPa zK*qc{FYb6aJ6*;M9hCHS#i6G%#`?Bj*7=QTGDdQ2^`bKaQ)NutdyC?4)}+Xo{T+M% z+4lzh_i%i zyO}2(`7|G_fi)%OF5lDXp-emq22K|e^osLZP{c!}x`H8PX(*Wc91rp&P2(E@-U<$t ztPQhJ-G*5N3>%?t!z`BQvtdZ@mp`ske(ApVGGxWz+e8O-ZBXY6q}o=waik9US;|W zA7=;rS_bql$I5gf-6UWFB*Pi3fV3;|e2y}0G9-Hnk5!O%wa&C`CD*7|@+wqvqPqC4 z)n7?W9v&zFxSWr-2b%&$yyZ5BD=NaXP%sYUf#L;Kpnjo7aXS?&s0i zB(8FS?b)O(4U?D*D|ua3>l@9rzNUKnxqwMLnQxDclqPXO4n~UzZ}Ms7g^QdiyQg#a zJ;!D!I5XEO;;ZGj~?QUB>`u0`?9hEQMaNsY3I%KYx>Cp#45tDZxbOESiNEiF-{}ANW zG0L%WJIHWWR?@I72%4WY$<#F$L3TZFp3G{Fpeh+#*V_Q}xmvWt6M!VjS22Ga5tMke zt=ox^ccc-J745SuaM#f~2)Y>?yD~%#dho{0M^sf6I^MS7qv|7`Yi;e~n~vMsRjF3> zCsR$W_?Uv1o$ndy-`Zv1*{SWB%z6A5k=E4@WQ0cLv$gB2?yX%DeyyqUL-1fUfkrh{ zYg9w+My*;g@ijgetrd}E`?hmStZe#8#>`A@S4_O7)5A8a)|(Gw57Y zXccet&7f87F0UAhzDeA=O)+um{C+mF3THaSclIpzOu@uX{xG7wE;?IxbiaifpqcSE*VgY|D;TemOh(Ht z2r9Ls#+#-0P;2ch5q&nRJL*hXfm3JIoQC>Y@R*pBvRlYXomqcyx2r4B9QR$@l8e2n zBj{Ps_)mR9GGxr-%?+2%GX0=na#o~RjJu2a^o#1IKaEGeM+SA=`H2x#5tOq1)y>kW z?PS8elVY04H%u`#cB@-) zXubuAfT37rG~ddO=Cmis;TF(6Js_+UF|$^(ETq1p4hHw}g*G8u85AZ4WgFijvx~e% zoLsanspDE?yLyXQf&I8cy+w9P@;ig845XTE@*kbSRZ9K1Dpn(^_bL*;SZXF-lrJTZ z)E%g(6=b5~)33x{i@^6T@{7DeNShHjZ8p*HmnVr!JL^+ndft7wRr z)@`7v{Q+brIi6)!T`Y?RmXE=okKIc^(C`^YpO#V}==DPh-|jn70-Tkr7V%bhY6jGI^QjRHwx8O=*u2BrnltfX{dY+1ZJwCP9Yb-`cb@Gsspj)l>dD9UFz9b$7nV z+As)u`968ymc9r&nftX|-F65XR>dUkq#=SnjP#jQY&n7^ILoAuY9pxon*JZ>fpI_6 z{DsNQOa#S58Be%-8%{O*b-#Yo90Z*Xt}}f}^{)!%OTdw>M;9aLr?~O(ndu1HG^?|9 z_n8Q4`^9ft)sKk14X^F6?4E?6+2<1*KxwcfWYURNM!eSpJ@_4g4} z_jTsg4d34@m?t}LeUqMq_L9e1MZQGP$f?x>x}8Q)(-NBwnYm7wDVH>l#N4yYZT>6Urt2YQ*b|vcHqfi9JSszW`)C56)7Hpd| zKM6r|TQIf0rXXnQh49}8DkErPn^VJg9Y&Br@3TEy1tLbJ`IQ*Zr!<1ZrcCaQr3e}m zKgs{wZ3LMnym@xW7(sP9zx%blJ%S>>SzL%{i5kVuBwBVptV-=NH;KRsxMb1tzMp@Kyp7VfHv+KXKGkZ{$ zsP!6qZ#WC*I6mO^y z^Z-H68nw4-08qE2!?k8SLURo1)VTF?Ky%l6a=>s}GJ>A}^Dka&4T5s!O5x2{r7I8E217yT+1tyeA?Qu`&+vr-2zvHy z|IHFW;g8n`X8yW~pl<;)9)%xA6c(TOd5gt;1j#FW-`5SG1%0snf`1LZe$K=4$fY!wPyJa$ z;IuoNC+D7gK+xe^!Ja39jBb$|=Na@z(AM}m$Glb|C~$Ctdkw5rDIC}3Mq;J)2+B!J zxf4+wLH^QZondq|3^`?DqswIC-ai-z%4Zj1$?7?Eo?z z64RkkCMxSrSh>NuAOJB&hUXtahRK`$)^VALAlJ;5cDBhd`d#_{ycdvh=S5 zZg(v|yX7Ekm%N9pwN<5X`Tf=(mH~Tf$1SZ^0_ru&$YVsmMF^VM*W~#49SB;exL+|6 zG=N)Q$*TJ>#EH%~8|oW@p#7b4nyv@vfq(y2|FTe7_3uwyo^=C3JJ*zKe#R7KSk-gE z>B^%Jr0DZJ{(L-wF2($n)(0|18Txs*hg1AMmOI|B|03winPVoksjEuie&aXw#NYBLQIk||8MZMM-oPP@k;Z>=+C1n?M*rS~+ zF2G5MWt#i%RR9kIpZYaW9s%nzVq*EgCev!$Ej%<)Vr;0}5@Vsl}{- zc;)4J?q^I8H2!+d==nflg6G?mnb7rO>{j&hgOd=4{20IF$VXIG-D@|@oVOsT@raE^ zZ_6R5=lBpw;|_3e;p!)cR)Ox_)*|k7_c{m)`!cNVuH3gsqNW{=iI|F@)~olIneVJh z;n$-(Qi}mJ%yL-pi0TOXcxn6GzCdAQ(+O)wOa@b{>C#?t5(F&~rT?7_6!vy`cxU@W z1SMJLY)%B(NLU&+zkdcIBmSCk@Q(4o`8wxH?Wl;L&i3Zp2DOG01CLmx?FaxxG_QmG zYiLSkjgieqeMb~p7$}-9h73cWzfOE_uS%iy$3SNr$ne{+=m-h$@K#RQi=jZF+lK@V>`eTiR;ppwN$%9DB_DE035pF6%FVN0F1uAOx{qOfZ0 zvTmj_7;fBt3|J3P-_q4PEc*(FB+eUk`BZ&qufS-p(U4*HF4^`@!x1Fv(Xoqh4FtWZ zHLliaAY((#)BQWyA?V4v>dFzr5ai&syL#VJsuVt|V{B8~2SM2<>dx!%2bDGV>z~Z3 zK?usMxpCE)&Y<+RHR?7DM%ZbC5ACtPk0`8GCM_Vs9wrK{b4$(#=&^mJI*Gu;<#&U} zU9bUe9R9IsC1m)~Fk?Z_nFucs3VJ?WX?hsQn7Y)e^tH}#2ycVt=2>7+XY}|^x1t+c*A56_`*WLBeV-1yCntK zZxV)=-tblv$mI(T-)%&*_u?xow#CNAp0xA+EEDg61$R+mwILZ@zsT6U_R@tp&WFP1 znh-K-;~m-AbOACr**>VkeE9SoQ?Hp;*eQmK-mfoWbsoPGaDZ~dgxpb-D3KfJJ`s)l zsqTH8S?izOpT)4ZYUWPMM8jY`d#e_hkeVKZIv>Ify^tn4@~~Qj`69LG$ShdpJ)$!@ z@~FD&@fei4P#dh48(4Q0vOUVW;k+*LJi|&ID;CH_6lxQ&9uUUvJ?_M z5mBm7wFCC@J=aa}tcy^!EjQ7i0>1>mwg1%jjZC~9@4nECBhp=W+{hxlLWj@U(P-&w z!Y!@ZwhER4uH6-EUe`4!U`Oa1>Lc`C_~lOZ5jsZi2(3dj^dHYr32GN+LL~Yo7L5fj3Wiw?Hz-7y)gBYFi|lq$?>sOvD3I5c zX9WIbF8aurD;0^&9WMMyiF>C7LU1q6JH#G#Lf)gUqR+kf{jNL%JCmc5Jkj) z{^MyZZwBox^qCbc4Rk~*=s)iAf3hYcs4r@QzPJON*bhphq8SVan`utKs{(^xrF!$u z*7%Sq6IX*bYZAm=0dkGgw95a(!(K>PZ1*B%scsHHADVnL^pQ=7Q`z`*;_4}vvm=n8sm11I zC7*Zc#L=8{*$TtxMpEBgWEsv`@<{ga^F77dK-VSdX&H)-za0jeMknR18Op7qN3DxK zC=0q#`Ny=E7i21Jb_>S|k9QXl)(GE?2{GO5@RC47E(tFsy_*j&Z6AHdpz6;oca8|f znjcE((5YwL^A9go6}!n?_^6;f;jVlPgi1=xJ2S2RERYr_!(F!$kwtA?Adt2eq`;5k zkc%DGBll@$_YWj|Z#Gq`y~)|U9;)jR%8AkmNV>Jq6D14MLD+R$_(W0iBYsFZS?D39 zBwsRLJTWH|)y>JrP+Af^7{X)m6A8YBTaN|*6nk#y&P@v42bWl(%rE5F@#iLK9gh@5 zP`i}qd;a4eqtA`i5)vfpj&pYv#YxPw1 zR!@V{UaGfxy1od*SMb}{@CY}dI*q%wlarZ}Jy)c(W}|EVeIa&Byw+h^`ppxPO2240 zgGRxRH&B7MSe-=_N;J-aXzXH&_F!a(Mvb)(r_Pt2cf7!yFER%lOOIQl#zL3HzE>Bc z44~nIx)^=br#wEvZ$HE1JgYo#l#d=cO8JqSYbi&WUyF@>puiJZtLMUU#IOgW-pjLG z^*9R5^vBb>n{jO|d>R-00zbY$l`^qlU7*{2g>%P`OCVDoc&uxp=|a(!GD~f&%TgQb zaE94*j*PEBa}*Sw1E0QO>em}kQGX3POiV}ya!mbonq`V+VB=5l5P69b|0=}af%*qw z0ku-6e^ZEMKz)`Wl^-68WSxUQXiO@kfjpg@%t4a6I62*y<)@KkRwhGoyIPmKBFpu~ z|LO`>7IL_-XNOs66(-2eI5W};n5V6yUAd^I z`vrMUWshE#v9xLCqW_?b$FZ^EEg|P*?1IAwihDFj^N^I9%@d^lFW9roO;!wgIXzt= zV%}`(+)JGJQbw!9FPh;${%a2w{Kfl+g14!hf@cFQhRHjqz@OR`Q-^(-=IQfD85xp2tR2&|i zK{^ekpnl@%6ff*87AJrRbRuby(x#iB;AA)h%##uYNy|VAW4`ciSY?_>Df+l6`VmvQ zK6g!k2;QXRngE=anY1vIn+Mp5U&OYIi?f5)CYSu_5le|QJ}hEerm>5lEhCYt^$jV| z@Y_tSZ57m)TbJW}B?77tG)HP55eowgJdX(c5Y^v7vS(};Z;5cEH}x%cti6uw|Lga&v_ z4-5DxWAiF2rLuF9;J4O5fek#$VP!!{eR)Vx0UkJ)ftY%+DFyXIfZisg(^iDKa5sI( z*t~4%sILTtKQSR>RL1Q#m~NR4C&L+3fk#!HX@&LvAP^KYQ$23DYEt6YsxH;@vw?h( zHKa6)erOaa>%B^g0zcO(yyrGw>3p3DLABYKT zO4lgvK*3v};5|HMU}Z6tPW|F!ID^MPy@ym+T4D8zlY8pZtAYF+SVr4}@L%3VH0ruQ z%SR$&W)4AA;A7#rPeRu=$e|ZhT3BjTM%S+jaRwV~(PcWah!iaAnn`JfJM=64(|XZ<&w) zb7m2?Smx6SEKY_qxC6XwDbSr$rR?U&iX=r6ks&ppm=v{# zhWG)6B`{PMHd#11ySh}i$NxDvS9WM5*w7sy>tA?m0$q3)3(0aiA;HOT27lnwYOE18 z(+Ug8Qebw9nI0ke%?nA#0tty};!9*Deid@<<*hX7nPL4kA@wo@#%#ch`A0Jb=YoK} zWiL-sh&xGf@D9FMNiJRnb?&TT@3R8dMP0zU0$=~B3s^U)zThrWd-YIM8lRhihCRiF zg$b_AA$#h5cu4f;;Z=|}OHcKX-<+&!8Fp8%iL)tTB9@gNST85h#DrkpxssWak*R^o zt%x|oo>U`AuI@{zsJ(;?6qQ`mOFho^E=K+21$2+};@u<0rbT@xXCt9gVtT8$XCI)U zuT)Jk`$_X#C+?4(UZ(#SD~9&$odpG2F;p-lRlzP|1yM--FE0pt^Nns+#EtIO$homV zqYqGT^npNwk9wmI;(TKI+mlS;&uOS7;r6`G-J3q`|KQ$q>^i}|oWbz$#mY$2jU$kD z2&9mL1Pp~w!?015M&~%g$#4dK@EBf@v?F-G4bEi`9%o0=xG6?SRo8?@OI3sFa9;j& zuBS0j_*i(DL#lmvFdRoiK?75kg7qObgQLWUz_cxHAioNuvv@BIh5>Z5ukld01r%NZ z9#u?%2M6iw6r2oafa@^<^IkKpu;$tiWZu+gr%d4e7Za)M6z(Ptq~a#lhP5tyB5O#h zK2OYX#>60W5x$g5X<{&FiJpyPHK4kdrliGg~+*W%O57N;3G7-~q z-%OK3*FP&nOyup^&)&tI6`txZ;%mAXJ_Tb|+0Z!Smq7E(duNgMq}EG?xEzSuefCYp zX1J72A6dh1mr03YS+0`;p%ZW+#Y%Xrg2!qsrdrgq$L*?*!Y)uM{8D8UUYp;nQ>`5c z!-eB%`G#I6rHH0Too@AJx>Z?afJeJKVFBiR{=(4|`?yhkpYZ6Ozj%>ii3ZI#h(nJ_ zupSx#D=(}$ujPC2gyl0Cvh)Zm+4 zxgeFy6)qD0fpy8nUn)7xk)tWOKV^oH3qx`%c`Q7=e$B-MrMRBkK#cwJ< zg7?7DdUY2=TnHhSm_CBN(*Y#H9*>H|6Dblq(kWWJgwhg{;apnN2UD$pF+CwH05La5 zA&!SP)5K~ormrt8XZ==`v1vVc%W!9bJXrlwr*8|<5&fj4BB-XWp}c^*m=?Bcc^GJi z7Ud_IR>#_uJJ!Y`zgVuk^}75*GzHpu7u3%UujRla2~Ut7(OFJ78P321K0Vc$R@icS z0K%}Fs%?v7FY|8&X?7{Nf?sunKaP?|Z3ACE+z%rBV(r za%?&o7je9wgHt1?f=4>xM++cGWL%`3GT)N-@#>&uq1A!AddYZ03pcXuS0CAc-FQ_% zWn{A{Mm4e#Z8%83Hq;u~bg4;w`lB_p!T~%2!{ZPhV<_XF@stry9&s+|^z+8IW_dG3 zh4?V^i4b-cDQELKLN{+b3Wc|U1jpdhajXm8&}l!M3}@g4pE9ua(@ZO@{c!RV`sR)4 z{KE9f0?ZqYmCB5+kzFEpXa&#}L`k+YCG|Q5H9UGA~QSqV$u^EO- zslt1*@Ny3%z_fhC?9HZeiA1P*?kr&un4AT|=5<5;MECQF8JYyhvdg1G6PlAG?SO^NeW z>q=g&cP;W|0w=9)Nu0o4Ru{M{Ktr_pGQm}n0NQJZTzmI=e|s+dxAsJo3(=xg*VQFNqmkKs~^eVj6ih z$Ic)zj8U@Qhu1=U#5Jj=#OgN#Oiq;oYSK#D;i7x&@Q1Dv*! zF;!Ze3}+w#o;<{Mie_4!L+B$lE1{%Vb?+9=HIZ%z^@ZOKhR0(dBMDQ`i!Lz!38Z)` zB^ikEk&Z?*cV}Cu(5nz9t65oCI-b!{{~QV*0)?9p{)xoqVk+u!GThv_9xrsJ6&7Ng zJVl@J@PeNTr0VFbQ95KMbn+5}1@O)pfhQK-yQ3;lvDk`-q7sK*W z@Qwn7)rai*58A9NEf4(>-=O=vdUCC15i#SN%!*Dn$WVxw0N-XOR>wyP8{;3Lf}`-l zK3*!veNm&T6m?LGztOCNrfA8mhH_qEO^8OcFrA-(?9cG{qEobVibp1hNATER4VF5; zR*1*I^r2k%otsq_zf_2AKs>C)G%lr3`HbtveYi6!q2EV*sqJDCXQGTA zxqsmO4=TUUf2_{p$LfG09;+Q1HP*4tpi67j0&h$<5E4K$^pd8$jfY$#IdK&V3j_I} z2^GlR4g{%aSZKGHxq*S|nJ1K|t$gL1XL6z4VrDu@=8UEsb))GUl=fZSX!?<_(bNGn z@#Mm^oFg0Vrl%Y0Z#ljL|5UF>E$C|a@e3;R8+YI-bVk#39IpY7Kaln>PCJ9fL9-CL zyE7NT$DU5juSVgMrT@{54YT35_*m>*puoT!D9}6+TWIUCIUyY9QV)-8p)`h1eKAk{ zOPRR1xr(Y3*9BG@np3SV)#D2Tk*AJ3lHcVFXbqXrd4y*E{icLDk>8*~_ZwsNeq*H4 zZy0kmc{7I6Ow85#jj1`6JDIJ18KJX*^ST1}p|HzQ^RQDNNHl|o*j%T^cm2L{y6V^=$8J6a{j#(EF$OU}g zEkz}eU%tINj zeH)tEuToRnS&k!Tf!L}AQAyPxMRjwMK`X41YS5^pwww||RYWSOCO6LQZvpyP91_AS6el%rrXbfwc@Zcy$PNU$9q1Mq;^m(D?nlQn@1u%I->x=%B$aNFVJ?&f;h zZY!_*JScUa@}%v;VoH>vo|99wLPPiIK8IhRa+n>tFmifAWt!X^pJJc)*xtb-> z8!~KWPUHRItVXTM2$VXA9ngYyw@Ljc6E}xa_XJ!zV!JR`CJu$Vw4k%zcJckCWkKv@ zrO?bJNTb6jM9lGxO`Rs;uwgEo+&Ej(~8L$UVq zqA?EI0r!IZ{5Eb5Ij9hiz-s{^A#Q2Y_sH11+S7He4p8_WQ$j{ZO#N`W&V`fV4EDjN z(U^M8w8EVWC-0=Ma}DMF$DIperh`1>g9~!5x^2f2lIK_c7N$|5HC*IN$jnCaEtx4nsKsSSk0T zk=%u1HWd2jm7ElXc)VD3-3sT`pH2%L;We*!L99+CX?vOrq-X-JT%DXi;(}1cE#ygKu@BHNNZm*uoUf7Y3kiT|;bmL+#ZY(*gNGkh`j-T}&coGd z8UZO3&`u-a(Z%-mbysJhSKJ! zi)2u~N^&kFxJl#5AnP6m29!Ep`3cn`NO1Rm;U1%G&jdVl7P>ukuFngo z;l;p<2T)!xd|HBMFLUU|dYlYruoNE43X*m?q?pDRzFDkG{p;SfXwHt&-|f8LD8wtx z*=b72g&8?A@g{JJtfHH@J%Vx{!ecd%u?ADWi!K~+Eq1V)lMJ?E>Ko8ZtJbr2P32E^ z-bQlqE-~JjHmzb}n6X^E1*qRZNBu@9d=oryJ+@;CrqEEZTg=XM@!=fe%|MTn)5J$z zBa17=+kk=)ItuX0V4^7@V~e@2U$GD$G3c39w|re_4lz@;dx!k?C;uR^vv_LUGl*)K&Oy>{e4( z;d}D63-&^Sr*tZuT{0gCv7_Ff3m#V;ME=!p&+Y??_G6C@JPu-Yl1vvOv<)U2&p`lo z!KXItE$DQL6eq(Oyns(f@q|k=txiRNJ-ZHAf`7}z$3QJi!z&PfWNfa7G!%i(T#kuV z9cMd4s$o8uqQmAIyT}CmL}E_5#Yd>RB6}bJqa47st7h{R#EF;+bVdRXU&5!;Y(%Mg zG)TS#Ra%&_G^1-7x#jnJ^|LoyX&InF>i>fK+Vb&M<@I6)N)hA!IycA0_=|AR>rrz; z-7)xd9E(>;n)RJ@nA5(A-tkK@tfW$W0vbJ|>yM2A9%3b%;Yq4_bp@_^<+$n*wo+)B zQ@oaWUBs4RV|(rE7dSO@T3yYY0UE;9)l7swHM0U@NY6ro*QPY*`QgPrr2*w)pMqww zPse$FBvpaT$#>AoYm02o$z5CDxUrE{Hx16~T-yVcFv#!vqGN6DDa2VIjmp>#hBDDv znK%P?JPi*E{cQdVw6RuP0_kXk*D`jU==5T;PShb-&pOc$yiNpBkIo2jqA&xS~@)7$e zECAW_m%$!Y(AlFsAf)f7DIr6NZOW_EHYHAZS)Z|}W)Us z&+DX~l>keNLzhFOKEPLr+yVwK$XIg)ui$nANsKn9+H-Ul*#8e0V~H>8!hN9xU6f=l z@1whBPIYWl^^U32OKI*W7G4t++D}}-t&Z10Rt$hAEsUr_O3YPuzBKiDWuS# zqu|pmoVGIE4QDvnZD2z{f%a0Frs?N48Dc52w;Tid) zhhHT{gyd#W=R3G>T8b!!%u*^_K@{RR^SldL6l{ifsZ4rOndG|CA#?4l`N{~C>J0ZJ zx}5yG{&MrnGVwDQRnljg8iX0%kcrnosqvZvwZL{mp6B$A0-J7h^=H6lKGiB+@DGV6fxdumO)O%dEm=vzAR^Ro?)%F1P2Rp?Q91tY3lzKs3!g zb@=|BYt>G8Y`9uB@614Ff84f;oorj@oyhA^0_drY3@>zKvyAN6Q@XC)-0snfxc6tp$K zgW*RX+FK;^WUD~V5C>@F$A#9mtqruTM!{ZXu`?v3lyafplJ_o)-pQs+3ucXVN=h38 zrD;Voh!8Q3VyZqqSyvZvg_kK40ewCEMs#`)tuS~=u+Ic95Zu? z*|4brJ^_O#_@Ez2jK&7~PQ=Qhv;k>fzAXGbUl#kuv#!gGCIcK#+|QdvXntd0AYmp3 z_>UYr3>9iP0ZC9h^qmDT*g~@fFyH<|s$bcK&#iJMQ=v|$>KmdlqJ>Srz`b#KG-`iS zK&o}y2RC@fQ5uaaWUkEwq;aj3RTHP~%{iBJomEgBLD#PL#@(IZ65J(0H}38PcXxMp z*8l-F5;VAbaEFaM1b2rZK@Q*le^uw)oQtlJn(FCZGZ)=!z3W+3+JPOms2?HK%RI;1 z*3ro62eT}E=9IZP4jhd+A`oP~GXq9J0l z*9>X3vTyoUcSO}ndX@JQi>oH+Q(n=r%+whM^L;1#DIM&MXq-aYZ}cCngxKGUR^9ir zwZ~q`!P>BlZcNC3$szZrgSKub^$7W)=JWsFEc`zs2`R=|c6M z3}$`f^i8*ftpvzYXJ=%?B}e7xI{A3O#-Lz`ucd)=4@UBM1TN*95Sbu0f!@Z5sP3CS zsFovkKAmo!v-Wcre_AAJ6w}%LlO#S*XsWR*VuxFeNiH~<$57<6CQg=ywXTXvb_%%( z&vb*VHU+X2>NPuC(|EmwkD}}=1ugd};y}g_iSRVT7+$lTPjG!!`kcq_wTn@t#d_=i zVr-CjYHElw;vH0+h*v;WK0-^H(%Ai*s%!=&xpi+lqAgqxkCq6c-k2i#_A zog0}sRmR_uiH9Q{cRp!u6qfWZ%rS?TF-89u^m7T0ku>mc9dl0S-ea^a*3@~-i}t+p z$C`oZGL{tg%p+Vl4G?%3;)Hs4`5#n?;=q4VB`ZinSIv?4bv&0ial24UlVe;k{`B8E z`_$xMn2%e2)qiLlRt(Q)CWJ^tXtpj~KGJkW937Md_9iQQ{}89DbDPpO!w{DKPpbTf^tMz+c2NLEgAVg; z-?3efcG(N-dKnZLNao;2r;11^oW`acb}7!uiMld`o(%h1?8nBVCLiO{C!=$tOtA94iazJfbvuF4|LZP+)6q*(Ipz6daEH>%@pF#M(c1MX>SxU6_t65!89@jGzD?j-C8s zZ60*cqK_;g`G@t|eDBPO*IwU_ca_j%O5(}^%`ZRmP2!W^hj#8A>g!dc#V6!MzE);i z*|I&r$9m7m`q{pkv8uKbw)CZlbp%+P!kzOzn?3oM*qT63P<@)|x0CmB*^O-SYz z2q2LYbd6{1zfLP?4PX6%Hy|pY;(wlF4ll{m2Z(LINas zadHv${M0#r(CReaB zSa~FBI6=XjkS`q)e1S%~O9aUZ|Bur?n2!IX@eB0=)NDprw)^+R?A0>z&&K{Dc|v3)f3-!?d%eK~tOZwGXM;r| z9Asr9=cKs*?;x6#RZ z;$^A<16qw>q0lwF)=id*Y)UhTZPp|Ch)l%n&4O|bFUQ}q9a(i3QHD9I?ke-;JXO8r zXaDWx$uW>6uGc5H50K#3a#^a+f?r3C5}MdE8&r4CxY#*)@w>J=D`rMVF+VY)=pxRk zlHAI37VCnm5^qG{1B|om*X`qBZ7y}gcgQQUU4>(!rFK+#EBjG zD~dk$Nu!mv1?@hrM9)a&8Lhl#+KGxcopto6VY{L7L`Nk6B~zk`Z?3h>IPa?FM5c}f zj@AiwC-ZjjB0GK8e*hbOKsvYia#@&JKAj}I-ZqrplUx2t1x&F5H7$Y+9IftMuMYqN zf&Q82`8V7e$BbzIVEuS5oyrc=#RG0>Q)F4C^V;&zNcq|Aa%OfOr;tl=JM9WDT$$U3 z|0b?0X4E-`ElniBT8=A_nb8~$QcZV_y#F_G{fL)wiou^O;7h5I(7(*@Cgp#L3l#_p z6>o1S+^oDr7q-6OTL@LllStZFzZp{y)5RXD0CZWHZ*B=P6BEX`jz<8t{@P6Ve9D|^hZ_py}UL9xijpBw8A_t$;*Yu+vZ z_86op??GZkF|(c}pOGPiIG_b+@}m-O;LESp=jEmYH13<C+FffX6TBOf^ILnFsB#O4@yrQeid~

    0O2ksXVa@&rJt0e9D%P?d1$Ia ziC@W0Z&SW0dq>pVFrH6ZeIDXHCTZ;i>|0qYs=1 zecMA5usE@adnsAe_YkvWrrF|PwW~J)s5(a*CwSTTJ4^iu6?@A!8_%v@>AK(cmzbE` z%H+9JGcb9=BXf#&VoF;>1{XHXIz3MRp`|={#(tGM_7`Q&TaHLiVI9fq**?AN+zB^z z4!W*W-pIHr$0bGGJwl0xkzUN6HsU*2(%W3NF{NnLUB}~FPDIn>q4gBQ-BF>f7{=b| z?Boj9;)QL^b;7M(wTk5Lq`l{(zl!7-H~38=N#THgXGiR6Htg{AMCi*Bnj21M(3S@b z($4mr-9)In06HJyt&tE~y0aDG?B#es_!kns+Hc|wJoobX`X6BPUos*hT0d^_j2EOl zIvdB*nM}9fV5Hu(djvIyelh1_9TC5|OU?Snk+D$L^Z@rJ0ba5Ybr!o#gLkPve=P)T>zwYlHbgQtv z=_b{r&aEsjo59YBA={W689@!Bow8JFNlPnDcluo8Xc~386g~^2v197q6J7G$`m5(_ zaU8Va@oomz=m%;zo4uqvzIDzXu@RdYdVIR!rh4p%`wAj3mjP?QAd$y$&_F1m+OQ-E zF|6={y(C|)heYNO_CyHG=k>6OqzUdd7&UnN=yJ{L;uvZqjP?7Q14gZW&k#u}KYm`W%Nu1u=qBpp07;A$Nr0rifb=1q;FK5H@9jx)A2m z*lcp}<`da$y70J?*kmnrn2P?|svOA@;8ttY;D)JaHMSaGg_`1=S~D_QYO|{Ij774^ z5@*X2{6uZTmb4ZVC~Bnm7?6D#zHu54SIqzR&{(h-rm_mr{J1YIPSAul&6BjRT+Q%e zKKfm{uPh#>LK;jMrjmoG9u3Ot6vr$>`k1Lbjk3mnCLV4jB8X7bY%2on6hCH&HJ|5W zM&ieitb$Z5vGkQm=&mPWNk0A2=$dtj*uD!SE%HM&lP1qo5kpju0|^wpAPkUNJ?n(4 z^dsIyeq2l1h-`+b^dsD5fdC zXFR{f{K@Ts8~y{b>>~oEfU!{|!2Kv+T=ON$a$W*z5Mw0zV{{rn%vvMaY|w*Alhi+k zi0`6wS-~d+$U0+$30^)pDr3;a%s9*hXzmUjSF3{$B>;JQbFzm9u89TK74{-hrJF{6dp;*_thaYS;eKe5X`8K+Iv zYfE0=XUovN7=)OnEvJT}{n!Dcb(*v6f~ktfS`dmJ%M<^0ayXud-9vLOBmqN?o~yay zcbd`5!Q&xvY37%11YG$z{_ZMTkMq>}sc3FF6)@4&bCUT zeBe4RTlbhVfydkuarXGxw0@NpeObqkHM>G7X7%FsxF*gU$I64*?Ldg|w)Hg3_4Zd_ zf%o)@76}zq0!7!+sq2X~FIVon5y^MAdC6eD{jtCB5uK6;N~a?T2X;N$gsIOpsrHrj z`AIQETXHH7_t1o#Mk=ZO(4RI7MIZ9=>$`7V32$G=-l{*Grla3C*Wl3BD&;fTZF%*z zpAM$62~*z$jQ;)j^I+A@!Kr@G!2rxcl*6K76-|yJxvaf1Tg}ZW^IY_Da{0DfGg7_9 zphMLY2#n_$JQToxmBa=+zqT_J=_`^qcapw;-rxGDwnnm8y>k}x+5894Bxv6FE}A)( zx4>}<-TuC@3f4qFm$%{E!ww;ny~V5V z6C8JM{g=ABNoy)Iss~*cj_!UELbbjnv)F;WWKQ4s77sp~@Mnm#j+@Op_mEZNG5h0O z#ouW&`Pf~x=}$+-43-ByNOTv+2^Dtg=F@F=50qc$p2kX%d1DKJTUSdr?24!ZE+vQi zXZ&x$Wn<$#>t`IrRWRlGS3)zf`4qk{Mk|D5X&OIW4p#7wV^dvK(rt&;tukB5{2T6U zEaZP+R@|)H>w9q5b7pd~Hrw0c5r*rooTnJ~1q)rpFa4b{o+=xwXC52h%7yTT8u;gu z=;3Kxjbe9X$NxOasd4W>C&-Rwpvz)C#csvgf2s9b`M$xg0^8!d@u}@OMto{m#O5s- z7oTbYpCTV^6JO7UkvFe$uO8Da&FD*z2FhWgmIySOhTeH~;5(~~dC5=qFC564^oTuu zaEi^&0RbVtq`xNbldanO(JM62Zd>Q&^at65Dy#G(rdy}4P ze^8RdCY|n{W@xGkqjpZ-_U>84zAOS3JyrZJ&_Ot{<$IqhUPOoq#J?Z(c+=-fZ|5xc zWEJDeN8x*MoO>=nxXI<+b4@KK9{IEE$R{it1IAa{#Fxv90Y4Y{##uii(WlGp!v9ok z5rvSXmI03aVA36qn`%skobP~WvM^=-*G)`rPVWOpf98S6~5Rn%IaN0mm zW_2OQh7Y3&Jb*!ujo<4U-OU3T;z)J%{pD@id{rBYGVdq*T=Wh>(BF%M z3(u`fu_UhAWLIJudG62y*zBJI8f<9eMDt`z7$T`1s11P`$@HcX@+Srf?19m=E?qs; zN?q|KGRDY~Ze;3M~ z?p@YZ2f-)A6C`FZF4h1(W{-iNBJHxOcxS-+17JY~~2KZTv&{NZB3I zL7(uWGF=s3AztZ53yHaxZzZfl7FpZyFsPY3Lj7dwUaFe7RyGza#iwP+4kv<*Hl!ge zxZ4!>#N*S}pZfPB(O%cPb7eZk#bWCFq-J~`)p>`@dLi3GS_@K%Sx1|HUnW(VLI0w8 zj&F~63?=1Nyu^zwEa$)Xx(C`TWsl3<9z0(53=w49nGn@QCJ9anYo*F2SaTVEX5HfO zs3^RGvLqhWm45Jss(8J5W))6)eet{dMV2qoxK*Bzzi|H6`@N4q&Eb^S^w&eaL%shr z%QhLYxVymLfK|6U-hJ3p2IG=mLA$jERCF^Af zV?4ZKJmAh^va5fP5qPdVHr-VE^q!%0KYWGwLCbf8aE58pSyl zDgFt$aiTl$Q^Jo(|3ka7`#G)tc8A7JT@zzauez!db=O3`*pquya*?~hi-{jc%xIwk zv(MQIk7UDiROSNN^)>cxBUNtK>2~0*pt{RQ^m)xP}W74V~eU%fD z&#DFiQ|2g5L&DwI{tpJ`J^j3So~-+4`Q4U&Hm&L9>FRTRXx;wy&ak#BEpwALmp8z*t26iWyKp)~C1G4L=j`B& z$oid|_AY?Ae#7MG6VlQC#dI;J=?p>Ap`Dir0GyQ}?8$l%(x_=T-QFFEtla4~@6wM2 zDm2aJElvTvf{&{d?)CN7K2R>OZbeH%^2SA49mLslwT^;bD?l_9V-)3!_i!a2&VQC&d0_wn!`Tv&{j-j9b3 zOi0XUk&%6i=UyhKlMi7~h#W}fu7TF^Mpx+;>D4Q2@{m^tx^X-2jf^)3{Vh{cv0jE7aXszLzD8h<=h0Xc3c&-a zm8Iq;095&xvXlR!hGmjb5uR8f932g0UG$>A&@ESE+ag;uL(kS%5owj8TdjKKA$ubR zT|BmVTfP9yaC|NbPH;ed^^1S2(Sgh(>FL_xL}%TnaOu9VK-6(ob-`QIB;T;}W>K!; zdKD*CX)>gv7_Hdtg(rME?cY&P`hI1-LT+tFTp1`wkTBWE1Z@z0PHDCzBUHPa?vzhA z5Bcn<*NH!F;L+ie+mUT@W~Nm5>KgC{3o*yb8XlaYg3@Sjh2URd`3-De{efDX>Kwjf zG9_uF@X8P#)uLCw8k(t9C#3PoaOIeqX%G-RTokh=1u;fs&o*>kCkqLV4;iXWdm%t` zI5^-BH=Oxq#pdlF>_p-AwFhn5Wywt6%&s8aG2!>DZYJ+R)7hqf5gg|)o%(61V?U3p zDuORNUbhA_eY5i7$0#rkrC9-`fOgHrRF@+@y+rlabjNf{!raO8$O2CLj6%It7niqh zz4g#dB?#l@>f{4f5=0O-FiP~!K_l;79+sIBV5?Ln#av}C#)O0ndv_{78B{i!sP2wU8NvKc2Cm;kX;Kw5nY&X6N*`gsxxh;`8L@ zjjF4kJ6{Ze1BL(mNyMoHUGc(YxusitE8viyq4qFI#sY|RPZCeSTL3v%(W0gpupCG3FCQ2N>vQa{*cm0Np#B8?einF13ex~Dk>M9RkZ%vycQ^(p z24DYg&9p?2sM^brg5;o!h)wRipJ;ULzt@XtU?I0GMFo+a0C%%aw}mYjE5lc?`#xdN z)a5@MN&XN%`p|H_+Y2Znbya*(W*BO}5GnF;=%o4S{uk68N2~8O!@RMj3qjqb`5Fee z$Wb2JJ3mTz!R!8eO17K89U=T(NfD~k9D92m#yp^p>VclNAu=7WWBcNS1L)Ea&jSt* z%IB1+(*?DIY693Zar zM8{eX9(_tlhCxqS6vH5YooOHd%&y8}z(MI~y(}S@dsu~7rjbx#6V(ZSRCy?*aULBs zf0)f{rwP2Hu_(=F7Y1)Xi*~5dYRuMtqZif-RR_LCtv)H26@`a98J06(CjqH#2vko> zfJbq6x0Sv~Ky$$dB2#u!$iC&yPEA=5+AZ~oUOT+iumh8b2@CnxrNt}wSisY2;`mh$ zN|~>`)+vG|h)2cMGMosi-m0~3@Scdg36}S=;t-fp(|RYn`U%o9-(Hl?s!|4j_=72} z!b&?e+Urmo8HC>~&NGG%wH*${omi0##ZjITMI0u2es~;ktNTg$to9o!lx7gtoSc^y z5|mGweU;}CtoRqjiRB?%WUvu_UO{9y1nzkQXXP(7XiUYC^`J;OnIr<1Q3A+(lc!3A z0f<`j-rmDp46NM<3{E5^?RL($da~?SVGbFLsDMNB1U%E0LUoZ z&f19|{hC^75>^FFKC|mDG2kWL(RY-934>_6AUt%w&`0{)(|AAfMfJ_iWNdkev0O(@rVqy?unBmomGUx#All0D7KLo1^>pmnQOc3cq zzRm4tfa={JRVwp>4TR%}3{`iwVVC5u2API%Rx90i`=Q7H2}9SXuk`Q`t#Zzb&z~1U zX1@J&sPBPFGQF<5FRX#Qg<)P!9p*#fJaqaxXpw+L1xrtKNP;{pr{jb;k4R971I=oy zK8srRyrWbo1K1S>f`Xex5&s4R?eReiy9offDA?_} z?%Ba|Vww^2Fwj`IWsYiy0P4?tgZ%A?5VjV~-CPI2vl}hg_8t>-8ZpeIH3MK*)#6#- zbR&b1NIg~iB6y=JcD?f8K=9owuVQN2!R3Dtt^OUjRhr~r+?8d zQc>zR(~@01Ck&r%7IA z)JX+QW;9~8{(&uwA85z-T0m+xv&fc zvZPh_?`|Vjo@rI7E};z?b1TG=HV?XEft}DPg*x&=ogPDe0FZ2X?`KOggKv(5d57cy zjopkCj>Q&KsN-989x*p^y)$T`${VjF-yw+n*43d&K~M{3Wm zHnv;Ti5%8}22i(1t)h|zrLV`Jvdl>V1G2JVdA~UZ#V0)MC=2d`NAeKk{KCtFNV)gG zDo`(KfZ~1^l8PGvT=kmW>VF|3FCrnN;x2_!^|~t?`}!sbTY2ijhwY98DTIDdKbub? z@XH?zm;g3{Bi8PzIHbRWrbNT5t36y8}RQUd+mB-DZ@ zff29lsCNm61J~fY+1Cg`?Fl>!tw0Eq?$%Xi_F)Uv&t&)e7Fwi$JJzz_?dajGk6H2D zgme)`<`rG}notK21S-o57ZCe;n%dTg3}Vo}gokNYp+Ix--3{$CI|7@=eqj45qedxN zWS1SAfhq`zh2}j0&wu-T0+pe`)q2;JoG!%18G<6}3d=CF*>oRZq&px6dd+>-Lvql( z_1IKJRnU~cmw(pE*kESjbMe$~Kzbn!?&cnZKH!a8o<}@UufmW1;Y+^!*g{ z9_Ib(p(zb{PG~vQO>Yp+r=oh+9ym9L?&G_w^B_7|;yH<3;u){E@@I5R>C+U4tn={P zAf%0!`1@OEYVx+D!4)2iP1C30wrpPjZkPj6rxsb#$!on{sa82 z@lJR`BX#`{)^*~LUZ!!sTgy8PQdR0CaQ{t!A=#cO7>JBz!1GxHfj%exv2tIrB#21z zLlK~lLdPbt+*CvuCVT}hwi<#1C3+gfaGZuTQr~2scjZB==6vEXDc}bGbY8mXbcQi2 zp)gL-03Q7r8Cf%JCRexI<8uB2Cd5iO$6+XLTD-XU5?d9-(YZ6uyRe{EyLbl+9R2vZ z$Cq7QxK-2AG4q+I8R5#g!-GW?O2Jo8qge$R2Xy13KR=hi06CoS9fgzN%9JbQzzivO+W6=;V^fl94zWBk@YF#{%}i``-{D7Ao^SGYM}q_+orJY{ zp{>&A6Zl z7566SKv+ii(S-wiTH4}-ei-aWavfXYC_(4m)i-Zx@2=dbLuqWV1keaPZeHUeg;erj zV$~3Z`FNRLZn#ikylvC;{E;e3j=}SZzfT10r8U0=-N=CYbcne;5}=BE0x!bBlAyYu z3NdSXK;}t063)-!VKH6N8`V9<$g{FPnqJ!`Pii?-`b^&fCUK_x+@>5o51)#Ub;!wc|~yFuUc9bGi{-=Csp z4m?PqN~+M!zwROl=@F?Yg%llwB*x@ zz*Ssykj4DInRjD5LG!C!+l~eZ(>i}*3N5s8aq6E-cNZpx)@ju56LOHfy&n5;?J{!m6|2*YvZT^J%qsgRB?MhlG~IBs+LaXcd-N` zEG$}*$-_2&HoWDt=V9ots?4dOE_h7}7Gcjk1;%fGyXkkt10&tJgP87ZdN!h9(r%qF4cBl%D-jpY za2>!E2|=BiCKBZk@Hm}K_1LrJYwUorn6~x-moLob3ccK`! zLM{kGE%Hw+UkC2dmHZPxk?`sr`n)4;P6OLfg=^8SfDZTL8?38>88h%0U6-37nM_p1 zX*yed4uS5*#-*(TlU#-Qq%c1qNO~&=+nbF*!(!3X;fGX*G^cy=drwr61o$m?N_LV0iq#4i0>ym%OfkFiPcb3+&+=rVe@H-BBFLtvJT&`B2E75IF2d2W zcIJtaoN`&8h-{VjK91dAnapkKNk4+0WvzPXdazalUf!wUut5h^Oys6eL21KZpQ0lW&2B0glqPxmt35S4A7*N?d zG>MjOli^&gjG1>;e?^dodU5$Fi?>`a9@-YS!;mP*L+%H4cO`(*9`Re_qaZl6#oZ8= z9w^H$(D}CU1%!XlNJiHIz#^h!u;Jkqj3CYMoSvg_2^yJJu+7s4;#6}SHydJ+Z}P`q zP@Du=bg-xqb^#%EBTwcwIl$wzfMmgPWa-VT>n_2uq{W2zhLTtoAdVr`t52^TNK%D* zEOI#M1G4>CGu?q;b)J_jcBv@Fc*6d+&nXsDz;&?UiZCAtn`J@JODa!gGZFSvM_aS{s^GvS)fB0)D?T4X#)0*a|QO!Tx0 zMWABQv2#fV-0klVT}gZcJvRS6tebJ{r&~r3EG>F~CMaLFi(| z9$)DSs%D}i)hbJ%b+bEZymAS_M-L=2zHWf{l!-;YA!waEodp#OQQ-WA^*%E%HbkuE z+f4y5Y2Vp$nmWe|=tKVrVjLFJ@-|$m)zm>@*glu9sJ_$==W2u9b7$TUh*z&m*7n3y zp1OF&w+MuGdzwk=`^`eZz$0}qnv}kev8_t=F1=f@jWbbE`Arz7Kygk=i8)a;eHyG`0%+Oqp5kL__{cRVGAc>ssrxt~roidLyr%Xu-v$d;hx2knA@^ zda-E^e&NPy3*S|2k;<(~)T<1h{FW?I>O!nZPoabJz5~mwZD4#q1VRfUqpe1TfSS&d z^%spIZL%B-DFSWbz~L^}xgup54IN=E!mUV8*{wFB!@EuxMQ=kDgs4Z~;Sw(9A6r@P+{z`6!4Dknq32%zTth)bV@q(Y#(p$N5&_-*#;%R0av zMVO991QYW1cqiY_f?=?%?{fn^{Fx1Wf<>Pq{-n$3JLz_H zE6-i|k*wh${Cs&@`}eDP7{^Y-M|NX*KS=$@-~5HU2&NhZT+dY%6sy-PzG;?5Q}fpB z(Ua3u5DL|MszzoBC@lPB>f+~W5QC5$4*#{Nbur6CfQ}A~Rd(Y=UPnq$_P3OM{Bpq9 z)xTN_?kjrOIpy(sWPAyePya+`S70&mlu$A<+YqIf0%i`n5D2fhuOQ+gadr4PtyN0E z=eLO)DLPe^s#M?2&R%jum5#-x=ApY`slCmb1%S?L_R}a5 zO_GzcyLc)RnRr>xo@Fh4y^5Otg`EagxF4zkg{x{X+(^7Eu<(n%`aBeu*5PRNMiD^ahx!TKB=SfD@|#`X!%7OvsH* zEs#m*tXDyrq+;C!LWYG%IZi{UK2~wxh;U~UMaipbzV*0wiqV=&f~hjN$sk=`;X2i5 z)5dT(nM>Ls%}mVNhu-eV#Nw@~49;5jU&!%ec(`#W7phFAo)v<^Q1Gg6 za?nPWsco{t1@>&6AJ&QH6hXwa?{|DLY3E}X(yvu9K_LbxmFhk)R>9xZ{3b*}!`(G6 zU%G+yoeICHtDUI8)0Rbt)7&ugbZGvXGOgr&Bg<-XQlXZp%AzK#ngaT)N)N>lyQb}9 z8e1GrjhKA+_86_t_PFr|lNRB7>I+eIy=1KjfvrJ3!ufB$6+!dpPaTdyZj_LWle zdcz;NffOML_2Gbq6}vc}Ou{Ns7%#%FO{Hzi{)i@5*9D!-i89(Qf=P_)E3?sSpb;%= z_E+B*5ce4?+MEb%=+%cOa>x!q-3B>70xeo_s0A#T=qnR`l@;HV2@0$MoG-ulgDidU zVEHRBj%kJAl0dG1hU3;S3ar+!o({Z&6emcVetB?#Yi)AWRLaKls+d_vg)t#XyLwCW zA7KT)p25#nXnVF~Ka1A5NoRGfTMS&Oah3OTQm#fn7J4V)@neE8(o~bQBG81C(>X)M z$)SLiXeK)k0@#tAQ>I8SY>=jR{-W=JsIF_mWX|C_+*1mHpNkU=LwxZ7&+)bgIYpZl zJDwF(LHB$@W91KctG9b=UnwF`H{VmW(5)I2BH5D!Q%VNBdTRya?r$JS#^=_IlDdI@ zSth#j#$=?P@X*rmL_P>XNzu1nT$&KF>HfseF$|^qsBfYegBVp{*x(vfXv8zFm=VxC z`Qmf8sloX>{p{6{DQK%QXsgyJDBxRy;l$OcOE_*HbWg@8;YQ>ihAUo&iY24Y5#!%2 z*LS1ZTZV64*g;dGQ?ia~*wFpvMg!~2mUV8M$q8lfHm*SE)W@-*3n8;2>Y zTm{BQ0W2mi@*#Lb3Z@emiN>J&0h$QGB8SjGm1DyWqPT~Ge4|5%M8V@Q^|VM8Ta8Ds zgA-a%!FN$19Mlj_s3&aLrbN&n%nl6_LkEbPZGohON6JAdMP(UDEk{aRWDwhmGB^PX z7FQm8*g{~%qoPa-vnoq&A@UVlIuT?eF2XK$P78r81UF+?(Fe;%g`Ds*`-rgL;);=@ z7J>&h*_0WB1)@LRm4uhP?_lyNec_Ar?K6f51JBCe`kwVstt9JN`Q`mB7w|eUAtbXXMW%Do`{ma8!gMnGX}pI`NG5f3JbcuX zUOSxdeN9D3n7-%DrC{?c&WY*N5RYG+q zee++eCwJMY7Tpg3bw#k_!PlISPN4rkzS3~FIPSh~OWQ1~Nov#U%Ohn*Pq9sO%zHjO zx19;w_aE9E+BiKi8e88gjAhemQxn`uS<+jRqw(-E=C^!^Re=7i_WUGS)Ooo=)Cy5C zxP&}T9S67#;>*e&s)Fs9IQGAGrvJgk-soWH%XO8%YmLpG>KzJIZ{?o8ysL4(vgT%f z`^$7DDO++UEXX?SM)I4AOR~$8TRJIBY zqYKWaXS_fSeC`Y#+kG#AriaKmDJ9y&JITB~@{9ozlh_nSc7Sd)x^KtK3kZ7brWFYE z#XOf=)x*v=fj^J(l9Cc;&W*O&V7Ln?M97r4(>+M-V~{i)z}fYW&Fd><3>ur0d$b5J z!`)qJZ$q1g1~8N5ZW(tbb2IN!VBhN{X%L>X)Onb&F}APUJk()I(CI6m6=4kjPZw0{ zT%{mE0*L>=i9uNRUnZY?GpGn%fslb;k*kK2+H+WIL<^m}pTLQ_e!b-ZzpU0%i+qkV z0SngDXf2&n|I>w-W}QQf5jpwr60fVY#4i(+Hf_tx=wIe@^GWmcayoN-a{d+kTky*A zMxhhDyqfA2bdT&%65q80`)mJkR1)}Os>PikcOb2*WZ-weMd?kOweeIx;UD&oc5WP`zF%A2=Tl-=> znN02^?mgHtKvD!0dSsq6&TS+<5 zOV;mCS#0QP8PI404j#5^ZtPT^j?lnf8fuq>(CZA-~6v;XfAoY#W$$FU|H?{c{!Y`vp!EVl@`e zs#P?PSPIXkW&Ui?t`;*7amNH+f>|BXkcJ#M9(WC5SfdP+`l>&z zbk=zUc@lFts$ZLQ((y)LU;f4~9hiYnx{Fm?t|lZd5@i!f#8QXPdo;f*`O)b*h7yQh zn7o5Cec?r9Eg+0PzUC~L6jQZ-HYxn5@c5Yf`15ExyeIH@$^G$k*)Xz)R7Sbxt55o3 z`zekpZabRrot$mx??x#cA3fqPslPwT7zL9$s+7&O!emTvERPsKHIOCuv9EK$vPb>}Q7C|_Tbf05MsY4#cCl9+bLPsRMcex1VkoA8}C3B8)- zV5puv2t zf>Ql$f+gYZT#Wg*mT`41b|DSz3*Q=_ea>Aq?4R8K&i{A}efHmhMSmMWCUuFz7ZAr} z^;#C`{1YtO`KR}mVHXx%oGb`-GKS{sx?f1O;$&wP#in8}wW0Wg>!<6a5RFn@dj|bg znO@o-&D^Sg(#q8Lfq|rTWzhEW1aS>S`aF}uH@Jcmi!S zN1(aC2M?62ItZ--pij9wWa+z)TF3CTE~&O)jVG8d2(Q&HdHBW`bvB-q36i9`etNJ4 z!ou#73K}0~3Lb&Vj&-+oEsct+y}}GjAPs3DO}VRw1h!!p)u{r)VApRIFwCZPk)U`81@m?PM4T46FhM0(Gd9(#p&@h>L#D16)Azp}% z)hD$Yfs&L6chVGeVl99)f6KEb_?Z}~xz}3S@6Ry@QS2oM#h^o<+V2R8hY)) zw!!aiazOd3Jwn=W3 z7ld6Cemx(2_-gbpo4&-=7zmG3ot4%~fg$f7n;Oi^B}!!4f@lkW&4lcj&t*3h@U*d( zFH%M=bx1Wi4vMp`=+n!>2KCjF7cs{Z2Eo~<4w;$Hj2d?A4O<)y>>tiQ35gsv&oip- z@$Vax7bH*39(zcOM&BhCM%n_7Q8>=VoB@c~5-e@9RES#_n4LaVxDe-tCmJj%P~09Y zS)ih%$(Ir9_;?5SJCT7jDQJ=;6y_{3d;_VQ(M@~nAdXben}dpvt*F3Gjg=x4;>M8Q z8~YI^(ocbh6`%-+<*(tXS)WKB-V7KsK5K+uz+)CQUyXb_`0p{0j4UWDh46+@)clCa z+a7XHTvCP-TOmx-71Su?jq0NJM0y`x9#Ml-1wzT{8zug%58(PC5H@|lNxoJZ!C$o{ zc6pF#U?7Mi<8^fUWoz;Qh)T#hxXXz%aCP>*;z}Ejn#sZawS*ux!Bi>xgnOGgDp_Es zPk@oySX8ZOHyLMdbuocp%N%Y*xz*cM6iT|)jf-0Z_IT(x?5bGdhP*&l_luS;T(QPA zEoT9RA1I!g^A0JSVe-CLu^?enkO|Md^MKZo z-`=pkjm})RE_*3Y{GXw}=3#e@aIAmMiJ@z>;^L0ShplD?QC?fn^Obx?K` zNSN~Z{;pJCK0_#lkerjbVVC?KU(neAR#~+4&i! zNWy|8UoV+m2Nd^h&-LtEfQ~#J!kZKZ5^lbBIaB;D(nEEroSh1QHXPiU9vTA@IyX;S zZiIAWQiuB@)o&n%sn-E=`y)u}gXHE=zC1wNyzX1J{Qxm&TH{`{djrA(UN6-u26UJh z!z@ZndvzHJKi=2EK6@h)KJ(kXr|7)!7}3e~+fZA!HzsYmhmM&CTSvuQc~+0cOMayi zfgoW;@!Jd-TvD;D^`X{7mjDuqk>=?NMkjw)m~Wfr4k*vp&U2bDNO*p=`-cnRkk*+9 zpQWY9o4)j_|C^g?JP+sd0pb$gVbe;)- zj;!}|tQ`z#-7TMTC%+cNP@oBpY?lM%K6vPv%vwlmRq3bjY-2zN^c&BYP6yPIFi~7; zJ0P923+}I94HD|buW{eBR1_U0o}yts1;kimICPweA*40&$fiAQ=#0GAcTd5)V?m4$ zTcyiHrl1Dvt$WDP3Xs-1i;|4~V~0+MfOl{q2}sB25vjJy7TZWY_Ky6OOW74W5DKR>!@#36Tj zL;|4UrfwT|H&jzFIp3LQj&j%2FmBa7k7SXDeenqO`z5I`dZckJF^sIMqhU7I4)OkZ zfV9=B8H$z&74JOZzo(O18*y|n9 zO90tlyK{YRKBQIXsBv$p4jQSdPrcgN3Sw-xFw<&IfCzuOxVufa0F*T&$ae2}NNbPf z>|=LhU^sfyzJ9}=-RQvhx5-6=*H(hX;U52D>lK2Nd~Qek}CWT>+@ zF%=NS;u|*hFpN~AHk@+!AOjIz4cmT8Sqsn-`_(6YqHCL@rq*4NQUMgq6)ur}qn3s> zpUn@9LC*KC11oj>K0;clneQs@`oqw7Z;8_s(PBUoHduhR+0UdfiOG2FgEd{%BC}jJc3_yw3k3HFoeC5IV zF0Pn9q$Ry#=AwJPkXHQt(@S`k1KN|OkbNE99xXUZ?U?W|Ktc*@`IkBX8l^isSz8uR zlG9ulbm!Hk1GQFr z0h!xZUuz*lL50>R=PX`VLBkdnaBr?U2}u0xbcIfIsA_Q3>_wHE0P$&sUQ+P})R{V0 zYxr}RbZl8M+u7F((Ayh}W7n0!kbdT}!O{ZBkmV2KvzsOlg0$|O^rzOML)_KIckL!| zgBaGYKW~V0gHT2B&yKo|f(TdMH0)A-1fde9*s4eCmea8A!*e2omZ96eDViZ~-a*HUY!LX-w&Q!cYg#i9QX%MPRs)IGS@5J!wS$hukx)g_QKq!(yL5& ztRzfu?yUb9CUzghaNTwJP3(Eda*Xnr9rKm|DjJca()a|;V!osZQd`i$w0#?S9d|;6 zz7Ke9)}dqOjxD(viOGPpGkDtSGD>LJT*dd3q^3ez4}6aeveT4a+QwY1r$r zi`I!y0ad0fuu**lV!W2zKX>gRK-c1%A9l|Hv^$4-cK33af}Ql)TDm6_(DR8ALG!jl zT5goHm-pO9XYr(r*V)X2DcHnwx{W3-=tOf`f_=#Z(B56)8?P@3dQHPrWQHh2#sV@= zjd3j|14paXYeaY=zvFn?^aaa%ZVejbe3T(OF2JRpXjuT1(% zE)ZjCTu1`nCO~adUq)x5gYXgBm#&HLfSKTh6MBZH!vM|Q7hslyP9}=|@E+9M23el* zVr`bj*IXKwWR$c#&kjv3%MH?tc7pIPKF_p>wF4CMqGF0tFrZtrEEh&^0p!hdFms!E z4h@UcI=O3cIUrtv5{d77p(+>Vw*XR}6V}eXxBv=@^!BWU79d-` zY;&bn6yc;j0b65WLYjN%=*FBdKv%yXppHXF0w3+&rqi?!Bs_IPB4J-k1~l{hTivEfYKpVxsANQ38q<8*1_xgm!=Xq#j`7nFM^VWbQPe)S3s{zS+nOK%z4O7z>5t(i*!b$@*+ zKvPAP&5EH-&lN+o3W2YMu&fx`a<*bfZ00(^#dgJzn2GXX$Cc>wPEKp25r1{^f4N3B zGi#*juh+=*>HX9Q+f|PgwCaI`;G`D**&+npMRrgOy-r1x8qZjzw6d~#I^a9o#TL<5 zB`I8b^wr5;Mup8PWjyOD<$vyx9yn7%Co zTZT(W{C&VU+2=4j3ge$q8WH}9hDC39^Mrc@+ITBXa^3a@(5kNo{f|5cbWp&&mFk8z z-o75STLyXLsdFr+d9?v5iFmTP;w!q>@0n)gtxh!Gp5eZ=$fAXY<;;GfI{7BdOH+=z zOpxXlqhfTIpA`lO)ng!{j#jSbv@S0V=rqlk*$0 z7&`Z!y-s-+pid)DJa;n%6l%W4dyyER*jXYGk30a81@3Fhqcwwr@2)M0KuAzxYK=++ zph{H@MU7p6442(nErbxS?aS(Qt$-5tn{3zL3TSxLrPd}9KxQvfT3ttcreTwgd|0Ef z5s>@)_4SPcfHv=z{aj*?UOc(+=gf?#@4s(zODvze9Y<#V`rc(JoUEo%aFq* zLCWiqbpoKxbCz+_Ordx!Xj6`zOa(NDD>{~^5|Ci$+LCd(FfZj9_e^GN5TG$K9@?ix zzS6KMT(b*fl3}hW^E&iulqaCrj-xsUBmqTVne=A43ZR1h0p>Ff;JcwMhsGn+UXb@9 z_!>+jxfHJE3m}BIB7|%=kgaEi0XoF6O1}`%gnPUA|2Iwje`z}jW5M{BpYK-##BBin z7}TR-;?e!5Zf(9_O}nRm+kS`wxo(`yKk#qkUG`Ao@yGscrcuH_`R2@b8D?8RyyPrf z+WUZhalb}sLFEj2GqexXb!*=9dQoUlrNmCUeIZLCSD_8FSqgkgp54%R=j2cE6gmRv zRWLbDGZgKzT&k*#RRW6F*HV^x4Naq6u5Jlm8Y?=|?YZB#8T9|;{<+3(=x)$VBEs0w zTh(^$MUGUlZFlt1^_nh(7_<1^@JH&SR+C)gm5a8B4(^_CdW$%q2JucQ3x5zJRW^T6 z%^4(o%pRc&7$ChhlfoA-22|W4N}YEV&?aq-lPT{Ztr3dLeJ9)l32P_vb=*v1MJZmv z>D^_JRz^l>*GJ^FcOfEd)47uK9^Fqzv83JdMb6DZgc#w?6Sa(I0@~~;vTYh_@(0Y` zMafG7dcR@(&l*Dz!&n%L9*1_|E^w+2R1`!93zvArO%(+sC4W8o9@@oh)xBcNy6+%{ z;2DwTRCkcja>Y@Xpg30ae*SE03*-fkw!JHv^^7&b)T2rkuhs&R+7ilRnae81e9N;c z)M~M+;gf7QLnPf_o~UkpU+3_z!{ zb@}zty|Jo{HD1i(&TFsaA&}OTao_U{omkP@v8oF+Iw6$x;|-e}omtV!_7BOE8v)Hu zK1929j1|q%#Xk7u0CKW;XOQi}iZrCs%sps;#>51Om$|Ycl~a;qxZ?m3y~Ho{n&`{^ zA3;n5{;U7!=h3fEf$d(qW;AcRE(DJ-^sqxyNfLQb&;5pM!frv+udV2T$8r_lrxzl` z!Cu8}XlLHM%KebnA2d=Z1Z138@1)V=+RoWd!O0}}?ngdCj2*c2(|LMH-~Z0#9n1}d zJMo>%y!H;e%x$f09N4&6va_;aZiq3DRuR2n?-7hM0R|}bRwVLtB>XNUw>^F{&}Y70 zC5O)*9eU3Hccbs4Z?eq)_t5A6Y{JfzJJi0knc3o4?g^p^Efl_HX1#yeZ8x-|Eh5mW(PF3lDId0p$s=Op*(Snukt*lc+=iGKS}Uik|kX zgxR-KW>k1B5>c@&3=HMwCm&HKu6SXX4B^Q5aq$!RJn@h6sfc$M)el7F3W2&|@KPh)KL0 zs5B4SU_S#tZ_+>`xuXuPO#%NzAqk*ZPxeyj{%alnkC1fXXKsBXP9LzXbv_ig2zrb_ zkLS2`4q`R|58=PD^O`wh!)J3n=aYmnVSee?Ux+XExAPizJs;v4XpMvER_k90(dBV; zZ{qLWZ9}>KgL&rw6TSgvS_4dR2AEq6FsL73H2r78SYrIhwpIj4xNDT@r2Rio4W>J! z-#vYN!UJ?af=J)-rlpJQohNSZg!nmVtCxAvCQG8NoR`Ujdeb%s zwpQ~cvzd8wa@ei6->KKhqCSW6DZi|#6#cMyuSU}Iu-ho&u-ib*o$v27ca|^x`pf@q zbLabSHTMDBOau6L25_tl;L#Ysl`y~@et^mI|Gv2os2UtJ_umf+PBRDMV*GzPDA42j z#|H!dCvIal7Hkw6ZKUDr@jgkUhiCx(MKR|}@aB&c`Z&O+w*~tTM*u`x^qMBp>SgBl zx_mA+x|&P-m8m{|>8*U$1DOOiV-wMcCQ=GV<0igup|JKQ{VE`?a-ZDlm70y~C?sj5jzn0} zB$Tl;y=QR$Q~`fkbNaODf(nOa>qV4#heIoDl&I5m%ktvcee z^SrIQHl{UCkZauSd=@1gQDCQ;^Yb+g^I5&BQ+>f(?S7TC{~Z!USUzkuBkg~n$f4#Q z2i(q!Qs1a&ek=IU$}-sR|NF$17QK%q4>ZS>MU`PBIKUiNjyYsH%gHDPzBmJ>d`Nq8 zv$D9T^p2*Q!rlzRbBmQ#L7%IISj-@&O?NWN&E3D&bWyf>hAJy5DfKyc`&)U=F?*pY z{C`&-H8pjmp|j=s+x>IC=XBK%$#4NZP+8Ld=Fjl{u~&%z6aWd$fhURnLtG<8zoYT_ zz;(^l-!)PLdy)c?L>JNH5_$yTLwSW>LwPn~gHecSNG~p<->%@c^d2uqVew#BQLqs7 z2>mBv!%&DYX5!_z_v;!bC-cM6gd>1^V)L1=qf<%O(I?kqR%MGu^Q6+_y75m>rQ;7J z6g1}Vw+*#_-ZpMB+lKOAZX24KN_`H=Gupu4nu-{ui zew*@rrV?xX@iYSsVCd@0+&e+2YjjU_jX|l!_EgunflPTk`fdVxXz+7#t4YQ8pFc&Y z5clJ(tbAfkfUUL?!^oV0dhLEDFGQ%{YH*@3qe|Io;YXw|_~QZkZW6A+nw)9yClm~S z%tWfwf@^RxC&oFYhX$wg&|o|+8fR-(I!r-RIUiN=L;W~ws;UmB_O>R@J^$sbe%Ka| z6^sW-vrul*P|>F2{oYxw{)_fuPes*3!M5@f5wzh&Tg{1!{2Q7xG%Vkj{#EV^h4cs& zt(kWfWOOK%^cB_BW6p}UjkzfEM40{H8Z>;Cs;UsRA}fm&;GaMtH2(LC8{G^a{D#W? z7yVg6vTZAPN%t@7Q(;2ZXTls=9sIEnmF&yEJwsK}Qdjxsefe{y8C>+&`f@@b&Hgr3 zX{2NYMvzXMrE;+pw~zq#}21rqxudKe%*;1)zJY%ynsF9!u%ih}W=HlB;O>>M^D z+E+ab84rd>kcS@m{f6zgW%EH=*aq)(k4XJo8tFCT)}_Lb9fwosu@>}pNA)i~p5JBY z@dimyh@1Qe7zYv*v7|YRG>kveaMtx)zNTL(nrdV94yNfmRNr38-)AEWQ~58@ zm7W&VSNS=$bgNLAz5e5o-Bhl=MAi%b@IuB66 zW++RFNW^B8!;iSZCv$T)!bTxl&;yS}0xy_WPDa>ck#Y7+{~9A__K`yRj4C3kholAG}0oYjOGpIsE`JeiL%9GQNR^(2$=⎮T7m07R zvU*1$*&r1<*0fv(zf2X6i<{hY>e_ECcsTkZP7#HKp*V@Yn=Td)nG;3iVr;%6R{rP( zJAh)15+wA37nQ&;a({Nd|A9S=j5LxRk{_))ibcMm(Ie#NNMb^1`Zk4rQ^j2an#BH` z5rV&V)=}u)FsWy0b3CJGH)87b!hmER19zlY5MpMaZ}Z;CJI?qL`eaq|sTqUbWxX z{XW2M-GRo-laW5)15;5Xr&xcc>9=(XUylS~Qwd|ku1;BY){cnSW_1nUSz9H3)!!KH ztYy2GA4#_dJ+2^OhvSxDA18wWN5)`aZ-D;|3MmAs@SXNSH;Ml zwRi%36Q##ei=*hZ$lloD_{-sd<-BCrqf|}+b+uLn&u(V;#`mYW0 zDE~ads%n}VV^f-$itMHMhXD=P*OqrN2dUncmn%TC;ajH!C zWSJPO_O|?e93p&JO{EU?`5qoHrj0TF4?kKl(pCx z&m4Z%g{Y;1tP4@N63g})i2Y_x)J@mp-mi?{V1O||0dnd=y?{IWhy8awsB+Az@&DWQ zR83ifjl#?KRQSnAhABN2UV;3(%}-NN0Dq2F%zLiL{(i+=37=i${i79g8b*ZbHMX4A zGqZ|FY$fziMh_Ky;12g>9t-@%aP(l2RtDI~$?P9Mo75l~N_KS^VPR#Kgx?*L&ET7mn)zfps9jho0Rq%gl z7wP{}#4Hp@JCes0Q|?U0|D^@spBrfd&p0%ZY+7W(muZ3by)!s*XtWFe@~kgm1)%7r6qLDTt>F1C+@=WTY#J`}e2Y5cL1^e3v(XRx0C{0biH!nkW)KcgkMi;^% zgzv7H%b5hy=)1UsRFqho;P2}jWviTc@Ok6`))&&5E_wZdzP5>(V4M%=JI&X!||PQ=;4W% z!3|C(k4up9(tTkMX{4KIG<1RRN^V6OwY1mdk==Bco;_7ndwI0ehR7aUi5*eg5%?NE zDntXk6MM^*S1t59xT@poU9P)Pd(S-q}!T&p>2s*&isYmfxE zEQYxB>AkmQ^xwShFeffXz4MWG}dP$?OsBuxHE0hyu@X6S*(asV%&wVV{tVN@hU zdnBzR|8nwD=y9#*tbnS~ckiOd1|)_#-WND=)+q5{cmx(GtYyDp**D5VsLVDFWZ>>G zYhcqqm^zM3wXi}z?{~)K%ISUdutM3j#>y)K-*qOi!oL zHu7(-GQi8yDtOW5Ig-CNH?sG!qa@-{5$@+-M!2r8&z{-s_h<5`qC=;sF7JZghH`DWFa0^ z`lN7!KP@W4OwE0n53~E=Wa}s1SL{W_gZH8P`Yl@ay#|-6st0n_kW!E?+34_1Ym=*? zkanWtaqL|@{Y$VPrTYN4QRugW|DE-=BAR-ponn^5s0m3xT4xfV-5>X z;9AwYXYaT3al`$p=;0?gKmsSxH$2e86FpA#p8#HDUOkPiH~M~hPh)$U;h|?^elnRo zoexJnoto-OX)|xJ)a)$M!+vU|zryF#)$j~oX8&VXgBllCpPO5LpRzBrZ9V^Mt_EVm zvXc6AHok^4J?rf(65?D>=hS&JN89`87mJNxs_%cgy^rH*3ZVcTt&aEK^axLAACEqV z3iApnjK;9P>mgEC(&+0_WYbb#BLVW!Lz`G2K@R!c;GjWs(xszO=EU9}g{;}Wh%>`vJ93LAt%1fvHY%T0Ws za+#A6>@75cZG2s3a_!1}8tDoesBBz6M)zj%eF{CUtG#D({VV28=Avx~M~X@GxWr_M zjRpDT4q{G`Xv}F7dq>ARBzY}*gyL==ye#WDn=Iio(Q9NPf;;$ZAe@u3tnV>dy57S> zipO=M-^tR=o>t)&O1iG6Rk%IiWQi!tRG5w%z=WJ-QMCUoMxZ1j(W4QcRpME^!$}sS zk-8|Ws=mz1`a&VaqEFuGUroHzhfqoPkok+^OkM7h33a*0q4QA9%v+F|L*~@FlCfnl zzSmYlF~)&e+9%0gH&+nf9N+}jeP&5S{}bUJ{LZs^?I!x+N)~hOB@|OQ;+w3VO;f_N z`FoQU!!%jVe|^bBmEABVwx{~WAsIgRRNr_qCyUBNIc~DD%0TMH=6E!VhnTq7oj~3k zEh_iAEr(4TNkIAdg!@YI2_WuR>+H3B_TSukpF}2PX+=_{;5I&)lScc6#V&Et8!gi= zC8I{0(yP(3-JOirPoLe)9QN&PNbKeR!4#78K=H+~Lg$#E;S@!M-3>rVNCwkxVI7Kp_R#*5z43miYIm%9C$s4>2f@=N~) zY7_WDoX1G4C+P9Czc#@x>@ySsZ&c6GBctE2{VU!e#vr!uUf}+}PYimArKX?wPdUem zIv8E6y!MY3bp{vLAMB6FWGd-CkE^Vg^!>(C-Xj(=i8U4h6iWqXzV#wOMx!8Aax^o6Z-(kuVyTzu z>zjS;9n3*`5%sp&l)y#hAO+{M=@=($8p*$4fLvM~swe(lN z-M}c-QG`z5aaD1q6SyApqX4*mmgDt0l*Exv;KA?+@O%j3yN~;X?Y|xbnSS$Xj_M(R zZbMRS=rcsN^}w_t%+jYZYlMA0)Syh@YE+AUdq?KDZ3-hz+@`~KplNw4qis5hMJ8uu z2~p`KTGv~{D=}4Ly3<-k0!iv z)N!^Pj0gLKBEa*}Lhdgt`>w4OpSF+(SPpJs4z<6KIlOCn(>`-chkh=f<=(b?mxVpv zNweHnxFF`T$)TiF#tpMWBiie8L~9wJx_<^=!!MMcI+5C(oP^#~#<<)ayPiFSPXNtp z{y+BK1g@s<`x{TgmAcJWWIwh)nfgYu~$1=T3F_`F?-T|9N=5KIhXp@4NS2`@Pp*ds=(%68f7*wYA?K=mEdU zz3(wTx>2T>*X!7kOf$)0JKH6{d0^d7%M>q*Zy`C1uXL+u>A{<_hr7zY$=^+-{B%|& z1KQHdp~^a`nUsGAd=z5Ad?D2{rUD0D{EE65(AF}tkufwIRj{%aE!w@*+NVG$iM2>h z7gbG5OUR@=NzW`)U3*4g+|}^$4JH1rRALojYhbWmXi_gsA}H%rKWPqs@Mb829}Gl^9&=6+yJ9h5fUk2~20YBCEw z_$Z}F-B`xfe!|Rg?aa1z>LmuL~SD^IKh|g)SfWDnI z+2BI<3YgiHs#_&ls=lM9kq1W1|3PY`jxmQCscXzWNsRp_lElR~CKJfzwWF5!+t&DK zjE`TEmuZnq!WG{+b;m^-H5= zD}{|q<%?s=m_cj)Up|?~(OT7?w$Y z!H%D^j*0wqN`Y7}+-0D!&8-Wx=mpXbPV@)T@8!(z*`h?%F&{PXQPcQ0`Y^RHL~VSK zSoj8Hs)0*YG{ef5oU%MSQ1DsEH#CsE0a7TG#8sDDRjh}J+wrLw^@;Qb2ArfP!OSrj zxl_%UNG~5&by1R#4UEeeJs=;Fy55jeU6)))_6u9Lrs#7``=4caZm6KQC7*HKzAfZ8 zLb92gv-I)CzQ)E}I>f9S(qsfuNvQ=f%lL3aN-GoFY{^9-YRK5;D)X;h4DR+Z1@n7g)oO1Cv>gIu`f zQ^>SIHXQX*GJsT-Pq}};vn^4CISBdV5rMKxyUI`5mT5lP{r%=c;T&vf^I<33eApA~ zVIb3dv@qs)up2FoApQ{-Eyb2WzCix)po*3#Vk=`hD6dECqXU=9+>lgeAD=|`vteSs zBbpx=OdqwiJ0X-0FRMrrx{$^?Gm9QboP*AY1Rz*6};ab{jjD+il#0bh&aHQv^0w0@yI3rVt1q`Hy8euP>c`POk^5ldl2iB=p5dQ`a`uVQ9L9g84DE2o zj1M4&8~^H0N@)-`?I1cKi2xr1m4;QyoQI5U8zf`fY|yrO$T26Wp@U^>C|=u3(qq+7 zPvf#TE=ibE7@FuYS>!Ygp(5==Oh$)sZ8+moQhqXN%3Cg_4QH7HnmEq4S`WuU^1=t1>+eje z@dz%}c%-D_ilCW2z#_o@g37A*_$>Kh44J9FE zqA`u253w>)I3fk_Oj3JibC4f4U48B(529v*G1-J7+T8&T)Ue+i9!N?c_LmjH8*7A3c_P z%pq&rNLd|3LVh4-eG&PK*dB!vP-%N+VaPcg&$^b)k=$+SN_VD}J*-iPitDguI%f64 ze|b7%HltrN{<41AwzRj`oK;ax$*^XQtT7yhi+*!uhc#XXMcmJY9 z^YJkTds7QYYde!$$8{kF3q%cAgnun2*7_nhYfXX$W3VOoSW43673Y00~&!pA;^e}W64Bp{Nkblnh}Cl8M9}lNnESBb>e2@Z%GzL zP_E{%8^W?vfz(BO_Vif(&l5UYz^Ip zscn~SK6aElE4BrRS-_?FU@fm?vCei@T{^0+bSh^tbpxOFcXncCqKFxH;&Ks`d{{SvT?Ll*jZh^Ji8eU4EfvL z8e0d2n|!5uxkpwn_o58@Wc6}?xq3MtPpk{!*sZ}c`kCVTPtDdIz$_fZ$05=d61@!l zBX78ss3AB1%QN>!7+qNP_gCy}G(Tx6(}kn5x)6;r9Fx_BHT?2)fw)4W&??L3I7WE_ zA1Co~ij>W2ZmsKjER1#dIE`V?kk)k`w|)h&P-l&41k1<}IfhHW;+&*kfeQ_qBK`^k za$UvDTA5K5Mf|1Mzd6sXUvU8wzlaZ#k5D2(EEfsF3?y?lTG&0~i%}jsyUBOm&Z@`p zLr{V^ZW0(`O(jN~h;d1&y;jlfSjO~D;>+rtTw?N*z^QlgCmjh@*}IIHxLN!OozhTUFHzN5+<)$q}M{Z*7)9{gQ%-M(a z7As9Le+Nq*u_V^pN~d%)WKH}X(hZfJ(!E>elx}IqQdVy``1#0i&b9U3jlw?}efRve)>q>F*}`7cviUvPlIezva)z>v@BMN+Vq?*~@8QxB>vMMKnZm~U zs@!bAZ<<{6g!eIMR0UqODPe|=}#BGj<1S1g;37!&L$}udF zG>b`mKWRlcO`l|Aty~>nILuk6M9hC?EZH{ak{$R{$lr&pNRHh6Qh6pzT1;{4$o{t1 zs&2lJx%TGQj)(}!wKvzt`}m+|Ip<`C;_f-m_bOLAgJ^P$+j<=1$}Uo60%+tzq>=%D*JgcewiSc~F7|+vxdFay8+D_Gx z_Ah0v=PQ)qwXF5bE6aNJL8kICz-d00I}oypN=g(RYgFHX5JarN$=|#KfrcvE#Gb+G zLLP5018<3$JR>*OEl&$a`wXwOIA0J4>7xFMBr9X?_)T7YE+hXNu) z%GknUgzeHhlgLb-h2#N>X+bwoIxLy19kb^hk*M#|;yj*anftDQ-GI$aX-C*iGb{w6ms z>sP!g#-IitF ze@L%&t?6ay+s_jiBYrJjYXnf2V~GnRuCO7;@M(g?ssIh_>6k7!!iLsq#}(|Z3D6GVs+#8_ z*ih>Q7aG;92T+4CD=$TjXG8Ah-5(t`2dLuexIwK!sD>XSqV;zY}qUCfFgJJmx@KTC?!$gzEGpu_x z|Jd+Zt5GBVJEvu-o6x2-=Z}{ptz=VXZ+_P>k!ixO;EaQ&iA)o&Wy0wuSz3r2BO;Q~ zSw(tQ?w>oWaJ%#L9x22eZe0fQPww{2GP?{MHRBy>M$mA2x|RGZca2ZQ+B3(3D8zzjrSq51KeTJ%pt!+J)#*Ig zLnuNqjPS3IWR&B;9DAj0Lc#_p(@^pYY%oX72pAUNH3=I`X)}q^uny2koZzgs&Hufa ze3uRtqn+4q@JGjW9nT+nbgT4?Q28&=2=gWfjP)Bo3KG?r1mt+A5d*5LYbZYu{UfPM z8+Rf#?TShoY1$Q)yfMk0?oiER>h4r=>6Z-}jehv=NfYy4WNzQ6Z64&rM&_5&%N?a z)@5dFSILCK5xU3js+~Yz%Y>h)T{|xLEZ$%mCuw|x_cs;ntCJ(Ve&XEK)au#d)}m)s z@Ldq+Y1|aY{{=6qimS(`Sp@aW;cvu{_QF}50de|at5!Lfzr9(QFES_ZO>vmwf0B-=P2)#JE( zmT|c#iSQZcD0yAH0hqogz{u1zT)N$>X8 zno{Y(CoLTnCvR2G%FyoZ1I11Nk?hsPt^0My-NL#>JF z0G*uJKBR7KfZ|+^#P_!X$Z+MF3qj`r(mX!&%Dlz^c{EO1;iL;tmTm8u-iHC&xAoJm zuT24ZxBkt$eV<>6d5*QUZVJ`_Wa7W`v4Jf>uUZd#5}pLm!4`KzJ_ZA1Cml`lyi%hw z8=S#VepY1atrQw|Wrcob6hMVP{Ra*B1!G@Tr6PCO-+w|i$~if(i~%bx6nKY&AP<%C`BvYj|vVJu_vQ=QdC_!~9zl z&D7?&++b>Y4Ozqv}(&Fj5;mI!~^P&f9!DE|sV*RvWv4HxerQC5!p?Sf%VGZ$e3g z^-Q=d!q6E$I`2!nxhgfX6{C^$xoV`0ZB(_7Fo^zNhZk`F=u4~P*)Y7c`cYVUre8G? zhcYr}bQGE7R7Um=bVhnTO8H92kN=3z?=UoIj6pn$?qL09zc(>Zj3CQO=F1LqXitb~z{N|n zg$dhx!c~v$Jwfu^Qcm~F+hiljOu2`(5jk7ogBGjh~l|@@#dG5^>Hx*Hc zH8>XCCPOqtuW4GkAQ}y6=Qs^+B%sk=`gFtR6SrC&5Sr0$Ohr!>ZPO64Lp% zl$yHgbDE-1TFmZxr8`T-60egWiHERQ!a&>KR7{BzN$CkklAg9uwx>NBV;&^i(-uj4 z+A7FxhwKc-AY?aDdqVDU9Zm&94u&TCk4OX-#BzU?KdoO`NN=P^)T(XgWX%s?tFcau zZPGFDo^F9y5?4q0frYYI$oAdn{f03E20ju>{2Q&u@}8Y|`9vt$9o&gqT^hpJzRtg+ zCZfikN9X3dsornOY9?lngocz1*Q9@`t}0|Zv%sKZhUxuP7j=$HY~G8`R1X|3;C>=vklYW-nbUQ}{Po0#7xH6E%u+=z zeGhv>_BkTt?u_bwVa1G*i8}^}0y)Ar*;;(c45>9a5pUwu}vQ`#-7;D0`(b!yWJ08ds4GBi3^PCMi&(n~}r_}|sbw;eJ z8OLvHSUPJX<~w118_3Ppk7q{3gE-lGIyHBtezfzt%T+jClKs0CQQk`_NcR5)VuAgP zo8JnL8;U%1MvqAkw_<7lt0L~0rknJj>p{@{HaFL#j-Ga*+<8jDqty5y)8F?Mnu0}l z<&Ql=P_bFpDNFn^ROrhrOlk%`E|C!?(U(+iy%P^qvq6S5f=vXDhs&+wTt*s z=Km7&yWm^z(BKKK8)Ai$xCYBF&?uK+CjK@EA4C>U(vr_-IvYdE^OxYXxIJ9JqE(5cO@>wR6yhI$|9^Uf|Dprt+Td#|3)hV%tbHr81I zkoT`^dzuBZp&owqZ|f`p$a7TD)G%*0loji%_az9RWvPuiz3&MSHSN4v!~_DA(rSR9 zw<8ILs9(`UY*qDD>ckgb1Y^|wCelp=3v%V;9~^ZEiYm))-ZKy z#NRU*hL5RBl}*P8kAl7OOMaP0_NR#KpE#9%5m-xpsB$%UmfC-5HJH?B$J6axnpT2b zkhj+)7aOFOfXNfVzOKkJoNyEB$aC{b-zxe+9jTgnZu04RP)Aw^T1=gA4WJ7%T)lNr z9MAU!x(fkHE1`u+eQENblf#eg=}_wZj52MD}0r=_hMVcn2ay^2}x>| zrw~Cpp+xkQuZB!*TR5wV_uEUgkh+p6MwL0ltYy;~xfqlwAv>BrD}UB% zg5P{`SvS;YKHFM%;#ML2 zmLfJy{>m1zs_f{X!jqBdCz)OZ&lhT?AuNPaMF2$wM#yiPU7-l&LNE~mv*?>GRfxIr z$RCX2WqlF?>4@hlv(oG)sp6u?KVWP_cTpG;kB zB^AC>NtO>yahxpNqpn!6jlHBumxw{3MOgW5TZ+<8;1^#qwU{L=g*3WTfhdhIl2T;+ z_s@%Cg$U)~R~jYhxkR+$udXGZrc9bo8w!OrGCqBJqzB|=t2a(~LrZ$z3jtC9{wX~# zjsVG5)DbVFR!#eKlS!i*CybI@_7$d#l!8_nh+xPjt@Rn?0=48)5Js~wxdAV=QXhit zp-Mq0x_|N5HxdQw519ypU*yQ2v3RPKL51KI_)x1xpLvaX<0uu<+$Mc`^+SlKqOisa z@l&$oGMPvzrXU|n6K>Mm`OLx--B(ii2m%RmR|ICYTHp+GV0h~p-}^Z3b*xIkPwJ*P z;W=t0bu1q7e2!#l9fa({cwGoIb*gYRjgo9xBi6BWgi{_^3}LcJltxZQ7%Qn36YDbx z6~g)bSUi#uv-w~Wgg?p!95aE>;zw5K#T?S;#$g4ytOM8VCD0FCU_(rgMEC_{PDFAR#Bh0)1H9+Bq!cI1_P2JT!W=8`#<-E zD9UC06vrEuG;E=tks2d#E04rt3eNH4$8k zMJG!XSH98=pg}&1YYK}LRiv>n$Kpeu#Us4xwGjTyDAH5^zt4SexAFmv##A<_wu?`8}Xi;q}vEQFyDe6t-lV{~Q15K5E72ezS=f zYIm_%PI-Ub^F_YEc}VGiTV0i&u2 z2i(d$bRFlu_8$!Pxy7K9MFLsDKV|g9e<18EPONM^l)Gl0#{xAS6{4EYW;=A#?4hO0 z241qj9fpi`(9OisaSMD-CjWp3GEpc>O!tkYqo>GjRGUE)ib zz~`XLE5dlq>GGP$!k@B}y}VN5<}4`|hHf%t6Os}CUlY(pGnL3ncoyfrnW;={F^b9` zBhQOA8p`m_qt)O^FZ9f)14~s4b4VF-9XqtTpdB-L*okPj5e#*kENsv{D{eQabzK{B zCU}Y4@Le^F!Xob-8BUFE1$bO=_k7Q|lgg+CPGoogHY-mUII|iLsXY`yL259jl+Hu> zq;lNMwf(xzy{G|4N;>s>L6`3rlxLRCs_+g58_@$?*8)P%TLAXf2^BfL!hT@Gg0;R= zf%^jzj%mrmn~Rf<-k~4W7sjK8Ed<2lR&b}Y`rnRbL`geW0U9rKKG$9;*lI*8< zVVMDELbmxCkV{s&`+#}kmyU+M!M?Vb&~U0U&Ho;F5*Qd_E)P=XEa?B{>)VajWffXH z#KTC@>b4yU7WX;LB~;FrAr~M#e{{Sl31~^jC8DwV18gEm>5@D_6svCJ>MSvzpo}n` zn(tnSo}=HVNEwfp6g7GF#;u?fCFG8M`(GqOfAJm7>k%A*Z3d*2ugD5>F^>FffXu+n zB2c1%Ut*uW%w|P=>x=O+zWKdQ>Y8vuLxc#njK}VziwA!#PYC{OtK4=D$#mMT^d_Fz zH;{Uln|)Y`Mm(*uJnCY&rfy54gTA$TJnH>UHOOluh3n8gYiy0X;f)|56pq2mv5q#H zuVv{z%8v+H(IJ8^XsHbtyhsz>{_cSodOgig$Q#;S zr#Vz{7(4gCLnKtdwkb!7o-w}f;KWPkOQ-v6Yr(|h@WulfIj8miFN+x?o9V%DBk^MTuZS6A>UVt{q zrenySH%HiFs9T1UFJ@>(!j*44=kT710_%nQNqm{Nj>LuaWBO!1q%XY@Qg_Gd!8ZG$ zMZpn9f$YY(7AG3x)HO%uh?d!338xpgsEwc)cS{<_NpIw=>1pC-fpOfk-oY#tv_vFt z1*WP;jhw0K?<-emTqe5LwSlk@VUyW(>E^yCS6E4(_-g9CaG;r&B&{yA=$KcUY^p%5?c6eS26#|Kej0_Ab zGh}wi%WYBOCgkQ`0DuYq z>C}3UGb*z2baaN?Xa{YhGcX?@$G0%sOPw&8|54dzL7Fdq=51l)dySdd&+%OkCsyx^ zqT_?!6CqC>_q@m3yXJzAuF|AbBmwVdht=$mY^gG->~6aj7ei+}{_UHTF>3JwZ^KNJ zQCk#|**Wb`Za4wf-Iw@?>LsH4>dt>J?y55h7dSt4zMDH+vfdY&6U`EO|I>M1#&IOCr&wAHI8v5oLeS}Y^Gey3G|&^qN;?{y6qpfE0y`1i7$!+%tTe~O*pUFqxvh+ zA-ro$aFU#JWq)5B0>06N5yJ4jk(&cNml?YpPH2RVlKZzZW4VeKp~`H=-f6t=$mp9v z$|!MPS`{7!6y2+N$}Eh_N|QzS`yw{@unMJCf4y=R8Z>hGw3G4G_&NuWD0F~a5AW%4 z!e&}Uqi%EnE_XF)6372EexmeS%b~=%0m?Qqymyo8RgaXPu-6dYSmQ48~~JYQ^8p76kIw3K_`o8PFZ!pbB@F z5>;g0R{7JBv^Y#!nq!cmk(}wMkW`;+A&`4JP%&}%E^58B z*VHk6(|4@w_bPxm&efa0W;Z0B^N*8tKJjvlh|0`+Oq~A@ps{(}sTQm-e41Vcli#yO{z) zI32^$ai|k!j!VaGPK!q?c-BSK)FS`#a;1*Vi=!iw8y zdVw$TFT-}cYkmSIj)zrR#?0QRLhPNKoL@82`@hk;ZYF`M3jkh@ZpQ=XVN2j5xYW#{ ziC}V9RLuM+tqB$Ki|>1NJ7rHB7p1`4AC5OC=P8Cw#A4i7rZIK`APgateXq_;RH#GX z-sMc$n24YppsWw3lRQHPFk4KdeY^bO$Pmh-@*4RHCFoa3MzX7x)53bLHjS8BgT5K8hh5~G z^V7#Ph!yi?edT=q&}DTHfBL24>wv*6qtd1tQVHO^m0o_&5lVoY3W}cHg8(}L6nI+y z0Y?yrKUj%=?=r$UVeSD4;Llq8Cv%Ata7z<5CpYOMNqr{|9iwkpwwT{AwCe~ z?S#rR^Fn-?Z%-QCW}aZ3(aU#57F}C&a-W{s33IiTnz{WvEmcqEc zS3>#j#rC>ghffxBI3NY8r3y0pe0T7#3QIN<$RqFjy#~Qkk2*}F#%{`0;S2R( zz1~KDI-T&wm$9vaeCy2|O6YlT1Ev4=@VKx(%-GfoEBo3yBeZE7a{Mc;ow+k$US;?HNDNYuvx_aq>Sr z^67Xdu0}tQ35K=VIxDF!Gge_3ik)3_OAK7uS?UaN^iPF`Tc%!d{a-1b06T4ulkoN{ zrBsqBp3U!I5Vz1Y12WNBgBxR1|APJSUx8|PR6)bXMbJ-mb`2o<=q4Axh>wuJ&p zNbyW(JYQ*;Ytx+`&9A%imb-zG@^j3`vxvgXMB*LhsOcNPJib7!#kcjiNSO$X+RgX7 zY)m2HFuWTD9n=Og^9-5MEbSu^zcIPyxQ@tGf+D~4n_~NPSY<}pnod%jLWAvW!HqXC zI;oR{Xj*OqY9lMnW9^x20h~}vldB?MYosj`0evSYjVyGl0N&WC+;z&iT%tU7+ zU$64+Sd}_t#P;^hQIY01>!RuL$MGK={pAUB%BBNPwL}pzlce1>k1yFe7Z!H!%7{w) z8*Q&`$BtVE{|a6zr`=rm?I{-K?tpz~rFN#TRA40@b5eTFo?ow;b0B6%nfdNLm!6G12e-%iK0>kue1dEU6`Nge*V5-H}dIjt6n=?=R84@ zYq?ihF5|*@T&3Z2pGI3e6!j(MO8qx^a^a2Z7Q3V=hzIn}#W_0(SKLjAdV%^rV)p|m zq&Rk7E~7-iz1pT|#r?&-WjdJIj6HPx9{(IJo-W_T?su}XtC%z^#xrCsisT$x0!2`( z3O2~YyEmBtIaWyL>UAsX$j%r@fJ2o7ls==Gk{eb zKe2~pV6O{-2YZv=V&pNFaxRRH1)-k><%=mz$93b}F#Ks-?qL`xKS7~eg|P*WIDPF^ z3CRZ7ozvF&-9VS(&*R7=+`>>B-ulJ`=>TZc-1wdPBc!s$_fgGNF~SeI*SWiA*{7nt zE0r@LU##uC-MF8OfHzyVYB#=5t0o~;d-&S%zlu5kWF^>63TIK4OT@Q5{Asi}h9^Cau*TPO_14^%=A;kL`6#T)ZlT?73w1=kL-+>wuc% zw+8+y?UeMd;4>{0Ar(r0md)xO>EpRAIZ~RD9>`W5QFgO%OvLw!*S9)4Z`SUz5z2F$2QKVXw|FFrdh_LS!0|Ja*2;dO zTn#96M(43n9T@%%M9&B6ACgDwR|DDs4R-c_P|H5#M`R+CgqQ1kI;_tCD+G^zD}x}z z5L7s+{E?{}(47Zj^O8cDd$*sq0A01eJO;`suDs~pKfO`` zv%r|f_dmy6QltB|uVi2fl55vAvBK)qm6HbMyAF(U3~bvfnD$jSq5)_&(e2^B=Mz+=@NWmsxgOsFWL??UF2s*dF*Oo~& z&nRI=8)?v??Vn0DH!3f{r7+fS@-4>}gtac6vej!S{1ak7knA`xo9AY^>JUIsz(r7F}N}JgzGhHMk>U80*&&79}8vvHhrgy1+{D5O|ZoZkimzk=40DT$S z9(D$BJ)S1HUk&qM;IswiaCFcbuE2f2G1XSjIxKdo0jkVe^v#jZV7D=K?D&vpon#g- zuaq=aFr4@`w3!6Qw@F;J85VFxdOt~H%1_91RMYEvE^-<=k#49GpESMA#AQ=d`|KM~ zB_H?X1-?X%>IVjSFIP_isF!xHt}eGgnnVku@5h6kFyN&i0+X)(jXEwO_wTpkv`BX% zj188^p~hUYSC8Dy$dOyxC&AC}8c#n_9&~#je7sPEu%DOMFHh2@w;hC=V zJ?@8vd^lk>8@TcU{?LlUQZ48+aMzkd)_4>#Ox`i)K{fI@bentiTt1IxQj&ypi-w4crAI2+bdD5$_4 zZgIbnYwh}9_T7~UfLQCFI~gBto=&pJ#TH>GJ747b&@r8a2O+c)Hg(vrx2B&!Xg~J{~SkAzUUEsyK5ABd*^jXX>PpUm89);Wk+1EU~R*lfQ)!JQ{=ZBB}wzU^|?p zaPc4+cQtgK`nRLab%qsB+{v;MIcb!Z;@2-00U&O3sJwP~i8TgYu{=3VyVfkw> zy*XPo{6hkJPKK_R>Pw95doqPDYp=jt=H#KpZ0jT2rro6Zp5!Jag=e+&pCp;u!K^MG z_x}<;p$yi;X^V2#UNpXloIRIQXLP*FS?OI36>YUh?K)YXYJ&=Uv6G=*+qs@hKEzpM{IjG}fFX{~SzcfdCspj*N8mw!KrUJVpi~ck%F&bXMr)u15 z-PMPljxsu*Emz&HMFnR>mmkOk2HJ*S4a!8QLAwXHSNC##_gn*~?N_Gj+~m{#AB8t+ ztwZphQ@xRC4^GfgDa>p~<47|=@{4&0;o13o4?O!(cglkimEsN091h-d@jA{m0_BS; z0#4*$*NvX}M0+9pCyT=^T*B%?kw{WX8^q4@8=J8l)XC%t$X{YY=kZ85b?I)W*K>Loz~uy^CCJ?X{|k4wrOq|c=6zB*F;8+_>*U|QD?maoL|;B zdGIz=KAk7gK60)TO6mh2ho$O%l8YEr>a(#=m|2hO{C;{{e309JSb8_1cd_$NW+L_I zUcTBunED00)cWvmJl&5R6>6Y%pbJ*cM1b48FU64+I0J{l$01=D#|JO`(2Bq-jV7u{oCNjUP4c;J&K})mjgXo{6dS8Ojo` z!1U51+;}zzZl6CI&4Fi&otJ}ca+{@s{QqRu{ZL!xr``ZK#$rQ2L7>k}lvL-#*@nc( zQAc)yT#4{T7*+PGzSB9T>VV^nKyECQV9GaAF;Q#((2kyCzlQ~rt?|T^C~cdEAjS)FlW^6&K<^93r;;URr{qs;X@Kx9wx5Om$Pp(&IY?lEO*> zseVk^j(jY7L9goP!W+4rE&2Q-CpW5!MtS?-Z~8Y^e3c>!lgN29*@TX08s#)*v167J zNxdPg^6~#OGMGe49@Aj2BZl5$gnN|x>i?XvLh0X+NqaLsZx340&vB|>-be);eL;@4 zzQ!A>lshgetG)$VE<&R93~Yd=DMd4D7WhJ8FKq(c_yDkCW#@XBHLz*E+F^p4H$a@h zhu7^ttbkjn>C*k}NEwPg7^>1Rrh*)gf1cU&m_UMgidR)UhS&M+^{P#00iij4xvQmp z#Q29CxZh7lTycEOhaC%S0oSO4`~vQ5LModBNRC)Qkb z5|1vI*$FBek2iqz(!9mcLIPl~6NzhCfF$n}?nI!)5q5;H*>^W%3()?OqX|YzBh}UT z;f}wAmS^9BCL#Cb%v}B^1sctvczumqIO)H#eB^Z?Orxfj}zw;p(lrn#h)B2&L)Sdztl=~)4cE@^Bp(v8w422VbVhmho=_?nsV$w9WdhF~M z4qEH?3At)mMeU}{#e5aOBzCQuKOcUcSV*T0f(vj=_pI${Qi^D)HOGSJ@XM_}yma~L z81&)z-@V){roTF|)=Ac-KrENp_k=ta@k1`Dx>qP6b#zYe>c7`xx6+$E1r$QQH8IN? zFBn2JVH<=;J%B?e$CpUb7l4bpx820PXqK0y)737UhGM`2=|pR%0`M?+aUe8w5y11h zzAX#3%rd-wffT+I1w76XVW=Cxjbt2m?MK_>R|gq1tfjtl%9XF}!4S@m-Pk=Zet-GD zqm#dZ#dp&I5qrX&w0DGQ-r|d;A&;2nT9XBr$hte?9{3YH$u<}frHx}3LFgfqO>bPu{Asg#9 zSsV2vtOX^oyO|~#2Q*o$NuRxZ8MxHG+TV_QBQvU5wrQ0`4zHW4xAw*H&I^8HL9Q7N z3>M+N)4l!=FE3Tb1@4+KFqqu_J7=zJSkw7L{k66hTz8rPXZq|U&JR9r%hws}6CjUg z)x*zUBDbE`B>K!RNRnJzQ;8uji-4w$PL=+WC$gct;0;ozL^68B*06qe4p?~Tp|fQz z;9I4j?9=Xp8L!X*QSI&;F91Ve8e8q`4xS8d>uRE~S@ALPF6cXBU@+qOq_<7zUqPFd z=lc#mw8GX)+1H5G_yx<>zHwV5pjTa$_UpJX+D;lJ=rG}HfD<6Vs*DA& zDR0lUf1ePzV)p)DGqD@GpwHS<1t3jt^^MD=EdfUI@L#w2gVC+c@>Sm0#1t}cyV*-g z6_J8St8o1_^{|_5tzR6i1u>kb-bmBO{-@-U$;bgA6&TgZ^|AdvhVplCjE#2N5?Po* znwsm^Cjgk;AosF`dgyk2uo*$=uZ?wf(hxSV$?%@fh?hM;`(CfzWR^0Z3729*b})AD zi31)pj_@~L4L|NC6_L7?0JkB~O`_5lQv3FV9X?}XnDy&YAl_lBXjk0(@;P~dw5zx2 z24>`AKCuIO+C;E!Kd{DnX_AETygsj;UvlYg3ANjDzF)gnm$6x7n9}{hJt{Iw2FZpRy+sWx@? zjCa(II;h!hy@`A~x};H_))%r)W~8>YvTJ=>EZ#I(Q{Lnp!|@C z_9{kCtyauV!oNyX6xmTY=JVW}}~ioNcQ54_NDFfoK95L&x#6TD?+4MSB((kCm{rZpaC-R#W_F zB9~i7XC7=ctnQBw4}TpOwjWe*Z3&RTjg+2Hm__bmSS)l5Yv(y>})b6*v=FV64 z&mH>$I(!$({h!_99rl)o??8Eify*ox$D}Mm!kc~WPDv=A8U+ToH^hE;*qzg0bEO0_ zBe?ZRtDPw!?LYf(Jo^9rD%g8{L*B51V`lK%rf=^=%fnswZeo!*yNi@oHc&^+3#k>> z=F~xmKPr6IGX^8?<)i>Nks>MtI~D>rsJCt&h3CW%uN%xH%ft}Tg~GfTZ5xaT&xxdD z4PJnH)VhR3&R-P(@OHSlJ=@KsKdLg$>uBIl(oTSHmrIKA;I6&{i|5ZG572l;e@8*? zW~1~*knP`31*y&>PI)+BQ7P+QKg_&7khqd($_ks?i&;oV8E*0REZpSs&MWFgE~E(- zwcA#woKmt3zq$G$hT%|g_T{d%2nZH%8P1M_#d*Un$B->VgVHj z9&avJKLWD8?_;x*qGIqcmYc2&V3C!&{JPwZ1l(l_E|519O162wHqwvV&QN{7QMyw& zAgg|ffgG&a!CD=CAz8huz!c)vW2uSwW)$ynEY@ijNC7^mBD}fsF;xgy>0MFWtwD_s zxxY3ke@3I7XQdi)=Z!8w{t2pHEJxMa-~{(S%|fH?ERww;mp%Y)TduyHCR^-M^4f zTRB3S1q{n}pzT}Ee~*tYBP+NxKv`-!otCzN0NJR-X4g)1(Ivy`f{%uBQ~^^Li=FhJ z+f^Y+=YrX&!rJ5%sI6ZNV3=X8aJ*x5kidB%!|Li?@QS%|4}UxG0a)7U-Q4&aVY=BH%yRM z@ACj!*9XoY=Nv^}o{()FL(7!#T|g;z>ds_BImd&0554yL2*`}q=~m=QWPxSo)6>mCr z&cE-mtLk!vQJ=n8K+i*H-X!YiB$qrr&S%PJ$hLx@`ePYg6qgCS>^61)81i=+OwZx? z$qmD<{4u}m02z)CW*bKQRWzaf3{SBDc!?}K^PDA|?lfAP$dh9c8h415oy!L905~#d zb*~Cqs6Ffj#dQXH>#4bilyDU$PuqSalW-lttccN(AvW;*)~A~Zb+e!#LLh0XtlBl7~;n~ zC{F)GwTC$ux9gK_!4-Ccl9V1bcFvw{D-bX`1R&|g0$&HX=J$>l*4sL5ESo7?3M+mG zZ{4XJPdCF@%CC={vT_aIeM?VE#s7k_e{Wa!T!o*p2O7`e;)k-#(0f=snXykE$U?== zhJ`=r1!cU!5(hMp68HKeP*mx6W~)2W#XAVG#~~LI1GQN$ERwB=zd|PFzg@yO9bR7^ zz|aTFpZ@EXV}gU{t$ifmt0fFa-=uIJL9a^jc1K;zT1NX3-F@@luCQD{IBHuL$Z(~%bNTK4#EjS2f(Y{(_QwQsiyk^G_7tu1_~RuuIisP$4mTpoPxR{^^^cB~ zUTYp|nUSS{?Y=;;##s7+g1jJ_r@P&a>$AkcwZe~o9xfBKe5U_KNlEwTq|OvjZph=l z%0BJ+bMIYEFbikCKQjw2xY6%TkJDVJl69E4c4v&K1kT3pB5`5sI{XTASFK>yg^v~e zee*%vH^x}+V;=1+#$(~vkqwF3i5rHdaD$m}97FkubJJRghPiuDq2~8b41hC*f^>;y zysPko3Mhu}z$5lQbh~Im?+E`gf>8=759^SkG_*fPDfI8>EMl*cy850nZf5qU;+r>X zmXGw105W2IjT2rPlQhbKUof6vPe4wLMHSh)MF2-3WdPzwfx#C(iQ;@cAHcdPL(Fn1J$BTC0p z{hn5MgUXA%KeQ=QvaHN@{^cE))&6Oa@Qv9CLQ3~vP%;RdE$sQxxugcMdQ2Emr&3W6 z_5E+xt(DH!7&Q@8fY(iK7kym0rPT4Jsoi*0%U#rw1x})AaB|g>qd(U?8^jhx`-aGA zEkjj}Z!~D_^r5<;$-gLCP?_;Z$;voF9nAFVNo^RPg{@fAp3LtV*rwgxFDW@=z>A3N zuc1^}98?~#+=gr!D8+=M2Q z4<>TayN=7*B`X!U{y+$(RdAx#S-fFFoY8C=zN(K;fACz(fW?Rwb%6aqP{&FAthtKn zeEddh-;P^YSw(e$w8Xws)RZH%%P#gx)|3{tdmK?@h&zsB%Y=ij?xxoG|K zHwd{}K~o07(()=-x>n}$fBtUf9Q3LjkL&5Mg28N$*b*_sC%8;ngGw5d;8fz8ZcPoB zSf+cWpYCLN)F>gf84JhX-6+g%8rZtpVE>ujWtv{(Rd@?EO#*ne*(XV*p8_D-- znKks8uDoWU_81S8nHs-H=r%yhjnSu*h5Uw3#F5Nu_^nhks@JHa;}Mz}o*Lch`<60F zMaf))Jf~L02DRSHe_Us0RLOKq9oEAA`$|HuK~6O7{)*Q{_fkt1)f&TgRPV+Klug8} zz*+D#`3W#SNpvR{S-r=!S;+GA)AnGbF!OZ#Cq-_PV=f?o(_`S(ahBk zx9@Cb-p&sF8-RK{YWMSMKkUgx_FRQ_Q z96Kh6QfVejl1TL_Qa*G?ueLiZHLJ02o}_L$>BrYi|NL)`b~ z%-z>E4yvOE!|-m|FT5jVE~L0e4~1RWr7mszb$1Q%y|~pABT*+7JSnD#6pqCIDBnz8 z&weHULlZ#3Jm;474@N4z`enR4sQ2tkeQ|oVL%(az2rrHREvMJ*SV zYWd(=(nz(>evzAC*S2VUKMuK}dL?&4CdU}*y0Mo}-FCU6IA%LJZ0wt0%x}IOV^{x_ zWYKohlknq7+@@vDS=ApFuGldrr1H*Lzapl#zr&IqNFq;cnY+dnKqU<$D%*tL@lF@R z>N6QVQ>1L0ZiptfF^nw=?PYvKqO;vAuo2^g7 zL9uSX4*&BU|B-eQWP(UBydu*<@7S=ODnl*OW!p;8SJc&>G>%7RpuqEV!E2p(Opr`W z?SW5S_U(FO!Pom`r8s0V$xSxo_g$`&a;#VnnttQ^v++m}m2l}ZE75fI7zV=7V>RnJ z?`yt8PP2CYN4}15W&L9gW2!m@WILNPIkkR_2Q#RbERHTcMO1ln!=Vy%z=Y?iJ6N|U zx#Yg~7h`l}hyQpBMpD*3$6-`LHFlHunJr;{4HLSf|9vHk(L|xP(wm(UaO$)nFU=4 zwGsK0R^O|vs_gVqC)1Md56|68&KXJ9I#$Y}))T+#5=U;+F+9{#^+Ym}W~#kKSqekK zv!$Z^?G`DH5i6B9Pf_m&TgBFLpw72%#_46M;Adisb#+`1FZH;ZZ`+-RNv+E~-_;>l zS7-HEvs`ioI)*=sr=g{0tFvar7jA6-h_%M%m!$HbsG-*ofAfv?I zwp+IzHgP$$fpy6vM_!7Mp9=B*6`UU{4=-zK?LV*w>d4H~l_n)PRNU>sk(K?6`+p@UR9{Lu#C!z=X)tbb z^Zlw$wLkn~P(cH)nRpr~t$luCvV6FM9vwc}c{D?P&$ ztD=G9*M1w&*IvgOy@YaUH zc=x?n;eC@q(ejwv2yfkX#I`~opPXUy#i%4AoeqPZ%6>Kj?!hkGgxJ-$lYhtW3f%UUxw_+VY^-x zjcE3p3Ss5H*~xm=Ce}6L#$cW<{y*LYusrIjd!-9Q;oXrMGP&K64?t(-ZxU@z*uWUP z{VJ0@vVvnj+ON|O;(jOp3d1$OCZNM%KZVi?7YHWO?8p6z*ePmNx$G?%!2Xi8P!^T0 ztcdH74gh#74zlVRV12Jnbb>92-jkxu{vBw>8>u|&uY>aRN9;Lnj2s87MeUh+<-W^R zs*7@Nb1$rAfv|Tx`!%JAT!2l{k*z-bDdvtFVj+W6LXn5gHYcGr7q7u?OzR1SucCzx z&7R7hvH}%Ru9~1pfm9F_M`_At794Sez;(!O@SofK44X0UaeUkDm#mJsAEiI0MUi}k zQ-s~rGHQ*hgkgWPOlZKAFtcJg*iM9>fS<#|9X(Jj;GZ5;?|w$3Oy6F5o>})26cHoz#W74KnME|Aiu>2jjKjKdDy@*FYO~fcERc=yNnfZxF za|u2q<_RYU`*TkCavf65_mClbPUk$vuR5bN#p4y7ojUCsfBHdDiQ>PnUfj`GFjg%+ zgyBFl@;&{O@bu@dKj3V%BPH=#vY0A2{RK*z?q^_xKcBQ!$vxGac?p!YG12=9wOE7d zY(+?QVzG$vWyJ_Vms-b?1?C7|m-X*!SQ-d(K#)M#GG_(tCyn3`+#hu{O&ir}+9tFk z1G6|m>pW5RtCeN~%^XReWw^iE3F?}Q52?$*K|M5)pn5u^`}K}R1w{K}9f z0aG)+VyI|NK0y>YdI3@EHx|77(&wQ>L!$61;77+t^C!FtS*AIM`=~} z|I!9IdCI&vI_8!78X-{$(*F<~Q%Z^zi~D+%*Hjf-%s>S_^R@UailR$Bt#D;|d1V#` zqHfL+o24!t0rfs5O(U^R6p$$Kn#QXVgTGGn*cwyO-Tb?-MU|7@)U^>FKYY}!Bm-g0 zA+0;6vU!r}KOuY8p6avHYy7Z1Cpea`(SxJDo|2>DN3$S40_mDzGm6aRed-o=0ozNX zsHa`jMB==Usfta**h;@o$qhGUwN~~jC z7?UMmyoEriwbW>|#ftKjO?20Rn$oGRteEP^-mg#9SJhknda&E_g26t7Y#w%B{2PjR zz6>rs8`#rirLS<^f?BaSVk;I5vdplXSe+KMTZ%2|tZ2rnEfbG4R=CC$dTDQc(h1t6 zczXCtxO&u-XNufUQ+G52Mz6&l$uK8-!%g~_>{*S@n9^~rg}h%Yq03>aW4J>8cYmhG zErqjn6`8si4IxVSbJE#gT=3gi)1EYWcB-reQZu?|XN2R|_^O2AnHqDA&BfUyDM%U8 z^BErTA|{$BL?&u=h=8 z;sngPaYt*qXw(vt^rP+X_LDIYk9IjGBO?vsmE-?=CiCZ01htH`~AAPWj^%I$G^ zRd<|-?dA8%o2+}irF&$8e^@$?KMaKG@xv;{vm31R2G(EweZB!>#>D$?alyh3A>D=PQ%lrG|z3)bX zDag&j!ovLXw7@oef&K!U@bf?RKlF8Yp>s$Cy?|VMuaTi`GW5)-h$W~1*zky@#KvkY z55suY>B(wp;=wHyvWbCBe+MOhe?Oa(BC)h6WeFYV4!eKMUmWa%&4qLb%w|w1{V2)D zP-FixPYP+-Rz8iecI=xG>u7i*LqaX#EPbc}NMRB(19>#r#}Z6cdEfbX@^__-Qn2MP z(_MIVR+R84k>qf-N&V`2d(#qD!fMs=C8FE7-jA%W%U42Yv|0vwG*V%IC~6!YS^d|Q z8M;y_2u0+3BjbRsv~F~$eu=K6X;U`fS$0T-?izOy_HBqCu$*&=2VdRP*LRn{EPEEu z^MV$gu-xStU0l(ls4%P;%Ct&b50E|IaM+|z=V6fHMG+JQ42m`DuOo9Qcya$Sv zHw+dtR;;`SS)2i-BVu(%8w^cMfhNYoqX%|zFijVGyQKyc=$L8FrV%KiK)4}v5`pyX z0syPvF;pW$J)^M(1 z{aRiP#!#&W{{mW0Mr8t@#-Q%ZmX%8Hjs=KuLInd+M#5=~k|DT)Y3F>>(f+rA84t6@ z!BO+0+aLL9VC(u@GS4YsfC7r5invTb?9HT9QASW4CtA|{69gHVL?a{oDDXj){CDNC zB~U>`G3k?2QpUFWOE!p7NvHi>l{0Z?9xvmYdiD`_%WDNtMQWjKJ{c7oJf_NywR~C+ z7@;MRk?SKPFB_QpFnX)W$7PW*2BtO;ak|E~?Z^QCruo`Mq}s+3Ldj>FQtB3TW)}2q zCVsMog=Ygqgiyg~WZ}0ofrftr5q_^MpYh4WOh#sohTWl!jgPl8GJgR{=F+k7Y-o2L zU@#vZ3sB8dV!sd|7Qq8+8Hd;}rV+p;fbY(lkJ^WZe$_D(pf8(#wrpPIRwg|&7O;<` z!+t5!&zVPK8PYzHE=&@^umT)~=4x^(ZXR#SQRjqwK0o0T#hF0oZ1EY_SD)D?n@$8lQzix}8Qyqd`dT zT|fE^(#$eDI2FT0*UYSEW&&w&TXr3zpb!d`Y?BG747RZn0Ij2k5g`BeLrDX(*FDvVVL6JnOoH z{~#^07JM>=J$}fXG;M}frz`^=Jey{n5+K$ol$)vh1-4tB&IWrxU|B!=TVv&h_JDY3 z4+0J`;-NjHv9{5F7-uG$$TT~#rm9hcZq)z30)=Fvwde$9BM8jH^v;D*rfjf%k<4NO^Yh1XF^O@{_yjy~ zFL(w0Dhu~~cV=hSO!)at$E*P(uf&7tKQq)y*0FV+q%-nP;l$_6qj4H%ztwcUIRwKB zdh%Wjb692hTM0lumQ1`Yg6lUnEhe7z)%TLcpIsY;yBjtBSN|{}rSyCaCMKTG>nBfV z?Ab?5ysaJqce^frs<^ZAkX!tBmn{Aj>30T5_YXX_10~nv=%1w-{Y~hHN-DDOM3TzL z>~&^WaAQJ4-x-*7K-P0~ekk-bb^?HQymwW`-KaQ%2;R?lvW%GV)?xZIJ6bZ?=5b{VJ&S`k#-RQ?IN6w(rtPn5J=O=e@^v{BOe>? z`mz5OWxwk*YfCU;NAun`Bo}A@EsNh@87vEFER51&c-#hz@8DGMi*6Zw6u|Ck_zDiA zfFGsVP`PKqlm_ODqv?4~tm{7AM23wc8qvI(kikGvO(5h0ni+~87o`)H;ZkiOXjU6f zdeX_(aX|cAnq{kjU0RlW4+Ysek#4fZrA!F)3sgFd^hhjRj{%8Q;*<$btgiVI(cq?A z^I^VO`VIp~@G2H~kx?a=CECx`-3gIJf zY{C95K)loN6`Vut-_w}R9{}I5ke@nr%X~)WBYbOeFuBFS+&PSFU7y6*Qwria0L{dt zcLou^pfu!F{4B_4TzboAnKJ%LliwGyd?o=BU*VB@cfmKS@_7Y#B92Brf8(J9l^)b@ zRM=DV3s^MvEy}#yx!{N(pWF`>`4{Nq^E-6m2Rwel;}>#iJe_=A1g5wE4<`_`!svPL zK)3(%8(RCL;VZa`hVKQMIW++mhvAqoeSv{V)|f_RAbnHl zS}TpaSa3xN85P>TF0`FBO>GO~YN>5SrzBRjnqv{Z8&-L1Da%`CfXb%jbdIN{TjDKw zEcwRRoL!RhSxQFSy+6*dZeoU8}a9y>c3D*s9 zaMy|^To0`c?)pndE^zx>*0q{c98#%eX)e_Oc2AH6;PDal*(ACPo)8QxsE;|Sw6d`B z+8|NT2A(uZp6?9rN49?7)rA@vPF}mG)h``UXWI2d*-(LIGZrT23sD)>s=F6HZN8>r zln*m_q1uQ3dc&t|*wyEBCI=EWJAmbYM@|$|DXhF%58k3Sb&QFBjm%_Za%tHe>E;n9 zG8oxfb8D$~6!Jj3*oD0C$R~?E%fb1@9Nga?Rzc1p4lbazoOpK?WE|9&EU15X^oT~k zU%2i{0XZKnPt{k@&R5h#1+^6931)9;?6k4wqYQfH8#|*yzQasNjeD5;XKr0g4y3yD{p$^D`Y2 z3>Idx_Ws>UO~|)jFu#B|l<;aeP8`2lux zadPTDqI1_F9m#ue514)JR@@C>PMP};9n@todT-=z9>#AM9yOS^EAgR@FJn`1s&1BP zmf7>ZhhD|=ORCeJ<0zx5U8yW@x75?c6vaf8emaj5a%lN{y!o$^ihSJ+yZ~4yJbej0HGwo^OptAhI zdD9%ieqt?_39hxp2UN_!E@c?F3Sus zzX#bWI^_g2>8o>EU6xsdQ8B%dN>N^YVd4Fi#-Wgsk_Uo3$lRT`V`!*{-prv;qpU~% zG23FerGQ;YGhuxThLR#gef_Y(kK$$alj_`jj`bP zW|yCPEniw9CRkZWBm3`dxd=(-}6UBDBDzkqm&csy*1vJMgYRS7=nkT9!h zJUx0)QjbS1sC_WElaa}2a_eb0Tj5?c&7n4>&d>^x3Ysdzq^C}?-g0F@n>o1rN7^g~ z`U$P2HDt|9ZiL9RG%o4XfKh#z?WzDdsv_&eWT29%Y5-9k9#~6GcQD1=Xtp3~u&Wch z3<*)+bxdB?G-vO)X6vd+XAIUtSB49ZMs37C51mFpFs#4{J{3UhrLf9FiQsiC8InK` zRwLA<(g^$wiE;4^QO=)r!b%|xsN{7%g)>-zDjl^KyPR zd4@U<(tYk#u1~oxJLwrO7xo((11tqvX>FNeqzEa}s)!3urqY{=pa@A9RY_R zcaZ|}#Esrc}3>^+-FPinfp0!(GN_b*mdTULMXRNCaolCK( zFkXEr$BS1i7MVPC+~`-cCD3H}k9AHzLFbfAcb((wZ?1C`MXNs`Qj*3zPjxng_X<&) zIUB6&8R5^eo~eY>JUoJN>PO~mK(}j$U|7Kb=ojN*18f*T4AkSIXcQgx+2P#)X#6k0Wwb5JsxbgDR?An=5NW@O2Ky$UkdjjjQDGrp4a_(# z9xG@aqE7g1VCxE}!@jJ*G>FT1tt|Z%#i$W1*5boMPif}qz~m`0urM&jU72eWJl^b=Zbwo)FmBT;Y7OOn55;U0C8!B>^zx9 z7+Z5Q)Z`OMHx0}b(F}!kO{JTmDhM;wG+UOkKxFFmlJ$9Fx-d^vOjm~uZ78Xg-cT!h z+U2G(E}kjcF5-($VFO!ikSVb{TNRIc>at1x{U!%H97rnS%%8ooo}Ow3I)Q+faAR^N ziboeZOBlgs0a#7=6pETo3ahMV5PY^J7tBv#vo^v8OE@E;mY!Hx_EJmOJR`J)sk@d7 z->*5)!?`$t)uyq8>xd?yd7?=OE1WNpT6Pt+K)i~YjUF+v8)cytHwv20X1!PJB6h4X zPO*aM&TBKAk=R=fKEV!u$qB-FzTX=dRAq6NxKZWexs$4#-WgLK$; zgLh*9gG2B*jP#?#{s=&f1F~Z+Q_%*Lj=3!MM*;ir(9p*gd?I zj?rOnKu4tukH%KS{x%)gA(#VfaN#Hzaoo&c<&`cp#46q6mgXR%@Y)GV-JF+qO)U!x zQE}71^3`dU_Nw|o_jZNqxlqQ*_y+n?T>Nkfkm`lb3Y_n&dwSZr)MufP5L0+lwcZ-^N$0dTmf6*1l|tBltG zICU%?=!0A%igiuU&(e53Kfj7GMu`(lQt`~%&F8>1W&HQtg`6PF3-+78D!S%J16$Wiy0yeBX#6!irU29d zw8h@g_{0pLwmXG}9(vvQA02bZ9W%EU`ula`jCy824AEOU3~V1qSiZ{%l8Nd&k}fzBVHOVeF=8h=9OI7VkCBN$dN6FwbB=8(cF69&Oo zSqi)PBoO8^6=8Ul#XHfYgfTNE6hrK0n@sXL=8M+UyNaDnqB2ss5IeJxvi`of1ByfN z6{wH4)_i#UgVM2@Zuk%kD_8`d;&AvRu+%G)xcKz(-y)2$!ap`* zEM+hSkyJNs>1^5Wk*wk~P3tODhh3&U#fX&dEOX0n>RfXbtv*^@Ub zR-~I@&YfTL^RrLxAZM4WJViE6AuuT&JViE67Eh{U^*l|wO+1i&R40wui85PFZc4** z4Gi;!G#j3n_}k_sR90c6)~54F1(Yje-=mC;JDBVrpRZeTHcI+uJzuxd;TP!idLZ{k zcs#)QXd|77BN$fD7dUJnu5~D3v9%5Gz;k7ez>dsaI)6C>O*(n<72IcSTu%zs^2puP z+wbhjCY?pXvURz5QaHpOi*{>WJx$I7GPhy!a`U9R;BphR=nnnB9(f=QD1En^1?8sk zv|x~J7G@7El@81^>Gky2jXm`Xkt#1!FBg@to9LcwN-Ixaq}`6OuNzzU8;7e^wT)Tq zI!yz=@eWlMyVaAyZ!ABd@)%1ir&Tq3U=a4FS=D5-ON*-U6jaUL^!C=2QM|s}OFS#& zC54Q)cvi^fX<_9H2f&%^0I=7E>iX`Y{{D_eHi9Pg`XA+lEEI=r&GPpeR6LYrHTN3C z5+6CB13A%>*+;j*^#;K3aR7MbEvlPbH0ma|r|D^U({FmVt~{Pp3tBugSpuB@N8r;Z z#K1dEhCeJq+2fQ{i%_ep9#K__9mwm+<30g;kqr!lRHZ1{O_rx!x*lR|-nEFqP8HnA(7)NP0n3=pwR;2s-9?x<_P{F*{N~X-Hb@ zBlW2y>uK11>OUIgA>1*_CAl?dVoB+pt3k)a)q?$$$NW0L)z_aN{kKVOi^4d>0{d09 zr`q~;@%Iac6bbNOxxBu?|2uuXpsKo|dBR=t=|HCo$yr{(l@!+Vz&Jt%81pPJ_Wg%; z8H~Nd@0f~H)v46lb4{ur*WvG~jPss#&35zzmjq_i#tXrf%beoARcT&tTV7KPkNy#MhhDLQXfbaL7R_3RW>|Y%lnHJ5RF(h=EmK4n>wRzxFZ_^^p-3uqeJxNjKX}_-LPkJp^ z#E-bjW#`l)hd<@hIb|L)V9?OPW_iE_*07WP`=C>;4GWo8dR1wCYL;$^APRpdh)%5B zd+<21s`|58E>r3KGG+@!LC)1!EmhJTR}1MAQ(QQ!jVVT@g#TbNR<(Zm;P>|y-taQJ z_fkqJgQ6?)0!&%oM`yK*%5+A@g!P*iVXgg7Ad7S=_nn_#)o?#j4b2c(39nNILJs zmn&B`4as{-2vg#eM0u`oH&z&Bvl={_Q?V_4@wFzax04IsnLH8^Kf zTUhPzw!2q!_`7OMQmytcn%?EU@njTUO8td@F*Q+`YH(QJUj4h1Ml%f}v}t39TFBAS zRk}2R9?J_{bV5Cw&rqZ~0+2drZ`7b^{ zCXGmvHUDMx+f$d+Q(Ol2up2siYI48Rk72=6UCh~>HDd}Pmyh~ zOW*GOyHVJg_9EFwd+~9*t`$~Szs}i`BQBolZ^(O#`zGiGe3O?`RZC7#Rr5GWRb`9) z^z6zkQna_lqH8^}k)l3*4|y(*Bt^A$tf}fdlN9YASY>*?+@#3+^V_-2Talt;eY5^K zKZO*jc6T^Et_Ug8tK+6!+4Rbw3LIW$+OLVEXw%Bi>%NsBMf(j~2Yd)5MgGqU^f)+< z6uI|ad(Xv>6y^O;C)eQaFAb`v?;8}VJBAebb5@sXOU%Vzo$N_baIaFhCP*#nczTv| zLevX`D(QFc)-C@K8&OG@N%9~5PbgF?$rN2JxN?#~?kj>F^%FjM;hAoGnv_f4Tuyhq zaF4NbWxuT>#*LBj1oc`@>PwI}Ekj&+(=w3CwB(x9^LP9ag?GhLI5OSFQjnmEey8*L zK2XlMqO@L8`$+yCb~>hb9L9o*vE<0Zfkzgo0H0dQjXt%lf0r|96-+JqROxEH z$1hX)?A5st?L&!! zYr0u?nOPDW+%f}n@ji|aG$EyzAjm3BynMq8-CZvY?6nwL_M48m3`vVC(J4*1IfQkJ z>Zp|FDM^-lt5L=ldasAxSB8fxuD@}ka;z&w?=g^wpx`#?b&`%L>A`+0txou+W9us5 zO67ijhuea#JQ`IzWjy$bnc4(ItJ)IBV6?NGH&GPFpoF&;P}abs8sJ?W@%E&lTn$f? zr4Zuk?1|jasW%RpeXmyhWMIkya|CVgP|4xITLa?{_Cy^z(Wox$CDo<0C-|Klwu}EJ zoDe%+4@iJ{&FkX;oAqAVy#e42EbTzo7dqF_^M53lc<Y+;How6LwQ%zf**#C>U#CbG%Ed8zR%jJXN%L|W2zyghNTF5UIZ#F}vSTLKy z`}xI!InI?X9>C=2H0}k_9FDR+BR3Q5VTgB1S7&2c+wEaKaEcF0P`Jq1%pSHiey1kr z89Zu$0prba1b5R+?09BC*ja5M+F8X4TheK-DuS|TWmA~lIUCYp+gz!HszRj{>0+cK z$HOWPK}np}VH{cm3$~FR0tMK%0D%Wfv6kAbWkM4r*$xKmX0xd^dzB;8O&u7_ots@_ zTDH1tVC!m6H+-c8Y42cTlq7pB&+6G)wd(QN{-Lo`AehJV&zi{KsVDWctE1A;k&aI3 zA2%70hsrnI5rzlz@4o?4SF4pfO`!mbV0at@kMuM`yTU;lwDCWCvqtt_eIvKEKFiI9 z$y~-hRSSL=D{VH5{o&v78tuov?j5{kyK$KI@oDz(xCNmfZfN0O2Cs+Y6O!=33LA{6@JKw|B4Pd!opX!&h~>z|N3` zr@Zt!-uI-WlG@-x4jwh&(GF*uwyqMDWTgXtVZfb-z6-lBS2|#j+5xqyc(KPr=Dkfe zs8#m0bK9%xX_YRS?*`M@+iUxmG;6!wz|;b2Pu#UO_Y@Cb9gA`g>7*vVFNl7I9;Pc$ ztGjZ1x8eg^Y8nN<(xv)>Xh&3uAmO%;C?Cs`dZnQ(xjF)YF6u6h>@oMHj+sD|jOxg4 zyZNDIA6#@Q5Ijmg=b?L}% zLXn?fKEsLGLCgI%G%ReupdqRA91ExBdOL^jt&b%`1W|reLHcf z=ck9$I@ft_{Fp?3uWazV#Fo)dxo_Ea&&+qO^K-4_hg-FX75u+ zt85{^^lN-B*NqEvbgG+~clyPRCcpgkJ$~)yUW;_9E>#+xnOK|rqN+A&V=w#VI@K$e zBFF!BCBM9@ugR9>;%c30#Fxwc14^9Hse)oQoWH;DkWMvj^WDSVD~&o;$e-ky4I9Vm zR7Er!pvp7sZ`WRzt`g)2hi09uGZ<8dYmFFl z-TtOdwP*P46|3f7HmJ(Qu53Ir_Kr@KcU1myEds6^R1-cQ@w!#~o=&wltW3UT?Qa=W z>vAfnbob?vIjcVwWjq8|9$eIL3NU8S0`V-hdNdERiW>f z41H`+1y1z;ajh5mW%>$D_Qov|4XT~5Rm;vVBfqRXd~o>G!%q#WoaINZdv7Ga>|H)Q zpkn#w1S(+0v9~wLFQLt*-wrdpFsKTai1{4+ko@xfE_jFb&W#g7q7p{7W-=j`K41zkAgGq-_r>bzVwebAMH)QD2XBlt&^(4 zzY}#UM62;tDeqRfo{yhxdLZIOmpsiQBb!$e)Lv?EdU&cT^x&Wz&7_?})3#1I3Cji0 z)&oLA6}P}ME2xpoA~ljxq((A})JR5=8p$Y9BN;_%B%?@;WE829j3PCXQKUvPiqr_U z-lkT>zS-A2^s17oiv=2tf2LCndNHKUs-A>VyKT6w8Go4+sfK<(n|T;1dcFO@?y)^c z(a<->j6*JvqCA)KG~C~t6m=P2>PEFtQZ%?^XkeRvNYS~G_vfpck)lE+LPxCZN{U|B zY`M3}2~zav_QFpULP(L%g(@rNcOpg2d+zzWtU0k*5q-eXJUtiacL|PY}y;#*lO^Qn1d_R1s zBPrS+_Rw|c6H>IPiT?1X&qT2dXyzN!?5mqzH8*?wSi?_JbmrX1JH;lGqG5d-uAFg? z6eVWlbXTNBEU_L3jzj%AwAu*(AMC^@bXHSu$ z$sI10d*(`zZ}9DVgC)I4(Y&9=&MS|RqMI8U4xH4B6ivLH=rgP;Davts{g7V=NKvsb z8Rm4iC)9AT|DAXt8!5W9vvKEb`$*AP!-98@ zt7nxXMNR(cvnls+QWU@Ky_@4RQgkZ0io>TSr08Il1u@HFNl|REqkD(dCk*iZqtEK* zLrBq{&I1d%{zHnk|15eSyay>7-0M%h0%J%KGc4%jytAaJ4A1lSBmS9De)4l}Rj!ZF z|F3E-MdO86O8;lL{GZ|Se};>);Ue$W;^OO%>4XnNs?2}X!cxcdw5=-0AEMZb!U z*qlJLt!h$AaI07A2!@5v{T>&xjTC7|zHIj}J1P2SU7Z5o_Ye$!pIe{ZU?4?}!hQDE zeo8RBJnYV|y(dUpt4{u$KM$;+$!BhF8S*fparC;RZy;y4&6vmnU!{NAJ-5Jdv*A` z&E^%PsKJN!0bQQG(5d44t_{x|O)xy0e1GCMV1S{ADxaUZgJ9U__xkCR50SQpmdJ3u z%yCkb_&oN;syze^?>ycewoN8Q>#LnCJqs*JhwRtitSn24-u#K5yk$Bm@*cHi)wLN= zVb4udCk!KKys97c@UsuWa9Xvn&ZQ%OZ5md6ki7{hx_Yo;i_5DChI023QRgyF%TJ0XyqI3T16#Vkoc`s?JTXFA>wGWb_$633z_MJ`8h`Vw5{QhuK zk&uXM7*CMA$&4!Y;+IBeQmo+EB&^~Haqw}>$ z(WXW(f1GPcitO^%ZLu+eVA#EFmOXcyk)pNV=O$fyM9{c+zUyU==>$X90Q(ubex&H) z!b>x{M1c)ox6Y$lK#l7GZ8l68ONxF}3sT)*N=&%g7f#JD*oqYC7L+bL8wfS{#D;3K zJxS4=_%btkb|yv56KD22k&zT#?JzRo@>CGClzqU<2a^Vo zqL9dO-%Ix=7=}#A>RHT_6ji*enLNpX6g3#%H|Rngg5mo9kC#vLBSkk7?q>gdh_rR9 zNX9WYN|LtJqjs%%QJoY$sdeoi{R(25?t4~w+NcyVTjq=Tba+JrQdH%|;_uC!Nm0=( zGk0BZCQvilJ&EbLn%M9z#jh+<7a(2U)T&L$@!JHAKdqe?@83X*-fBPoaV|%Sy57p> z;#drdejiTRdyo{Z>)dqUv!4WwlYMK=_m3kLt{FD}^Ts=*DAS8tF|(GEw(hSud~aws zf<~Lh6_Rp&1gPCDFP5)GOzygm3hk+0iWGH>JNDW5gA^T}(cRa{OY9W z@Mfp0hOwmR$lm6^L$;E(rl;IlKKTqmqqTi<=YEq&QR@l2<~Up=MNO98oL$F-6wOF_ zv^aJNDH{H*>a#9eNYU(L^KO@pBp7Diswv`ij1*<>nEZTdRf1vvFE?7=cPDMV@nV)~ zuaP44^%WWN+#zVRQ$1>y{U)(NGOqseIs7gu>X^Uk)jfqsQTv`x^8WZpiq^HgciC8l z6fN{0k)!xMQsI$=wO@^3gXHL%(sxoFQnYg0yp#gP2pYe4X0A}@0U64VgT7ZU)0q^F zKKA+4mnx)a&(O|~>s28bF6(#ldfaqU6f@a%WBde#bAM4w-tw@S8H}T1nw+Jb+s~*_4 z_e6r>LYI8{j#CMS9*#r5j44Bk`ZCRb)OkUQ0&jYbh&W3yoVR7lkf*gsQRPJan-4w& z!`|P|CTz_?FwA#4OR*tCNKwutrs&HUf?@oRxdSE^AZ_h_6VxT&G*T2Evie486~XXg zy>=n-vCqhOMQuIg6hVrXcCMwlSDavYCc|A_w+E!i^=S6fUw)7kO}7t;^?QCL6<%8F zIU}?k!Ek7&E!nQw6R7JoR`km~onYuxWa@?CpqBUb>Duysep2-Jq?{ScWG5I_%hC7v zfv;pJ?_cjyd{Sdlbf|5c1?>%_!u5Uqy4+b$+S+ofXqM(c`I}?nqpsg3XoNJVyQBEhY8Dvw;DYCapNp{u9Bl`qtrd zPF5vFQxi6=ntYd3_`cPuE~5sKwqh3U%;edK6nRZBF8qCuVAy?M?#{(e5bHR!Mo{r- z4N1|B4y&Rr-6I&jf1N+^mXQ=K@4WHKg-ZmDf}2!cVY5kvqt_0|avB8o^NekwlOK_y z+7&PVnp~e?Sff+oj-fjVhPOYsR(qxfW-HWr*@hs3;n2NAxNYRKJ z&tGkK0!eZ0c)Vv9f?=0~C4FKmks?p6y&<178RgpQ@@|KF5;QXJ99!#P5GhLB-n2ta zCxSea>*ub%wMbE}##xpXK2OlN@L}9uyD0?2V$XZ+h$%=gd>u1lcFcWP?G}jcHmeqC zYr-er;z?j0lzU|9b_rXfD92^!mKomsg)fE0DeR?M%vH^DHXKzxC* zexztjz7>xSz{>jBWYwjFaDriC(}MF3<^{Fr+1f1b5lo7vjQbtsnTJ4?^ej5+8PKrio!>_? zL(!f!s<$V72!=IgeVaV44ngBYt%UZ`Ae9@}cd{Q@h+uf^`fZORIZ2UVs>>`8QXkUw zgN206r6!e5kfIhjre<=0 zq9acWtsStO6cv59&$aY!QgpNG>PPFrEX@|yy4u&pr0DR({d;q*B}EZ&`9B0g5l>F( z_EMCc4JTWK&dBT*nUUOlw}|3o3uWX$bJ)R~oaV@ZYW#Z6Ud6Xg(QuNEvoJc#No1sZ%?iiYO|F3NQZ?n*%YzFAkJn4~yFtd|WwK?-pLMqs3DC{M zG@!X1Y`yk-<>>Z)=p-GxvEGL&o@6OzR`Sq?HI9EBIQgZ}C8hVTootqK;~o!vtFE2) zCfmK#1@wx~Q7{@e`EA`6O_f@i<+@v!nPHQQPvE4M@0s{bl|R2Fx?=x!y9TQl5be#3 z^Zk4BOr6S=aq70`#yXv<*1laubNxepd1*Jxv0{ZCI#tx@(V@GYX6sZV543cfS8*p< z5;kx0Ph#MFeA5T@+0^p#C6sLh7}?qSR|xVLvJ%ZFLf&&QBVcp(Y_( z;ml~jXc%4C@<<_SZMdg}0NxRRBOZt!$_s^>akKHbD9`V9Hl$9VJK_ zC<{3(@M>w3K-rfrYzO{hU13WW5&Yw`JXlEOIlAfE$ZQz>KYDQg&}Vef|D$1Crh;%B zraoTl8zkJ92_d)n?(cs&1X@o_>2)mdvQ98 zc0hoC8GA!#iD)ZYR$~E?#c2s?XLA#uP4}eF;{c;@c#Ma~->8t6NRIs}z)k>&r7+AB z;nO5MFnWv5Y(TKd05%2X+ZNbq05M%?d=Y!G4von%6O4@Y4KwE+9{E|v%z|%)laH(@ zr~RU1>zYlMLUbuu5X%4{0??R)*q3mm!hSA5%=6?ch{VG{CmboTpU;^{CE(Brvj)$3 zt(qDeA8)5;>smmE{c?DBF?3-eps~mn>=$EpE_h%q%aQhbH5AxKdfJ)XTu5!O+R{nrl>)P_ zp*-fz9g|hRxeuWr++F0BGZHG$U@dfF6%Zl{KCMFa%sd{QT8GWp46W!=X!Mm%mad_-P3^vh?{%WDq*oDoWai=|;*Bk0Yoo@q#VVr^7)nptz5%1=6=)vwGFpS|4f5_-PK#HFb`?$G;f(DCi? zh-S~G(v5o}bbSYaVJ+KH>a);XN468jy;G&)`XvpM49pG~ckfR2LmPN~(y?{zq8s0GXXAnR z+&MRI(5AjmX$)?~W9eyL+?KSnGtF&;&K$)I=|G1=@Hh;QBgiS+=qwt9hz4Ab!lz>> zBTwk85(L8v4Dc}80(%@FP6&-3LisvLqgPLXd?oT3W>3Sn*?flC7+|1SDFZ3$w;j;* z8B6+Yr$EJjCq-LMt3NBg7`)?up7HP~$XpCF^P;=;B=>6+7bEuzP zTDI8E3Ja5c>AWxrE?cgwg3sZcSb4+&4)J2;ao+0T+zSA(4_7j(!C^hS4^m#rJQ}5W zK1$=IcTA}IwDCb#VPsTBKCSduzd6-6j(n_}E&^_s;BgrqS5O1&r_%tZL1yp~0}K(1l6aLy60d>m2{$~Le$%scU8kGr&Oqb% zI0R7tAO<&RFh~$#kRZYUE4=9`IRh#$=0^ll-J%oohu>3D++o%`9Up(qfNluQeP!d6 zPRvZgmNhG zalw^}>6`-s%9Tq^QK+nH0UfB5xylnr&D5iDW<2Gb8I|qq?i!d|VEN|t_uu8`AE#q0 zd?qzq3L+x8jumJ74CkML4!NjZwETFQAD zDRe#y*faE5-_TG8{XDUIQu&StyCs^GQ9gwa8&ZnXRabv98=!Q=D-eH_2TzR>F zjiM)At}2YibzwB#*u)7bWqs>@c;%+=$027Hm!z)crFkJ585*-BTE#Uo59&dTr z_W&^&QWIe<&*(@GjWJo4JvxYkG#2IWb5 zhc@{6D_u1ARXkMR0Eh45q55HUtuY(&)IOovHA&&pQ8js2dFs0F8uRh2QPv~>m~Al? zh4B>sARSL7VS5Gx_!7{{0gs<3s2`|3iLt=34jKyEy1Y7}dx+Xe*lA?b@;%gP5-W@g zUAg+1fbTx;yJlE!(>=XL75B5>4XUT?8#=YBExVIv5I!loeJXOz^T&+&=B;J&=h0=6 zcu2Dd|0S^Jn>6bTxkWg~h`+^*_y=0kyNMVv*^|8o*Xm`4qE!LEnzecjuH9@d{`3eJ zmufi<^?*1IRe=#xaGn5e^Z-V*^8!ZvLFW!fFsuNOXEJz!?Iwj)X5#NKPi6G7;4aGQ z#!sc0ylAG{ z0=@Zz9D!Y;vW--3!tj%&nA+r!a4lf{e5sgn%tW<<3QxVRw(nIuHDel4{Zud7nh z-CY=Hme-ckoN6zT=5z!cV5&3GoQxN1ZCTcwA?#$LKd8VHX5y{cz-dhWU%tq)=8U1z zoKDaWI~b9iFpkcsIUO}rniIjW0vu9j98D>#vgSl^7fYHmgP=KGsWhh@8;d14)Kc*8 zfnrcdxDbJ56r!?}67hj0u3{I4w{(n~mub{BcHiAgZi~u8%r?+nWOx&{(Rf*L+<`5j z7h?`mt}Ln5h^CCLdD+0Cd4WeWGmNlSf~MtG4~i@p3+^RUE1uB1ZywwXY^GZ%U5#E! z>0E5Nke8P-X;rjq6Bp=DP@2~!ayxa_TlNwZo2y$|TXq#%wijBqAcWq~B(z3h@Zq22 zViF5#i$DdzXeOtmjBWK-Nr8hct1bS9M$2j|8=##X9y#EV6WPtpjY@6h0tgQn-}fHe zszuG@qEmSYh81Mx)ulPCgNh%6ir8i9Fvr4xHT}IOk z{z-1@QC|AfFYmqZE|fHjP}(l?VaW_FS5GhDwWa0!&~oE6x16@^)7*mEDBzaPdH%}; zD&;oLBc9kMl0Gx5#S>dz&K{!N88voWRd;oj+^KukswermozYL9p2Y83@yu|+@7uHX zx9{tU6&MkGXf9d*kLkJ4y=kXAhLyf{NxipS(UtED-(MRnYmc5FhCSes4@j3ErP#+- zGqeD}7J@D>aHQPAO1(46Hwf>srsJxj&`7H^b5#)m4O`9t`3PK9EWNoZFu?pSRF zin*!~;8560#8pMStU1bx0zfghG=vxP-_tw1xFqlzK3Lq4;fmYJa3=M+(QRM(mkBN_ z903SWM6Wm?1$8nd@DnZqTGKh)5ezFR1&`9$HA>hr0O2b%?uXuq1$K7U7o>h**j5Ux zB$X_4uc9Hnpu069AR$J4)F zP}F79Sy2c32HTpSfOp%4hK8Pk<2r(;UP^ZUljexTs}VtwpTHo^PoQ+o9S9S8E747x z0ok)7opM4jbO~1m0#~sG7F~ux@Tdx~)leyxr(2$|j#@9F0#qwCyeNda0{Z2mYZk<{ ze@zhTn^9p^!IWaEKu2mxT(+{c)aHB!S77*KK?9nL0GJp!@hlQqScll2k?( zvzaH7_QBC|wug18+{aQcFgyMhe4O>5?hqhcefZP>N3Ay9;ts*Ef~xSTp+*i?+3ctZ z#A!&Qql&hR@M;&~tT&QAH6=_eY@R&kHm449|8i^}uHo7J1GkMO2n7G81tDnU#Zv=) z+V!wojcFLFG7K9Zp2#u=df}rJbWC07Z4)YI67Q%ctzC+bZ&yi(NmatQsW1l3;8SxH zA1b?`1>o2&qDlxMuaT(+_)mV_*lE)1KL)nKmQ-vf9iY@0GOOCSm7tAlq_;LM8(^-D zt*xz!a6OUk(+LVxGmMQk)!k#GO%0j2@0!VMw5cwdjW#tj;-XM3tK4S57qx-Z7;)Ab z1#keJ+N=v;O`!q|7;A&$E`hZ^0&Rs6sF!Xdzz5s~1S*9Sw-c{i+5-|D#4DGMUX;-o zY@E;ec;;m4H9jYmz1WH;Te*?47W6cj7)&mDzB)xL2t(8vK6Sy-X-lVC5ezH9 zWp`KP3Ms6zYHbZb2P~a9Ya>{R-Durw;A%E5jf{-LGs}`_U7nUgWPw`MvSsy4i{E)0 z)vANzN*Lc3x}~hZnxGp99{<4O4XWIxbn}0AFP_3!ODBX4rBT<-K;6wK!R^w0026;b zze|U8^^nfo$bLP=oZC1n2%*@M)63cx1=5n=ED-j1mAcphYVQqQ#Nd%Wa+?M6U3>+d z;=(cXOn3Ok?=Ul`q1L|Ab&EW^z=*Ky(vN1s=Grhyw?i!W397MHY+dC&J4Nyo0;05r z-n8L`#mqgW1dCu;L0kCLMnc zJ}I+DJ2xKvGtiMZlz{eb6ztK#&2(AY-^(;;0V^CpW4mrLsU@5l=WJRF;uZ!YVkSoe z#d5S0JfiKzax{oaj=<_@M5bn8L*Lqf@eWiTP!fzIu%!v#<`LVGX6z|MNEn2uiEwH> zq>fz8L=43(6T;Wd*Jvg`M)Q~rIXtY|0D}b^pj$dyVL`ruwyueJvPg3FDXxiyoPEOZ zyrhbiqFWOW0+tMg$0?AmVW=Sn+ZfpLYgNv~oq4>B@9Nn{+%0e2%R)x)VBFYyFE1P* zpQ>#bon=exd!;Sx-XMNG3%-5rIOt#uvlYbed7r4J)y01aZV_d2Ccckh`#V20T zT;$dsRsBW(>NEwoHAn$&?Qw=X0cV-TM?n7v0Od!*r%`BaX3I)7f&K*$qlF5_pm-Q) ze5&KY8mYe|)2yhtBm*-B_^;`;+vf|6{$gP38cXL>JptSq1^_6k6A%NbS62ZR!O$&? z{TSyZ>k(G8v$5%LOWxRlZrlz7GoH%MCX5}Ody=D3Q{C~(7;Wm^(U#6sSrBN!88O7o zGyn$)^|yq)?5sRNJS#)zP}`Zz%GorQW@VuV+^H}gQN=MBjv^23-~o(Dws0RF)WC%^ z)-qKicS=i^Jd?dr5B(UJX`0jnK{{p%4C@p+%XoyakeW)lkYbmStU7R29AMF1pGp~R zP+Fnm)8W$$TPQaZAZ7^_%tFe|rdc-40a{&(j~_KKvXTe>1VRzNMsOP8~6YLjaaW zYz*MN5KvwOkHxrDp&YR#01*iftYrb3`GsjlYzeOWQc{}Y0k@?vVp$TRZicAe>)5)M z(T&)0Xnd?2kH!kbeleYzFmk&*L=yrRt3~)L=KGDGJfp7Y{??Yqr?9wq&K!m+?vbhf$pAL=hhffE* zlVD4U09G|LXrzW8CTFJDqpF6x*Kfc+6V>}No`m|W{9pmEF=>fNz5lC7VT@AQose^u@TL*CWV($!vxSk5gKpI1#z=Xq-gsx6|oG z1Um&4;3%Ayg;myzTcN>NOL}pZAUS6&y>?od75|}9pMM6fL)kwzXwT+DEx&{a>eEj2 zCsLo3YrxrVoQg=7BcPPwh-Za0V1!#*TXq+>YFxYZOIXE1u(h_ zk8AL_&Q5`8io073tg!Y!jw!w&u)>n`c4PXNHFINfypSN~g`0rGEio_L_QErw7I@k&+Bkji`+Ey-c%`}$y~75sD?Bnz*YncJX`0F=_bc!}a4*<=`23DQ z)#Y??lrH{$!GT;F5Pyi3*dfNhh-}xW=sUHY}xHZi-iicRSvU9BU=?6F3 zcw$+VFs0KlR`Mf6yYZ~}5PJFu{R=y!(uWv67AxJ(F!DRZZptT|(p4~c6TNuH-BT)q zw?}B`&G>j{MI!Kh6o{d4Z;L!Odjvo6Gb(ICIc#W8N~fNWHV9{?shNF05H{vNX7=X- zvmZz^vs=jQ@IuT$FVX)jp0!_l(PVbucWaa)W6Xu)e{#3-4RqlxuIFLU?%)LWj%F$U z5thHf{F(K8@a+10J^Lce58_sBJCmq zAaap5^@Bpb0G1;@i8Vu{oaJ(R{%HzDhRm zS269tA;EkTOVf8Rs%Sp%4d_!e{>jSbEcfG18)#fgIZQa5-A8%l%Do_2xhk>g55Vpx zJbuCBH_kOD=)8>R8#;y75AW|W_c$rQBG?~jG8sq87FdL^^X40WfwtZ^8sW}BfK*|> z3sjyl>au~!=*=pYhv?~g4t>bvO(ooT0vPiUb>$<`%rh7%2zNIjhRoiZM!2O}gsAem z$o3xN-uMeq*g!wfrMV~FF)$sVADQWTuL9Dab>lUx13)qF>1=HT!wN89F^ep$vaS6N z(9G&>!GU*9;9a$)*X{^SI#P{-ot@Da5*P8KU^TCdTJ>t*+2ikfXW!3+g2yKYR9&2dY1UvylMO~JSDhHHin`QVp`;lLFs*I zmr~zX7*+?6=8EOJFs64 zl(`5sh1}eOK^VcyO->kuj=bF1L&tMb4T4hlXy3Ow2)Vs^WrXU%5eEU(F`Z@@Xdl(T zaL)NU#)$x`V%rx!mSx?29a~o(I?aHp97%$>PG>VD0az(-zJhFc(R4vAMQ^{knOncO189ub0^odM%gM6yI{*rqQE0KurZov6t6P9|heMM7i7$M`a23k#bR4 zwglS+L&tIO%fqJ%$f=Z+s|XMwLIwG7+$+&gP6xE&(AF_}_*RbR0<5dDm~z(DR~12h zeNM9{RQ6tLt2>Qsc-IASn(NffWpSijYUrGeX_2c!|4`5Z;SSp_$fag7rl=wjvZI!s zyD|yf#ob3`@d{wY!$)F9<*QP5I02f~psSsrmot&0lHF`G^!T`r(9p@j>I8df2lziK z@u&{C{6eyW@~w~As7q+6!~?;wf&LE0S1j^F;Gg}1Ykk%xC^y7 zddk7dQ>w|q4hNEoIP1Bru7)MnDAgF9OVErv;MoN2t za4mpT-9ihg6QVxpm>NLFxcHf2A?hR@TUSduGkYAcat?PMjaJB>Zgi(n5Ue%uO)mIU z8?iTol^?L2!hp4*^~dkZJt+fBYY!plMahKW)t@B`Ah$b@a$7*Y9kO~J8l{le-E?u& zUbH;G3OmS7ZXLyw+eRmFkhqH`w@wx(H@5d~X1!PJq7T%bA0C~di(RmbE-qBVibUz^ z%~#L>jht>YYk=+|`E96WzfC@obW_LH6-p<~1>E`7Qx6-M1Ibgu-~Xhd-`37##*^J!Ej^65nS<86 z5-njmD+;7M2ajLKUB&6~z_tLe=J5CmkA8BnRvC>Dk%+9P{@klv z;~&O4>lMs$s&xoz0Kp3G(ZS-mpcUy7F8WR8f+60N37}w+1viPZ zOK~$lg`MP2vP7WuQ=oUE96W{s!G_^n5=1jy28*W4;iBo%2l_mM%JV7hsMXo8l7MUl z_|zSBOfMSmWvDjwD3^iJyPIZs!MaA$iB?5n1{*~wT6`D7ndq8kV=4W!m>1|T=s1!9 zwaaL4+1@LzSbM_2jPbUVL`%zeZfu(L1_eJ_cR#Uo;;W+7GgW~sCDT>UD5h8fdwLv< zKwJ0}j>AxmZnA0wXpRTin(&FiTa6pj!Tya78=-;;*zO=Y{}zH_1G&nC&eKD^K-? z0@WiZsg72&F*!vCR-8`BpKX$&QR!k}msDHOz%((La1AUC=1@+tEJAi>3ryKohlniD zDIyDWAj}_gCCemQ0k)GYBP{MvC=4VDO-n(O&GVKOU_~W13Gkn9Njpst=D!6tX(zsO zd>_)Z{UV5~s4Cj|uPu;x@>Pm58?y3iPtT}8&^64AyoRv1aA zBRa#oh{h@y>80`*puxRNY^yf_<nFxuAzb|TWO2sDs+)%0V-~LsWiUXY*dXy@1IB~I+He!4He@L$u%dhyhqIl^+c7jWlb+ekb{KL} z+3VRs-a={Gh+DPlp*)BT1dmbhSdXj}Lo+B_MgHP-?x_jGbj&&+?>4$Yc@6Krg2!Kg z!A8X34UHkaS%d+HPk3y6yq%ue02OYx)qjnTF@Q$LbT%9O$^``2=TuXf4YgpKYCCjb zHlT76=f$yfhW$8zI0CRK@QB6C36L{dgQTh}oy zMUJgSoNCFC5cOThykPAL{hd)7!co|-gIX%PB3fYIJydFzznq?k{E?(0Zk(FEs~9A2 z>3ZwE=m-I1(KogaIp8Q=`Z)}OtSVHnmp&5mfM}7lU*!G7x(?8B&jR$Sz+*Z*jEKQP zI^IVx4FAM_97N7DgOwk#Ny2L}loO*kVW6;v;}Qnil38vt(i%{H1pI%ozuM}g{x1=c!l6V-c$ZfI~Y zcP2e|a5PO+F9~X98sLr5ASV#-+ccUo4k-7qNV||8p|Lt4On6bI_FxpJGv} z+%nL_rBV+`~FRjfG<4 zZa!l<{!Xl~tqjF^t*N1c>>~sZJHTXro^H8n6vX8MmAK@YKvU7TTM8l1b`K=~QOYHl=~K5{7D6`ulavbJ$zO=aR5=UzM%_4;-Uj7BlJIezi=mF9oF57fgkEtcSH2r26z!p?+WZg^WuS@#z(7$8j@cGEL7^qqwmGFGK0F?H%So3dxj^UpLrWV_;6Pws zdt~Dp;n~HgFefvk$ClG&=tbI(3x9aL!_}44H>CiJU|7Ks`1DEMucfGk5&Saci6`u_ zk(gR;(KRzk6^5XOt=}hc$lX-sZ56Ev(M9)I%3L@tJP}Vj$KgCnjM$z|wBjsfdtk@S z@Tp571>zoc=jo~kA$p2@cZ^1U45BV>7IhITd}hny&B)tRCK$`J@o{0R>A4NFfU?0B zE*V@)p7+zxuT$`7$%lignbmY!?vgw2mpB8TzH8+)q%5U>m_&%^m@7bcVkrDTO@(#6 zpqoGc5h(Z4M%N4*ftB_E>GLK|Z3FY$WEB_A2K2t7>p`5*gV#3pz_RTu>VdT-xWsl= z8t;pOM`R1{Kz5`(8iNiX^hjYX8E{6Vaz?%JHkHauo~BZXb-ksVkC0K3Wf6^c^7Fa; zC;__cD82VqK9!Y9P;p!^tqFDwx2My*7;&&m%VOk%U~FAaXJe~enQ}6V5ye>z4^LgE zSz8cJ=o=d9sgJJN*>lqC%!(O*%@(W*YYWQZxrzH>=`}or;Zm7v{w0PqkV9(d$VdX!BmCow=^ z1$c0;k+^NL@nEE^?aTYJAfM-JhAN{M@pyB}$mIPWwm#3Vr>zDRfPOtAR@Us;8yhK_#) zL|(XCPwz(RDev#^NQQ$w4J?%bGp?gK3N4e~jfb-Ak;#t7IN|XPI`AF2^d+4|nFGM^ z@fs+Q6Rm{i9uyq@19+Of`!uUj^i9v!^;5b$QJje0!0TN-Ev!4=3cdN|O?6cL;rEmj z3_Us>AAijdAc=Af7ynCnR9%UN7(w$<2m|_!^v^=Gd4(&UGXDN~6QXV!nEamXw@oLK zE*Y2!;K%qwXVZQXv}Up`HZ7q~cw*y&8UIxz(Px~bq2n0Zn1XYUwD$_I7>Q|@4YWPf zQV8d~4B03sm&67K7)$v3XD~7uvzdBOu&zwmX!L8wR6_I$QG3{IKz@U!j{nD}$LxV% zKcVHS_BLM+5s24A$W!AFd((xUzv)7!hAjQB2sN^hw~>?N8OqVc$&tL#5&kFT_O)Bt z*=b=cob3A#?J^j#!0(vFOZ9zpR=cQ7XLR_xs(M(KPp|v_qeCjGk{v2P9&`IF_iam1 zS>Fcr%)eENv1{ni;l{>yf7)6 zwA1myZ0|QFMa_Nc-rep)id6HAt$Q{yEvoSMk-|CilOmr!bB;&#H!WJ6#rR=;PExc= zH#g^@ND)-TFiosCDQeUp__8M2wCHQ(pGgbcNKuVlu@fRsn-*=+`WF4kkfO_+c%~K= zGcH=@TYR5oaNOEOkAwn6CiD!0z9Z8Jt!fw)T13D7wFrIbQ>b}E~#?9!S)%t+PD#tB^z&wx_YFuDe4=nv{9H< z%r9!d0kR&Lcx)ZBS=%UN01A+%*eJw-)Z57)yiz{BYbLPNG3D&v8^{3u`lF!|H?3IO zLsO0^hueDe(yC}VEjw`9?=;WXrS156)s{=LoM343Ld)5*P|nx>etuk*r?M3DH9s2& zXRhA006=qDvtkEvnKxI)SuT6$L@i+Jk!mim& zGYJ2Iq|a^=m1SiWz^4XQN~#<#{+Fx*W@%DJ`4o=R&13%+7*n(gpvyy?nle{`PH5j3 zgU3fLw`=0ajCG}&77z?8C;^Wuo^r6VG|n&DYb@zuy4Q$xxl`HACL1ESfUxSxZUj?~ zKh9zBsE!y1(X2DedYF2nYlytj)iCo@HmWsJb-|;o$!6OciQV~En(s`tmUX$)3KNWP zI!`dZ!G31G4(n5axR2IiM#3$0o@y%K*IT=rZF;Bf=#siS>J(A4m9D}S+5KQ^n||WR z4CQPRz<8cOe=m4=qhg|B!C+v)hm||7j;LyHWU^&T%`<3ZYt5cbZYMT}cwUDA$M#I- z^_3IZB5AjH+^)c(gXNj9vOx%3XS_yG9-Z)oV!f|xa%R;VcMYVvP$TZ*r2NlCT z`WCA;>Zaod0~<7Qv)R;|y~@2cu)!;>=*~4%5i%O&|9{MV2Y3@l)3CsBfP4lFa+6Dd z&|BP%0dpc0Lr4gO5_-p>g_sZs7zj@25K8E#2h)pb2^}OHI;I!XTOjm~>EQon?ryC+ z-AOA&Z~o7Do{hw6cW0;V?Ck6;l|r)$0JbOWIYLi!kVz?f7!1=Jig=h|D_`mmoAXvd z0cN(1Z_Z+17W(>Fss7a=z$-j>nFqm0_E)>jqJio9M1o2>g=r~S6IBcdUDUQiOk?~H zCigA{B2hX3kCEi_bRukLls<4t z+c*FCn@rNSZocsREf?8K_WUg}i33NXp4yQJD=;&B-NKMjMZ?U3Lr@w=a28gKzwEi_ z$}ghpaMiEex82rH7Qf}{HTv>T8|RDP9-P`ZvuW$aqFZqgo&*#%F$ZJ5HZ+R8%P~hK zAKSjIy7teZ>a(w6Pu{O$T{XOH)BgDe@l~tZ`Ar@JRfG0?TLY_Zogcny#}K}1Zgl$7 z@5=L4i>6*Zm7yp`RX^4)wsF^fzN*jJu&Ab^`Kri|&J7Kk##aSTTeR!ZTE6O^ zjN2m*wdAYj=Wn#`=ybj+tj^f5fMtBu)5BgvYS-ke0uo!+eldfu+A@6OAHfUxs^1Qk zcpOujuL|ojphAyNr`GUd`X=`3-n%PLR*&y_0sB#ht~*apFFao>D&e}5uxa8noRn7f zr}&g3;aTx1N3#=8IXcBk9x_()kg<}7jFmiWS&59_;tNAS{-X;xX6cbHJ~V&!h+{51 zkIHvyKmRWw_59wg{)hjUGG~0V=BM-ja$@GiXL-)^{}TEn<8IIG{J(TD9=pjIA9BpY z-$rJ8rqAb?JvYj3G`70WF-@jEYF5p29>?5hm*u&88qX&0iVjE{)_x8@>2YPo-#mAl zV@?(vS^3DeSsc^a*g0c;z%7oMvgpr4-;_wai`p)-#ErN zCVya;HD@@ca*vP;c_&Zen3A<93O`qrV%^ zNiJSJLAU7Z%_SoNDbVTbV9#I1tHYlYP8Br`+ZY%l`Kmo(d9Dpg;j2E{*t5^_ zseF}guc_pc7x&DJzEkdynNYRx)1*nKNAp#EH?KQ3=^|exq~qqRw&{NRY3wMzYUSv( z#fQ#WS0y&ixEC{$uOi1p7cTwbKVaS#qNY4WHI@2*Qcc-IzlSaQN%s-WJ>T~jIG$tX zZd|QvxL_*BjA4g{{W9`bjw#G~b=h!c5y#Z~b6vUM{rtb&(T(@4UTqD>qz)dOu-=Oo z+vc0T_MTjQEyrXv`)YqmB>yi9J0APz#d-c;TGsWuTCzR=FV*gh`F-brbsTeZ=a3@d z<0o*APj9qr?LK5zQ<2KnmeP&W1^{s+?8ibvfwTjF`hh(D00sKjE1@;rU%sKH6zze~ zOFx%ITXid}0X1eq!(3v6V9-9k)alUr`I0Qe7PD}M#P0=??llfJv58>2R;Jr+eF}T5 z!7zAj_|(E*Exou#TWp3M;5Zq*F5BFVM@7!fy6DR*8+y)4QRQ$81=P0Cn-D!B36Z)!2sT^VODbS!6`fCyTb6!-?*MSywNe3hF93`Fx z`g#Cwsl3~3e%NZXu;W3|Cmi|J6xh?lBJ@?C4*IF^+s^=k22gzN4D??>i-u5OFQXCq zFKM9v72rLSH7^PtVPYG>TxO-b?y@-~zlj|M3sYk{=)Z!&rxlgJXoAp>qg$A;SyO0+ zRA{E!R(oL@3t%=6u(L3YCVhQv?`PAAiPVB>{aU-ph{p(h>w1LznDUGhUOhR$8D78d zR&kW^(GGF_qPc|E5@y~CiWz{7Nl3xgG!$%;6OeL}W2g99C#9JPsA)?_!DS%!Ghy&~ zSRe%Ho>UaXX6*oaoJacr)wb$bpG3|NJ5X8;>N&^k=APEd90|~Nf?^iTU^>oueSQk8 zrvRR-SHJj2$OvA}kp{^rDY4g+7Ma;;fc4IFSnmRZ&n_x~(G_|B54xAwu~`B%n+u=j z_^P(m;B_Jr-#CSJu1=k6R=2h6zhSSH64O@#8G-MHbDmFgnzo>*^=-TEfc2~IKCU?~ z={U#EgkF0HSpT78Z{EiGK@$Sxa0H_#K+p^Ml?sC20Rl^lOyOqow*bMb2XC4{%3q<^ z-gI=I3xm&w;yZvKQ56FF>F?@@q>$8(rtf5l@Q&L10{1V&?*$4i*sh(!5Fkp0$$Sr= z`Ua>;g+|+@xUnN0EJBG|MuXXYfJ@(L3kNRxYyrnE1^N1={(|c(?`-3QzWUQ)b`g#X z1;Vl%rD6&7YO)w;@}s?FVmaw+KmgtIZn8TKV#ZiI&%0%aiP4loC5x6(#UWyC%Z8VI zW-ocnvCDy1SLZvQD;%DwMQjXIJy1`QpQym>k!CC-VT>JQ_dq=)_qJDc`{y??(<7U8 zZs$wpsGaNrdPnU$7>Xgzu-H$~;sKBedr3nA52aZulZAbEho$k?c!aDK_T<7tnnGVc z)3MkpG;jfoH2AaunLNMpJywmZdA{NvfgR?Q;tieOY;Vyqg>2YIQn<3j;u>)OKtLW2 zpGE{w3+G6{T=`NVu@z?U;&(c*2|F`y@ZW$GqZF94ue#>l>Y3d3GeZuaxX+nd z00hOW+pqy30)oe`>+!NruB><}I#YX3$R4mV^`-djY>_6zr(Jv{eycTO$;6>PZ^UoQ z0^iK)-tevXt>A($mNXglPW(2ma*-K@EIO0$t=ivRPKNP9CVB|X&!6zpU}37gxPjup zNb_W#F0WBz$6UT@r#@oR>F#`0$+)!T(Rr?OOn?2mhTZq^RYTUaTAA_#U$v8sNt%7> zD#tYO$#<=L#{w3nbLHhH{+`ZPWlx=5X_4o7j`?F;TqE5ZzAAc6^38f{_^N$}41=>T zp5&N}olA;s$kbbyOL3pxulfgHb#i9AQA>6m;h6Y$3x~GTds>+4ePinCp{ls2PfREO z!yMDMe(90y4}6vHu96j|LWh^)j-79O@*u|qoqq7XP-5nDf64$!t&?s-!9BZr)@0Ft36Z z=FG_(xt_6n)oBS)&+;$&^WH$ds@R+nYrAInn3z=(db5@uUGr=jU-eImjT>id;j2O< zqMWaDbVR}$zG_oONYL^nK31f>5>j_v?4VFi^kwT5uXv(MJK>C`fy5aE2l_d?SJ!;| zH}2TXcz|OTo?N`;Zih!4Ben9h@}o;Fr8^0Z$FBIvgZb$fd`v0+`g(p6dxs^StzBsB&gSznau zs^Wi7?;OoOR=#9c2B*(P(Cne`X&jo=tLPjz*z8xB_jo8KIMa4BwB1xx8hkshgnxTc ztSA#r1li5F55m0wi_OhAPNk}ka5K)TirkF*MYtJfRYh*b zEoTnkLIpaFtg4nPZpK+vDR0Jc z%ulbVZpLYAL|1RdNiZpH#&OCZ>SXEv?z$N#ZkJ}sC1^It+?)W}c4@BN?UD}H4llBH z2ot!$(Vj$DKB!izx@Te3rX>hRPcm&Rgcm!=n27tX7iX~7iMXjDrcL;P0R*&6y znuD8pY`c+eO~+mP#vlgZWSYM!76F|})yyn>B0L;b7VWlTJ)~AOLyHjtfWng--D&cj0KxU>~{_?9E_5 znZX~f&)}-&3^;>u6Y#kG8EC0D7|h$mf8Gs)VU&;IGL3rslPH-(ZdNj3p(;;WsZwAE z>;pCeActIu50;d<%kjYuk+cb5I|3|{MR(#|*!sjfQg+F3vs}TB0vqrIbln6#wM1+1 z5S{E}Gwk3Re7fhW)>c*aw}`TTHZ^f*4fb!agF8%2AD0$?oD+K8N@oY3g5RFR(?kHH zl^Y@x>qT?MG_yB-bKu#@W@2oC5(0Ixyhpry+v&to@Q2Mx30RPl!kQLJCx{9b zk;F6S%J6i&Nx6+1DgIxcPemQLvqdG~c2K$=lSidz8F=Vk&qxUib)?I1+UbyXIQ2D*q!>a)jGmiv* z8iCTF^z*9n6Y}sY_?Uf^V@LXtL)nX*(BV#}{G}3q^bjeaeH#Z>N;80c14emB?;Bs6 za|7rk$3B)FBV%6{y8Sqw0^ckufw9Y(qu_@`deWUd3Km|fQ%Xs)#Gul5`s*~eU}^B_3=K zLDzjZqboWpJWOMsvRA&0Zs+-(-6!9t?02|NIRFjr)A=6pPugkE#${u<_F=rC%gv^% z9>4H0&FJ!1j0aPRNQ(77CFG%bPpSDA&CG!Z@PXgqAI!*TP%8f?CUKvlsy7Y>r zd$%wK5D9q!*g(*lhvX|KCF?u~*17Ly`HPK;w0$YkAv_s`p|ad=lSXS@ayu+%s10cH z$rQYPbzXFQAgyI;$c^no0YnsEwH(-3t z#m(LwGkXFUYDz`?#<4|#g-$9@tyS}-0qZT6dN~EXjFWmn*iI`2Ey9;EGIRj7NX9<5TC-l-oZF^46sJm^`lxL>yYT4^ECFQ1e@S+cuIC+ zHumMx74TPVhqQ66F3loQbXBO{+L1Qs+Sy-Wsva=ayqIUipkfR-nnRpEvum8*i_AfT zO>@}QutdBRBK=>gvbK>a)er+>&p6;a{X zU!1B7^s;Ob>E$p|%rHQ7nw`+5mx>c@6O|K94^t=r1@humbY^)PD6|r5$XUvGqdmad zAP#~KudBw={4K}h4H(r^j>nshoWtoE8LUN)rmLK$PAF)Qtmc0xt!5m+By~*_%IBDA zZxN;~07vK62S{Myd2am9= zx3_xR$8x#DW)%@|8WXB=JfhXR|k3%TAp$vdA)sxa|oRcjt zEEdw`Q4UfL1}X0{%atWyICBB*9bvihZf3dg@K~*F|9iR8`G7FUcWFAKqiugKBcRJb zx2o~0Sy9vd#x16#q!gxpF2aVx&Gy;0955Ibm5`LkwiRfMrrbuIRq}G{ZziEH4*Owxu${RwHdY0L~bt4l4PnseV=H!~Lw!AoBtA1H}C0LSOmmpf3P} zZvj`VFb~9^GNMWqf(RJ|dKm=RmnR(r7{3%|kiZy+CWJC7N~0|{V_^zLY3WpHt8RU)C)rq0hovu_{T^=y(&OGcIe+T zV?Mm#*lO^t3jfHJr z;)?zCjwe1h3o&v23aY8^+q4j=og!a-0<^1a9Do+(R>MwF&=^wnWg0c!&)Sfx1rU5hCzI%~ zL)RU`Qp~94wNqW>&wvKb14G%3=qN_;S)57$cq6uboW2kQ9`DZCabDF7R?_L2$Lrf~ zdr?lq zam6DdvN;Pc08RLq|`3RV&qmut{Vw7eHeEHncweC{$9qIA;EH78-M-dp}3p*J{w9InDOfD!Dm63Z&#*&1k1&C2QN?zP7^9H7KeB zY;mZ@ThpoW_Ry@WpVUDo)CUPPYP^Oq$G9=GO}%prA5Q3tQ>t;*vrt(WY#W2Mxq|aD zDSFX7M2L-u@UvJNZw}#=L{12%W+nufGEV*>ZgerRl+C;~nE)|XlV&d`9!Xj>jwDqg zQFXP2V}fK60WwUe~nv9G=u$R8HzO zo-`&#aBXK;8&>8?mq``Rm3E;Xo*-dL5rU#Vo^?s*cO?HQ5qe6KsLI#_n5{3n~1kvEM4X|Snz3x zPtI)@pY%VPc^rCRUO9K+ppvaK%?Ha?m^>h*RGdK<`B~vNJx7{#<5q8em@-%Qi}b!=JPQ{EISUFO6+J**`xyQ%A%1M zJI}bugIn_6-#5o?_>5-;829XOlOT@GwcB8 z@deJ@rmZ@AB@yGLA=SR>UDE=K8(-B~2CqD@`pqMMky|EhH*^!zuKOoq+I3l?4OPs2 zDRbNZY_N_T83gNTBjxS})m3A;HD0G6|I1|ghW;zq+G?(El1DN92r+20r zE*?ow_O-cDX(L;7At6nO_8)F=RZa@)bXMWN;`1LG+=4;+O<~YxxF zBVBGdobmZd>#npj&H;|b0m@pzr`C40N*g(EwuKh$NC)FkrrXnaO(p_v$s;Wt0Pp0H z7VN8|k?K|VPB8ed&|o4IQxJj)${w$YW&^6rP}9Ccq580XVy%5Imr}HHxVG4Lax!ZF?YMlxj%1}u^$XR#UO#vA8A#Fj)}1uh7L@FPjeA^o3`rwIfMM{M=Ji*DdGjG_eJP0MFed9-ArSG3;j9nj-Ay~apdjY@B2=DaX}PvabQjj2?xTr!N2~N zD>!0@^h&jeFQ)1HaixF1Z!n3!?yx;)e?@-t@v3FPbMpC^m`C?wzBoO8ImaAcWyo7V z_M0PB9<^Uxg8z+hr+*8_=~Aeh;E)sxo}(7g^ciqWv3#%S1!qm=EALK2G72n8afuvT?bbP zZ*z2nopaZDFwMm<>-q3$fzgSK(Z55Bg`|T`NUl^GX4@tU=vZVFzIBV!Z{paEz-)`@ z$h8CpUjl=#f?^Lsu$9jE!e-b3&SNRgT-jDz3t`J;_6WU{@WXOSLgP(n69fyV#pg9C z39afS*O(lpL|%a)!ZddxVph_S=3C+L3g0!cuojU}@a74}?f}xPq9e_F)T0IojMZo( z{zaExbv40U8WrXqjVgzW*OYQ+{;|%EOhly7$3<7xp8fGo3e4>WxK{$)I(S}W4YI{* z8rwE4=Ro{#Gn?Dr`W7vAxRwrc$ja#e#yY^|+qwZ~cHrk*gvFDy{(f+8I? z)fPG{_b+I%%^-EKTd>mTu2x|)>|hTRdr?18w%td-#a>S1y0o8WT{>X2&QWmC%2#C; z`Z^@nZInC)x24&cF7sF@VJ~L_g)#__?R4{zYL#fsG<=8UI^zhy0EbA{*$9ln(S$Zv z9)ngpX>`Vi?CjMcBRoxe#DffS93j1YE53wF+~d;IxMuMO%G;X`v3eYN3+Oq=oAME< z@YtAQq4-}o-i>l^!qTCY7asho&Mq%<7MpD{ z@A!}%hlZc>G=0p^rQ7Cgh9cFE?j6ZB44Kc|7@0%BV08L^X26@yYAl67Y?eG|aRBe{dQ{ zvskhW8gp<4>6_z{?i(S88l@`0$e^T#j$WyHF3a9FY<5WRXI~R@k?Sq7Z+xNYltL^ zM;h^_G>thkB-Bcer20qX2Qici(h!lbZW8mS@YoGl#ct$&Ew+W@p*ZsDL`+hZzR8Te zA`CCiYcITD7Z}La@q=qgzHEz0$=1xL%$diwiHeGdi81$pD{yF+Y^lc)JPNWSEFJ?v ziDI!LD@m{v3ITNfEAY6*+1|haw)(Oyz`Grg_0L`nN4Bk6^t7%pcx&FZjoHS5SE*a{ z!rtv%NxtXPQ_Z|4;#QE|q^E8d7Z{$s4|LyF>7&{=@L@)NKQO={;X9HwPxpmQ{V?qr zfY-R_ncjl-@)+p%vgYb$>>1}(YPt`|oZbC32b2A~3iAh`zlU}5Db}h#0JxuFvUZIX z!aqp}md~Zuh~}@Q9l<^yeyNiidN#(~ z8@t%DrVTJp5~|M~kF&DhS5^t1VHulR!tJ9etG(*8X__S8ZFEI{ERvK>{HpoV>rHM& zYnjMCq=u}Fe+Q4C-D-rLvGF{mWKaBWbWy+ZAR_ZDw5L)8LVWq;ag1@E<*A;e2q2<| z?!dQZxF>s${uC%EU?KZpGEymnbsr8rYIlwn3V}O8$bb&K=O@CTb){CjallTx_yMfk zoJ1ryTFN-epSPj4c}BhxNBz;%!pi@JtP)a48(CfAW&dyRPkBqF+QJ%q8B=3 zmJp*L|75St)b(_~c@x>a8SMaNK+89860GBr{CUFwQM7Jx;$j@~$)DN9vL*rI$4UWi zmIX;Z5M;psqkM{zP`r&yy2KL&#}p((s_8;O6cXSB`rl}`Hz6u7kcF!=nB{+To`Xlt z(wmwNj+W$lL=a7tas~n`xPR4h-Lt7H<#Gfpp6_2A9^b4)tgB=)xaOJ0uqhg0FaQd` z^toz>2&{Vq#LOt)@=*n3>ci@@q?r5#+xEbPGh}A!Mz@~l8i*U^_+ygN`Url&FIO+G zY2`ryhDk$8(z0EP)@9f#O+)c2S}ol93hY2xA9;5{1&RDQrD*wS zykV33dyN>I!@h>36XQa9gnY`K41TZIU=#?c)8Rjgk{jhlbpGatLdj`K2kanIoGg`B zlv@5R43R)6fBaXjXt@f@D4G}q0=h*AR(yac>+opzINR5Up0v?c*b#cu=}0i0(eml} zNoOsJ^Z-M}R>T-SfmPadl|Yk0v+I|3V;PY}#E}L5U{RWD5+R~mG$k60cP1VQBV&pW z#{poLiAT@GGa+b#B*0Y=et<2;FMt%$Y<-DO)uhBtTSLS%c`VkZ^gwY>LHhWJh)ozW zxHA%sh9LxEeJjuXitPZW3OHsp)|Jy>%K@;G8%9BrMYTu)uD+^S_LHNskPqJqhm*pR zWDUL)Ya|+)VrRSwqyVI|TRv;0iK3cOWM$A&hJY-TsAUxh%bTeorp5Uhm=qI+oAAzX z?ND!?At%dl2=eJA zr7fzu$r$MQvr`_8o~iEJ!a*(F1icFdLxjthFk>ZttbP3ofSZ_)!ySaa}X zlj5k7f@m}9a3*!1CHI`@gkXZy9s`!x1pz-Dd@G1k)ZJC6N;Z9dG`QeP0lRPj-!)Jd zd0146cEhyl)qBPUOk7Vrv&>RS^El9AuRtzzC^qq%9dlRSJx}#JZLQC(Jpn;JDy4@l z@4>nb$xlRTlJ=1-q6J37T0s;$vs*2we^bBgGcoWZc^j`brg~LJv6fElOJO$sX9Eng z0!E(5 zKM6(MabpcXY067O7d)kV&{$jDW81B`s|WX7P^c?yYtU4*);PRdH!hKXlQz=l{q1mu ziB;+wcMg&ZT0YGm-{TGFKg@QvCRy!DE&5>ZG&4~%{wF=odp);9d7uitvOo454rO=i zii&6I)fqZdz{Y9MydG*>R-|2DI?x#}V<`5zgs0Qjjha@4)e*l4}ci zE7G)Lmh4@jh2>WrPCPaC`lPqZ?<{E}bw^3(Zjq2jl5q1Z|0y9Ch{uFoA(+<;yNpfp z<5XNBr1~Lr)Bl6(3wfyFafc1Ikz1hMgDYFl{`Ad`Qkkb7rdh=n6Xo8`-+q=mI@A&% zqe!O{rk!5?CM{H}w{SjxJ4X>pgcxZ6A!q~&f@Sj&Z%Uk(7H!j8N+_>~Z^c}iFxBag z%ce>?r{)MG0|?|pPcDxP4ldbD)bX`!7 zymz3TakdLqNS%S#tP2A1CrYQ>uR$VmAirUo99e(5?a&`EQ=tICEk4y2%D;?#0pu0yl#!&Klh}f;ImmfxgSNCvmWUft*M}D3$*NU+5OB1 zhwidZZG9{$`-}zG(_89I5_hVvrQIBe$_d(mj%>$r#>&79ss)e>nD)w9Ku(IwYER7& zw#jik?t&|Td=hPOg(#qxy~xB7NOp6~Y;Uc=T8d@@^2QCStc&RM4hv|FG?pRdu*=yV zHef{_cxF3QW#z@i>)1cmh6;!q5oiK-SUGQ(-F92vJYtRpg9>zZNFa76zn($Mf`%Yq zW87sB$ecy1273d1gV$3Ga@65Os8bn-#*Yxn!v@!U`a0^oY;hDrO8#PiOSjJ%CT zn3#yFco_e5&5Gf|%~{I^w@OSaU(ojT2?4zr*SVi$4TgISE|Zf#mGBHT39Jgtu-S|BTg2wejo^+T3 z0}&02j%*l$8ZAFyVUQvYACesf6a;^AO#A}bEoU%bt;g9h(4oXD9}q0=3!w~+y?p+K zi6NT(d=Fqkoj=1LUHJ*W;S_ol`wN)y+quWSE5PSV9Gn*{avy~u)y<#ksR98em=WD| z-HmR%PuQO^?<&i{2iAfIWX&qE5xZJ&=yUV7rVgFZD3Q45%RIRBTr)8MB4{Z0#TyOF zvHEHvVN%x0T(g}6EG_5u2DxKRJ`;m=^bUsXi2G)xjC5WWpQv>)$pahcFA6pH7r7?4 zjx8V6?6OTXJ-2HyGJ57LKzV9Mm)CM--pKu!Fr)(0c6TvUT=_xQqYz%&0Yw3!#<{Sn zsd9ndzYyu0-y|#L9aN2a%5gu`vECJ(8E8Vp&`_79$RS%2ZZFel`U8bO2pk_70NXW6 zhrVokHN0y{{J{J_ft}&r&Q@0p=Jog}pf8S~HVW^osn#W-ld(Sb$9Vfi<>X?)hrFp- zSTlAl+sG)aiDmI(H!psw5iWuu^3w?$KjpITrvmS`kdU>DjWrqT$KNYj^W=S^g;`Cl zzcp|bMfLd55*?1A{7b-G+FW?#ALxIq!yC&1&0X=}0^;3@%Q2h~hdDON(^SPaM~tm1 z%9)bG>}I|PXs+gqTdvsVNR7&zBajHpgdH*F@=JAegZWk@F5{L##YR`2yX*g9ePomv zOx@s4+gqi#%1&ycbu_>NxBPsx*uyqW6EnYc3+KHRcdH2j6{1d8y$ra0f%g7pO%7q) zFYJ<%M88FyJj>C1`ct*)ltlK?C ziZvzuVx4=}0sNm8VeP$hPZt5uS+GDw>w8Vl#7rm#-gZuOw2~=h<8Ld=rSW|`3#kj` z&9Gnd+hDv`%)Yn?UmBQX>ZFhv`nZtUJ%xEe6t%R_z?iyE$zdf$>@yXA$`N)9`>E@Z z!xU{4lR0f;QVC$Ebiw1Fy$IGEogc8_BY|ZjE1BASIE)T=f85SCmi7gP;qgJ};cL9w zh=#8s-aqh-ik4N|>TZ&NIaECD#EQckB@pdul( z0b_cOa7WL5|AB!&I?EZL%1tGQ38lzJ7zfka8Cu*+BWP&6_{>K+ap?d+Tak?q-bJ53 zT=`4xBiKcJFh}+52<1p%e<*EHI7Xgn(u`=F5#nW||WENF)EkM`&PIQ~6r=1cR}!OHe6Y5}c}Z5uB>w z!xafeArrN=&3J9+2&+0Zm{HSknTknE!0%!0RT5bd)NeSUP+;R};92bWyqGFyJAZ~U z>)plByzWPjq?A{UfQ_l2fYza|!TUaCM`QHjL7n%1MnPFp&h|oW7yC&FCeIQ4;2mNY zE=|~Wj2&t=i#aW2b_BzF>F3H35quG+{1`RGpl0&j4b%WygnF1Gx*73^9l?VAp6fR znV8s;c=C|%HytLZA;ex@nZ`!JRU3L~$?mnKIL3Z}%MOi3h`vCc-UagEo1c~=($+#(clA{W>J$CEwWhtluV4r?9nTZ*=G4TbXo9b%;y z6{Pg8kV@c!=tn#ML?Wh~I)*1vk8lZ0>ie5vKeG{3MYb)6583#Gt*i>q@(a#l?=9kw zJtUpM@L8ilL&OFh$eC@}2G6r4^j8uzvoB&qY5DgsbNOuTt$KFVS%=t7hdOjwy4#|? zZ-}1GM1ZBW0)xC_nJfdao-IET2DEdTd42{VA3JKS;TjU?*Gw&`ndaAKJ0nyDIFIoQ z2N_dT6!uF$V~~?i{)>on$ie-7F0t9YIS5|mopwgp+e7OUY!VSfg<#Rqe9br`iZc7?nRf4t6-?@ePWS+v~kG1Vd0sQpr?gq-`iKf>G~X~%HICh zSz_brIJMZ1f6K3V#5h`|>3;ebZdc>S^lMw|E9SeC({z22u^t}!q1VFQd~F_3&+D+W zXTZ)h!lL+xpZBg(@M+e6Y0&?{5AfKna4qMt0BMsK0|t%4MIKwj6+dR}27O4RO?+ep z7%YeVYb9LQ&m(P36JQ>YE0q@_2tKTTRA?TCP~OyN)sqcJQ~$svYm_jnU53m}#&t9m z^X1hY#NgkcEDnIWbAg<0La6pJz#cu}$ig?E|Hzftz!g8JGJe21zDwxMXx^{`Mcv<7 z#7lDXvmFWm8|+uFnR@a7X!}&kc4=#1fD;tlZMng{LT^%rnY@bk#MqlP8svO@4!d~n^*ViVuq&_5nEJ)Iv$9BHWiAt}hDA+{2MhiR-Bhryt25NN>g@Wvqm{pnbAI1t}tMa;`qU zREma;;0*JacjZ?8l3JEekBXYm3uRG%vzs6L*9Cvv0Fh|m0V~8f()rDIBHUrl=oO2? zf5N?HIoLqw^zsA(io4L5>$CG#$(<(*$zWpjr$XhnftCpBB@_68NL#aH|FU1OKPM)G_xc0ZNSHuU)JB` zRdnJlJEu?$tvmGTAC9U68G$9$z+B)odI!SFW!SrgA&;J>|MBNEJ=9vQXPmp0)H#ux zQ`L(l^qT4Kik~fQ8zZcXbUjGDG99j-m-4yn2d#jG4KL1iud1Ib^@+3hWQ98syE)$5 zJ~D(+GbG+x*|**`cTd`620Rw2(JV5e8RX1l52d|1GKZD1ek}iHF|}SR{GRMa#ZKF2 z7>gG^t~=S|Yc=~lYc4YYRdTy(Sw9w3VlnR=(rE{~UMtX@Dvvxy-ZRoNK~LkPpLu2( z*GaW9*h<{f)25e!XV}Z8)ktlmxbOB4Bx2jO=!({6n5!bjPydzJ{$kK7HO$0OG&?TA zMo3DJa;B+|Dy_EFwMr%&dd(-xfKuSUjP_%aUrgOzhkQz@Nti0Le$|;AH!GJ%yb+b& z_xXL#fcJ7n(9T=v>}e&_L85Ns@>@kymv+A*HLr}c@Xf=+1@&76v7*YJ9dSt`|D8%o zD7Z>aM`-N@u?xmuc~eDhUcHnHg`S>o_?`#}&Rb7!}ni+Yjd_^nOdOu z;lDb2V!tZdgGj#W2UeGn)1l;1f&vr3N_|+)`G+%4sP+NH$ACdII)v@BC$L58Wf=wQ zm`sduGSj|G(`7_Ujg3Wioh10}e>t(hvK30^scKi_%$fzmWavy z^n@^ESJNGW9Bn{7Y0(t1Yqh_7f0@oPVl~*k$5w#$0;Wm7N_OgW@v~nS`)ZksOGEuI zTtoG;OQbKcXxuG^cc;3SncJ_;z^JdO$2a{h#*2CCHo{MGCdDRm%PE*>MX`fq$tj=! zCs9R9c8;t;ve^}Swax|vC<`}<{C&Vhnl<>Yrln%DA*y(pt1iWk$$phT`S@5OXHN@i zf+h+8On7o!u&m>X0p%4xUUP#H5>R>RKA#)qjKORPfZ9LanW@i^4Lj{I;K?5g5eKq* z5-49+=Od6HWPXwn#ZBS+X}SLg!Y9%k==}YS)g!_kv;+dL}yl3NPRBR@rv( z3M;;n)cVTsa75xGYD1rjAAhy;i(!*$HYX8CUzR>ynj$=&3ZE7U`6C zS661FSlc_eNc2ReTU)GGC%7z+I7$qkB=1*>Ry}ty_3w6ESce2=`_WCRJ>&kkOO#i6 zS*oO$M>xDo_gIRY1c$51MLOwv_`TkI`1;sPL7sfy_x{AoOlq9JTq~V%IbNs~~wV z=(;J)C>*%;m!4k?)L8WCKl&4(x2(fS$WRRs5v{~Y73P#xn7&V?6-G^6+3w=-nwXaQ zn=(zXCLN*@#8&~FH&F}7!nj}z$y@PN2Ul4p6p0BX7w$?yi5?I{a)KmqUOBmO=qV@5 zsQS*6BH@rYMFa!D#C#dkCBy|@b;Y&Dn31+%Gy&yh$Mzx3ISmr-TKv&h&}0D@WN>Y_ zo(~od*q>F^Vh$rlCx7BOGW8r0{j&0{f$bZ0e}|Qk z5(GC<$eSvQZXZ02X5f$CRDCAB$(Of|9J8<|g?Msn(V~(PSQS@J1%6(&Gpq~L=Z!?d zA0&TGs=Okl>F+{cJ|~q{fF9!!JUB(1#{u@J9`G1mw0>Tj0E$D%^L2yL>;(za(L?#`nt(K$CKJ`Mq2&&+SP@R@*t=#%4U_tFMbUXS)~P(1s-s*vWw$GO zq(4ZS7#lm2v@2qkWLFQ%B}Q&2_b?M8toCj0<>pR}-7(QBgvd;Xx4e}1%|KGc zNK+%vGI#|{UJPW4#~f}t-RF^92rA%5&dTfXxJl@A0hHZ#!m#R(pU4mFkHLl?e=Hmy zBB*#<)K26M#?zm-5LBQuv4ZX>IMUG)u>l_*Km%N&kL%rz_b6hOU34^%&!@mr&SKuJ zgqlFt+{sPHexf;JCe*oyUB*npC@Yg|ky6&?`bl7%b#J!HDkQXMk;}AHfP#&C>5Ymx zU}EN?$Apia(8REZv25_n($G+=v8q+#Tv|(1U$wfi$sG>;WLC!=6zz)tn3}ePvt{}0 zbO!yUK#agRkHqR?j0x~1>f2y-g6fGm0*AqL=%2z1((Q#RfciI&2xof`?a--VtdC7I z{?kVV>ZtWJ)fTBA zw3}bAm5JCsc75vFKIcfu@)!F;4;Y5_h&v#4K(;R+n&SR!jwFw;Atu^>2-8MS^upi# zG++QCqXX6U$N>1@mFGEws#SOSH)41*ij~#!&_G^A1%~dmiRTK*3R=|yM zHGx7RZnowLtEm~afb*;Z!U39NqhdrftdfRx$SK7U$t@6rb4n6VKV@Pj4#9D~qs+Xw zm;9pw`Z~h`e)Sd_Xb;6v!xmSg&s|7<51_GYP(kNjf%4FZl?Bz)#r`?NljGkV$1_Om z#-`VUki`RNEf8)Y=lcv$uOjqqgSS?}L^XKcXca_HKVa0S-{%&>UoK95mTJ?In=CiG zXUlT@7JKSSNt(ow)W>-d9h>9Vq$$}cM$C`{lLL|aPVls|gM#3VZ*1ei3tPvB(h2{1 zd3lw;1}{<<<14%S0=ozoPzktOQBK;&)^t$+;_GZ_7Z^!q zc{eBb39^30_Y)rk?LQ7*(Z7#=6ni&Ha*G}eo(0qMX)@|BT=*`W^tLjU+@bJ6t8VSi z4Nn`tu=PJeZZFoX5QabhAD84aX|0}MKDj`?=BdL`94}4Ml4}5vl^{JFw9#n6YWnYUo)KM|BsNFh;(R((jAGHWs zsH$|0!7Ry*zcw@Ean(CDz4`ray8bV9^GYSreWI*G^Y*syOdeQSG|xk8!>J4je`&eq&q>vCRN!J(0P# zoOO^=@71os4d5*FM?7tR145CaN&zZPbV?5|aHR_hr1zOXXdp>;uCG8t!>&d$zW(;e zz=C2V9=Ghw!p#=>1%LrGEi!^Bp|<9u`jLc>t|OnJ5bQBH-w86}{C#JNge?NQOeN>X z7R0PkVkJ7j190adCO(BU>U5Ck-a8WDB&~qnVaQgHSN5ko8NTEzw6N~;Mp!e7EZ^5S z9)ylv9&C+K`n6Q8dQ3w4#N;;uQV-bu+HBQF3N{op6|l;xkH{@T9F#MuET-4ux7lP# zF{V>>uJqxIc&I-l&OT80TOgm5!#hH-r2FUwKsC4=Og5)lPNCh{W0czi8hbN^Rv^n&nyl zNV^qz4W;r_^iiZg5fPXWBSs{>y{6y1c5*IACJf~VvlgiIzfpeh61ndKBJ!tq>}8D% zl;f7d+b?s10v4SZh01=82x7@w9G&yP^sj|9;2;fxDdP%-w_=7EGuBN73uBypT3x@( zn4bF`%?6^`>6(B~%03jY$-F5e;3wf=U1`BU>v)lB^Q_6XB{c~6QII;-Pg z4`FqlPy&?$H)^d@fDJA;cP+Dsy)HHjJ@rurZ~*k}s^Mscd17Z*Vzxmzto~9cOB>wj z+|#HRhj$^e%)>OR+}jK>+VkHVzC=ja<%gV^zgkPs0HM3bXwO0w#F&ueXgQ~Eyojd| z1=WUJ=igIOm;}o&9Djrm*M@88L)53jeC|62emed|bNqc)C;uLxa4F%u=#bf2ptF9@TllUMw)X3vx(P zEILF3=Sk?_lUxy~S8c9-c|d|X)z{l}8V2M8Nzf7_bzEQ~(axndZoDCjf=N7dH2{O3 z(7qcdg^$X?ofm|VZ!}a zDqPzyYQDTO8yjYY{AtLHqLsH6$+Rfj4piRiW1Ea)gzFFB(B`X~7jaqx_-khU)wPY{fEu^=ZyfF|94FkS;6RctGfH1Cag@y#E!U+90UL0&*;HYdrUfUsd zFE7$0wZixWvta>_lUirr%Lw@>e}p?IO9E&I7R$}~maq#rS!LDCHD=K(0fAm-N*sa_ z20_AQKsPwL!!kv?_;dEv$1*8rv_7oUn%`%eW@{MsrKkR|_N{Yzz^B)DM_J7D zIigF;JX@xF?T-F13XL^&~Q#7f`(3*i5fqO-w6*iRb*P{*02y z(1?;4cfimnDr8vHpiEe~Uj5p+-u)W@83+CkdX|Y-$2G?9{fnSxhR`|Dve9CtCGe-D z`x@hk0tYjzc)>0T`Oxy5*+&}u7VO8{#ZGVlKLeBCS^Cm9#A_EHxR!UurYck0`ABbr zuh+@_2+)ZSK9_FKnS4%TAzb@vj|dOr7xgqLnG_`9-^Yy2PHSw@J_3Q!RS-|pN77Bn z3|`e=f^*rW9Rv9zQFsyMvTqva1 zdM3MU3og-=4pZ$(K);(Gx~H5k(+u`^im8!T(DzHNwKBnO5x8+~Rfmg}Ekyna4|UM8 z%j#wUbCt#ak#Fi75CwkTJAcU~E^pZASMNg!N}#-`M+uNf0D^fLc^57Cqq z6&*_|A@8xBLk0ot_7}N}nwj=XMYUl5xXW>g3u&4yS}XANS#uW^!5os0)d%@2(UWCk zWhXu)BEL_RB;AuBr4&M!B-~$Jy}fF-2IH;LL%k&F(2Z^xQSZ=B@@*btk(1C(qh1y1 zByg*1!klytI+LsA^*O^vO)yus(xgpQl=xAzw)TqEScxlsE`{EX!a)INb<6^TbY z0rzRRyt_jq=S-i$={F6S11zATP_M3Lv)Z5Qe^IvrI;Re{1WnArSd55cr}mH{zfXRW zn1#vFBUv;<&2H?y{5xM!>K);DJXUILq^uh!@pCxG2>5XIE zjaC9+Cd1eB@HIJ~`RgQ2>{oUr=er1omnOt04qVoCUC0~M1TwcE7ugb_Kgb@o$<;wr z`!frtq5AR6vA)~1oIFb^3wg&Tu zqYpEH%APt#TMUSCtTJ-bI6A6Z6tuFPyWrRgg#c`{u>r>@@Y?KAA-DSk<-R_GiXj%W z5Xqj{@bMs+?8`9UL`8F8ET>8tL6o&dj98X&O_N&PcuWV-TaP!*bny4j4-U$oMRfW) zm$@Q6rje*FT?Tw>S>9TULa8=Ql>Md6=Bp=Sn|zdYYz$ONx&vE4!%o?&O~^$PTOdN@ zkBB!PBd=7}N*pj->9C&HM5VewiA1@`(lJZmn1zg_YkUhEP-(|0!l_aN^48^va8}tI zMW!&0f~D1Xu`8Gz%O0_Zs-hNm+CyShFv|WdKi4xS1W#lCvC|&CbInlk5@8Y7k)yVx z4@Knp5&0aD!o@_y0?w!9{ez5qw|>SNcX2nfQoTttqSB!C{WXY5ip$mZ2lxqZ@rmA1 z+TrUy->7)?)$LMgka$5irxMeXwX}?61^)2zv`Gbeg3`9FVe>n{otZp~|AA8zQtZ4< za<4zyD57dzHP%6N>s25Z^T$*Zd0#mWbfO-uap!X+APV_a`+4#_c=M>4o1mcN*!r_dr>rkh^V!>8|^vjC>!KRVR)*D*u3-~2o=ULKXkV%S7a2t|mMw=wdIdp5E=ZpxF)^x`dlyTU{?c+c3G)QiM?!zH^bZP|!!iF% z%QLOPt(onP)kx^5$Xa-&Pl=pNG&W8teNnl166#bfv$fh5NtXEV(?gL>)4EIm&+2wn z(rd^Zn1m+N0|?1sfP$mTvnZyA1i2n@{<_=T6|3|ie&GgTZUt->h11P0XjGf(I(hbp zZ&NJt`-QL>upfNTA@Yk|-m*=wKK``T)j$2I+OXKSs{8rLEC9KpGZRC*{;u~0!85kwskkx}lV>AYR}PHb(k)&>uNnq$CJJZ>^QbgJs6A;?jtVoN_ON89+N| zC$GMbgy8I!Hl3~eM&PTP&B|N}a$utw4 zF^F$=yWu3@l8vmM-|p(hB%={5PgZWtBYs~A>8Kob|44lQvfF%jbLAv(o83xTcdgYa zKA>+&l$~u>XrPmQITzv+LP-AeAH8_5wLNO$Z^PWEBU?Y}LW7d|%^?&{Zgibm>9*-0 zyVDuIKdtaXcV98R>2HIPk4}bc>FHwgy<1(YH>9I1oM*Y3FD3m$$>zM*4Wj%%=wsDE zA$S(Cz6ba!%efs%JSzt(tnID}Nq*bdtJQj~t_Yjf6~ufS*^geZYhVB(%MO*`@}~28 zGoFA`|CUeSr`f|mGJ-2LBOL5FzE+220>@by(jrkC$MhvwPIIG%QdF6IypR4CTx}5~ zKMYCi;->K>dn7jP5kP-n5y~lMsXItRp)FJwcVr#A$KZ>=5Y|y_w~werMAUb4?vK5< z2H&srjw5=E;S`W;Wv8Lx8DOKV18W3-mzv^Bn}|!P zec$JsWIJLc87Nm{H)Kr_fY*O2&)0v#?;br+r3cd5TTtEVs5EOn3=b3 z)f)yO%Asv|@ft1Xdz+8^VTL}07e$cGm%?@bmoNajesqUSBOl;uVb;1@1!wHJT!TA% zpb_&Y@edG1!MI-xR{Zq{{}%z+^fL2LHM+9V0mL8BHLXg@hX=Y2M~Ld*Tuxc|wbeJ$ z%xw%Jz#>wOW8k(YA(L^(Qt`<`C98(wn@3{-6^csL(^IG*eL&*8P~$3$8r>nVga;LH zG^jA(D%4}T`dbMg?0ZKMPZV7tw&C%<>V9* zbMcd4mlLwB-IpV@>)n6JdfZ7=VH=#v@Fzt3^oZQ8x@Cm!mMt+1cj<|;XZjF}8GRm2 zU{n0o&FDIT`2eH|$}0o?Lzz5&!-vAWbw*$J`7g9dn)#!!*Cmkp$_fhiaXEB%CB%?w z&mD_t4&3BMGUkhA=OM6VO-dt}4}JPNJNA2qiM!}U20QdJBhC0-TIk%lS_*l)dF#gC}6w(x4z zE+eGpbVks3PE3T5+TLHmF{cc8EC6~2d{yS}`JJendm63VDsH)y|7JIf6Yus1B!1z- z7N8CC+2N5=-@0v@FNw+Whj$h2McxLFacucC5gmqKpqhsKskzT035WNNfmWle zcl*wcb}O;Q_@9iqNgeNn(_gn9cRY!=WN{4h{#XXQ!~!xp@Px>}5R~$2#zWmgATG){ z`QMUZQ#9993+fC~obsK$N*aWc{y5MQ`%{WOY(hD;h zR%a+Ot6l|}NCk@v9kSoAWuD{NC5cCf()pE>9aBG{#GSa3_!JWSFbRK9S`+Yzg?KB1 z#lCXU&9dwI8^vq6eyHWjKLgAwRdjVe8zWxnOHur^8E7WZ%dY9poTbjK)g{T>+$dc* z9-sz1dOP**gSlos74^LxnaBF82pd|&u-ObUm#WBN%Oqtt0dcv`A+HpnH6Q5vabqTr z=n2UAkF|uTA>aOX01_Y!ac4WLrW_{|5MCn0zad?u2$2P^z>WzKD zZLM;=cQ@6ae&l9jj3Ri2t{@Fm1855U3{)0fMFQma#CTmB`M56%xVkH0ho_$`cwol* z{c_A=zST*W zMN*=vO|Kgxro>ah=9znL{p2EstFTw$pJdmdHQ2KZU~xqIv09V^lJSbgqOqgKc~5p_ z-VH$9pPJwaJ)Zpt$MYH?PF0eiK)<)$AEBAGFkU%^e%D5Nix$!3`8rqG*k1xTb5>bv zyagWsCW#|Hd3-WFbG|8@6%!}%+@`Js(p>jZNBa)%)LHiCJ*wwwYPtOY4L>?!tU)Ke z*L;7C$BZ@>N_wyA#Hq>pA-yPp`*vX5yfTbiNGLGLJp8Z zo6APg%fO1%Dan$Y)9Bamh4iq`WCywo?k2yHFbac~513hszM$4|zXUyIuDdveBo2EG zz~a8G#0r}-lQ(@_8xuKL?u{pFA6*kxu=Gi}uyCHu>RfC&e)-!M&^$LIhHJ%tNWQu= zJ8x&y2b8-a$Q;#5JU!$)BJ(XIFbmM`l34O0VkN`37gpkv;~NO)L;V=bU@9|vGqyrM z(-znx)HFbXqa4BG?rV+dCr7x4uzbeMstxjMX_HTx9>zHwIJ!~wXMu&6 zCk~%{PSv|^t^W}uy8~tOGSCAs{CWLu)q1osh7IEYY283!Y~k~DuaKWymlgA->Ezz8*fq0;@otZcox@-HhJ z7HRJ(DY?~L!~++!okZ%4`SR)9+&Oa#F$&s@zi~Lgw~1cUjGC`%++E_?pN1D*b`MH2 zRSJKlp^rLYWZ$ON2ai1={_9?3rx$7>|U5{SDsX_KGcLp|q*{xSg#JMo1FI zv~FiZ&UK1CV9MegX5n;-EFaF@59WvGa9WvuEajfp}mx_r`ueoWsv>Rz7@|L!~S@= z1vWQGp1eu5>|8KcbESXl%DW4$sg4YG0B6C)aH*gRjSY*CU9@?V8%Q}M04)9Ch2>C} zPG^gkX%K2OG=jO@vXLod{oTs@bDCRJEA8b*R)N6BD>YpDc)=pZ+dITx~Bj>qA|7LZnq?f_%%yE znEQVyY7rOVR6>G)@yZOE6Ph@O$$RuKJ={C+&K`PR@+{Y*JI)w)F|+eigYbh*X~C34 zd(4NBL9Y7K>w?J#M?A9c`zk8oX{}_k83!>1;)p(mc&HZeu`M35ai|-U=%M5wYGVTK}!GkwcP7Z-lZ^2%# z5a7~yT`s6vk8}_&cRrzn@Dr`y9qtbrR4XjVSUdeuRwf|KU2&F^-o~*uq!W@M4jH0g z{2gm*t$r4Cz!GPME}#$=t>?YHTKDg6fauPlu`vDb9Ubw@bHO66~| zpln+pVr92=(qzC z5X_^WJQ$%BqbLWJbhS4oK&|s{U$Se z&+6(C!2PyK0s6Bt6wHt&M zJ)wgxxWpmmY+k@nYxy|P`jL+;*;_Ra0dp?`skCQ)g1G`zn0xsm8t>~C_L=rp<>83O z=D`C7#8j$OYNv!!JN}|XA_e-8|3GthljUJ+B;-%CFh=eCX<{BW3o}K^!?sq+!?s+? z!`4a2=VoD8?R;($9RJDIQ(dUTgEh~r5qQmWS>?7z`y|2)<9aTKjA z8z4q~K!Wg1AJ!QW#Mh6?kq+JjQa^$8F3?&(dxco*XY8w>Qh8~l*u-%`eIhJ{aD}jW z%Tt|7Z^#~_urrF!)FYv8r-X#OM7P^b|DhGoDMAO zv9de=xXH1(743^{AvE+vUw#Q=Rl&{mVBHWzL*nsc^brDJ*8e82elfL@*F2 zoC!oIMeLW^?v9-cjns;rD=nva8Gxa2kc{SK>0BQ?eTzp#;JN#;jEpulG)Qh6JEsx3 zpe6Ff06Hwn0kGv!V248y0YxMl z5Wg!^{cAjB3w)LeY%&2pRl%h>!UW@VsQ1ih439anW-iwUuI zcU1}^Cc$e?0H#U^zU7_c!qIRd0n9IZ0o11da&APD zHBBQwEBvPCNKQ9)_2!2ub8N$p^-RAzg|DA4^Gt>$Repa48=YS5gKDifzroC=!M8`J zFSq)C)xCFM6vg{L9v~bLjvhKm_U;e?L6cmnhZPr-I7>F`7CRbr{=a=HyH`cnF>*mcs@;PWYc=!tJu0^gP$w=Tb_2yDvH=j2sjH)1QwrStPax$Q53Sh zbZ>0!Ut!i(Z^J`TrWGg~JFhA|y11hEPZbsQ!mrt>%4>^zt&bxGlMLj=H11F48Uf_9 z048@Ke7Xolru{V01*>5Lm?O6@-WbHXm`k^x==^-#rT!F6$iMw?ML&!HRqjpDxFp_>LyL{?L+F^K#8uUGG7|PDpiqklJ3P zV&ODHUAM*1^^v5$tYouXhNf^>p_agbCL+!BB}*AtJ+lq*gcS#a&D9W^y%AfbMw;iG znImem)Sl5{!7Q#t65>FJG2sQ{mIQ@Y1{J^;##Uq^4(pWpPvBS zq+V86>MyGcAwO7-{<0cq`}Um-C#VuHd(YiNhums$@4es zspgd!v3LjRH73_)V(Jrfs<{rndp#7tF%j{eJMOyoZVNeR9XbW1sL|c&`Xo=rpFI&z zjJjgJGV0L0h8HFIi|lA?)xqoT?U7G$<5>H611 zKtq+xZqSoi35?-JJ(&%$WllFig-w)~NZb!q2L&L_By0swYb9>~2^;tdaG#rDY`383 zF|RI3Y`GO`+y(`T%6;$k z9^W|p<)X0v%U-93`G0A2DQ&lJ-2B)_pTxwVhWG9o^3Isea$~3mh-McCg2H3qUYtWC zS{4hOH72je2JS@4X<3IrIsXG%X_I#8^f4_Cg}iqG9Sz>TY~7*RsBn~W6H8F1;y3ZR z`Az%}yz}`Pf=KoMK)s1a!H9+f!BvCe5#))-XmpA-?uFtZXysw##V1pOQ0{Mq!FwU! zzzL~g?<1M`L}`BUgn2c?tku!X24t%=ODR$>#pM+haUgnmYI7K8Dn9O@coa|8IGVWv zt6>A^Q1ZBGUHR5y%|S%iD4o~enQ6fCwpm=U*{Zjf@xOH5NTwSx7M7l)PI+s=wuHZ} zciFhkzlPWnjU#C95X~)*!A6|S&9!OXi7BM*ZwPf8K+zkDukoNXYDE(I(#6*vr(XN$94 z`P5H>IlP3&=v9i}K)Y6PV`9iV4P-UIWi4c@x)H5a^!6|UaxTF2(~qy#+YY7=bfR#O!)LVGUm5v3=XSd12~U2 zOzX;N?M-w>v2q4_U2N-H4zw?|!t<-%7!!L^+3Lf}(mdNfDWAXlMqf0|bM=AkW8eC# zR#-aS>{gtWqekg%oNf-~7QGbI_%Ghs=DRxw`cC)06E`~l6Jia%n@RHGnw81-3CHq2 z-YD-;$mRV^tru5rEA0rdtq%+%uy!voE-;lF7oi0s$!3V0U~xg}I6Y4!;8P18U7R!? zt;QE+2MY=%O!^}|ll~Y6(O=J`KcTr=uoRPsK@jU9(eJ*@K=W=LbU%gBeFnvBC_YE3 zKiw!uo7bHKHM+o_e*vH7V%@8olj>qMY#;)kF350D_iLzeWd`qj4xW?mDJS(pfEeId z2i`9;wP+OB)>j6{13Q+)bu+P67R8lR2_7+&loGrty%nFN=7|Vm@0NUlBr)fzolSL} zIuoO{Tio{PTU1->YGzA~JjZRSp-#+}`gKS4`xU)SRYDM`^u;)kWl#*xFjFN<-^#kj z9p5Jj0X+pbab(lv#eos~L*5I4(aw3}>&K@pstS20z$l^)35fUq=-s>5PFmUgcp^;r zlX70*{jQT1G><>PiQKy{tX-LFS-Nvdiq->-Y3n2#b}>HMfokVLF+bC6!(=W?P@^}j zHMa6y8#5;x)6M&a-I7sE7vcQ@w({^RrA?o%@PwJ`&bKs+6Na{3(uxn`a#L3wiOh3p zs}v64nMu<=;SV?P7`S}`d4$rB99h$E{m!Q0$x|1MNITR?5VYoj*lV??)+IN-5_=%- zIOp9ja>qrUjdz84aG_v!E&0kjMbJx12~UmICEoNxwMQm zms?58&?2LpABobk$P0=(YXj++XFRm!6hFZ@2clMxXsyaA2H^C3G*{&)#nJ`DCBF)a zpP`QxxPaGCZuOO!!kuts$UCT&a3@?7@-9VJAcOvz32UqL!rE%+^%}ja(Jz_IX~g_= z%oy7B?6k_J2`em5RE@EZQ2Yv=t-&ciNfUZ*f@*6sMFU8iTPdgGmR5KkRG|Ien9wsk zqjFlUFl*~~+Ucm3!S@E|v9^s#Y_A08Nz-T4`x~6r^VeT7d3)d3Uu$54*3%5OgGFZ> zNJn70*dXPak`ivVStGw(MarnCNFx;{9Ey!X{<B8s7PkoY+tqE{^n>X%xlJ-TJk@x@dd|gf>`J?) zL0Dh{O3am{JaLR_Y(esFg9)OfejE39A&UkyNuSj)Y&h6)qScat>GIwkzif9zSOBAlFv4-~Hs~oC^V*|lUJy6ty-R=f{*`G1 zZ-F!5fq{IW(mlXfMvRF?6Zt`Ugyh~#tvwv$CFfPOe{Eh>T9~!9Pd`Pf&LF&_@8!>y zmrGSUac8nL&+J7HuHCxivxx9fmmjl#0Y+sQj0-*b+Gm(T2}y7)VSUgC5F0p*gjz*W zKpur<8NwAS2Qsx09oW|2v}1<84+micIF^6#m?bzsn4f~fVmA*t5|B9%(nQV-RUo9f zhHEY*CLN5uz2wsIC>?}eD}QqgSc)J0F-+=R`xC z5mg!wqTS{MyC(lDq`{F%*2V>cIWqI$VLeAZ0%JI;*E}D~wD5pW$x2Z}?nV;KgDD25 z7<=>Jbg=7Ai&{Cwn3xCGm4fbYjtyo2ij(+`s0OpBo<)9`%mB+xn{#`O9x(T%h_^!Aqa>aE0vvt}R6*q3 zIyhYt+VD2Gt!FIU)c54C*G0T(FkMgC=HM=G-7ssgu3@@zp=2z4{fL!NGEPk2n?=%e zVN6Agq*zP^-v>GB)5rECCF9Oxw)IU2z~b)8AqydG@u<1pv?@TmcA@TB_Yw`xQE z7B_+#jYR`Z@J4QuMcA(vV6JEb;#B65h&LVfs~{M>Iepf#5NoSxmQJxj|LNZkos%EB%gvo&xNDB8kg!Bn#~Ot z7aM2|MVlo^_>52Ib_~tWuex+nti|Q=BP=CW( zN~bspTKB>1{7?ie&zhm`XRx4{poX<#o?phSW?NX0&mX>ZGr)~{190-1{^n~itH<&X zOIULf?JAfH{XW-<52GE@a)nXHC&})W#Z|l~lgPAlFQ$aJ_VraAA;p4`XQmj*HO+I$ zlU;&MFgg0Pq7@Z8#!9o6m$%YhhV5Z>R)sna+VI=l5KCXu!LT0YyU&N%@u^uI-urur zCN`OxmMTTfA3@Tvm`{oY{RfC^3e5dA^cBf4!- z>#tha`w=@<@bat?W~jxd85xWD27AQ@XFJ^BGViP&HAxyudjTRRi!bmSNq*eqkO#* zww|nmS(bR}?}~Qw90)oIx&Lg`*yerTC%9QYv2V6{-Me>RPwCq#2MI1Mp3%RcxXy%O!EBV;?zC^^$TD-phB@BbCT`ESeO$uf#8tJADG@}PAkH;8I!79 zq$aURA65L}5y%Jk=36t@)b82B$dVI|yN%CR5vN1)w9jjpj=>Axo z<)ALQN%m~RJMW!AMm;(`3-glAtu}pVtti7liqZ3&s`jZ-)>aqV3nL2OMX$*-UeOW!T3Hj@I-558e&U`xhj`Di6H!t!^YRJv zYJ_Ep*eIc1=ri|CE4~EXvm6*vvD&#%?K}8%g{haM)tqt1dpMeNY<8Ra&aNId@Mv+! zHMW%zZ?_wL3ffjiwBA>=*8!jutyLQtP!6t$Z`O5h?)Nu0S2Uf(65o6<7e6G61xx<1bvp9 zz&Nw;5?f8Xh_D(qa0k@A7c~mTx~7K!@$)ae``lgE|GY26&@|2~yyM-CfvOM#TBHka`_O~Rz z35xUc5?P21@YeL9T}O0HYan7I41s-#SE+{qEYAvgIMT93hD!&pg!Sn7k<_?T^Buh` zORFI3q7z|Ivv7tnMW#7TZH56~4x5P?k_X^fH5v_N*>l8^zJhr9W1i~hsPT0>#nsYN z=ZYu5xKpNUp8&DTKRox`8QG7*$DS7JiAFHs7b61}G>q|d0if$ctq}`1gCA>`*@94j3p7Jg)S!eMc{#WH^Bfn@I zZn5)%P5QKLrUcU!NTg1k@p);LwWExD8aHknggn$NMd6h+=8gb0`(c@H;LJS%_J%?U zIS{dFjA^p4qt$QFZO2uow+ut<<_}-*zR@Rju`x0O-Z=FP{{xe&(;}&7*nFh5&-ixw zAy3WluBMDoM_Lm|zOCz#_DD%dh|IC?d7$9@p4LfLKICQRCt!C|M6yBE z_J4WUQ+F|lWCe^TM&vFhG8(fM$*zKF*MW)oUuUTuY5cvrF6+L$BceDaO z46ApYsRinK{afRt#<6cUg|FA-yX75hV}UXc&!IbU$6H`O76ec6XJ-z6o9557atYRo zOHxus0&XqN!VP$JWKfLonYztDQcgK(?^EUFZ-%@N!8KGuGCF_S`W4+|IIocOI=r>^ z06m?dJwSMnZ=@Zdr-YJZ2`-gzV@0W=A3-T7EYxFroNZBOLz{ztz(wqoy>eC>(A zu$FdR6YaP>)Do8bX;^`FP)tIeG@F9M{~RnLlg|GPd0*7&+9u-jhPI^bMdHSr9oUp= zMETiBU^XUaLyG(Xb3O%%&QQFJvwwkR?#F7_0IuOJS!Q)D0IZ?Xml+mZc#@#CTb&r& zqLPFI{70{ZG~L?M)HAvc*3~;zm#`Zb>Ne6DjSooA#bn>-IUZx(yg0P0C;olvb0o~h z9EVIgE`AwnwDIWe4P=dq_0-x5?Padph0N6>X)+gkZRh3`C!iWTu&#GoUz#GjWD_lL zGA)>0BoXT-zOyvxxDOEB1FogxIw?9^X*CNPR5v|p+;r+l`@u*bN!Cb-ay%B7LC?>g zm^I?+YCWT&j`V66>8t-Q*64cZ`Slar^Bv;E4^Hxl&&kel@yH^H)#MZJK$f*+F`{D& zpKcza!pF|9e)~c6{c!&3()9Fj^|Z`iOVjJdg@z5;?Z?FAudc>f%Lj4z&^OrB#VJps zNEaUjjRqe88vLytpo{boCz#te;A=t*?$-ug%4n) zUyzT2$nc;Z1xc_8H2NVF!=aB-B(JBMkW>`+LyZwxyn)52-gtoGNcAA-<&NRczY*_p zQ0|zz%U=OQge?I zEAcRi%P{>!Trcs0jgK4N3=U%=C!2eYX#|^}RD>I2XF9<~ma84s^P0;&=BYcxr*^v8+- zvXq9!efRyo`;|!CUTXgRlmBh2vY?cySG{nctBrm2OBm&%ipOK0t3uu}Fv{}s;#lQs zVQ(uK<56fEGVZmzSp@A(h!bkD280*Rp3szs>#!6rp zhvhNimCSs*Rkjf_xS)wbmoz@hq*P`B#|i6Hc}xO5Tp&Fq8{ASO}js zqN%S3MK!)oXXC&i?C3>fi%+hc8)9uesUPuw4H&VZ;+E#mzyFB}7;)jEhnEh!tWlIl z$VEJSZ{kt;ufDeRp+R@VzH?Z0VenkPbIbo)wOA1H9Njj)cvpP{gJ50`9`5Gg;eHOD zo_7bnU)uQp9ALx*@rbBMw!OQcpeQ@_rt7GmyI8tu*F?)v$qO@M#C06k}aE^TC>%Nq{cu z6&&UAvGEFaV*FX&nh*GC7{D_);~y!P;ZHh_^lX+EV;CEpXlhWNq<>FtK}8T0ap%?N zEDg#$TOgmkEeorJ)>lCBJWO#iPVquovLRfQ@+{uKU%06+P=v?58Mq+-44$1?JL-Kg z3$Xxy`Msi|R@A!(i0dVqWe|3Ry#il$AfF+iHvZT~x}|w~X0NDt97Fowd#+3HZmW>~ zS70d+m;+a}D#OgZ&U8K2pvS?S-T@L9|*C;FNkkCG>p_O#LZ2XAk!m49o13+HsBG)eLwsSdRJMOFJ$ z5xFY6L}{?WGsNC(NxGRUJHgDQUa2$nS85$tj+**-kk@G{TDB;S#iAT{EGp59M|5at zH<4}HLLDSDW#w?5lJfqH9@jRD-N@{*!q9M7l=T~q9#lNATm(Q%zF)??oTF_Lyqsz6 z+q~KlXCg++*Q3)4gJf7-vExF(sYFzVH)&GGA#u&TMSSSuX$o^In(;Il=|ld|J=|J* z&^zfost{7-Z6|Iw2?HWyM?qKjx#sNWL!m&SahjG~ij5)G5sP<#d%TNUixdN7CynOg zv!ow9W-Le!YQ`+V{(uQ3mHp)gW!cK#)3ZM;TI(SJ-_Js%Il2&MxhQ0W=;W(3mMq#f z_KNx&R3*kI>y`FjeY`ou)g5f~o{9wQ%Kr1pt2JQQmFjmsn)yb?OSNL(8T@^2pA}EM z8S?D;C%tAJ-FJ941odS-Zf;yP!WZB~s5GQdH9%}$xaU4Z1$!154)J5){d+z-fBly5 z9}({uNDlel=*KRqcXV@vHTZBV0zTj;&}#$udNV70z=q-^e@Zm+cL)aKc6Xyfq)h*N zPb8gZ1TdaituCC%^%}u!K5ypOj+ukT8`HJMJgdWau2)5en0p;O0zRYNNa=0(jWnBd zBk?q?2X}PzKbVPLk=i3G&N9Io%9~2aonybMp9_ZAvW?rjq@h^%R9;Z`vo-AJJnDYN zZQnr$o)8(($BV9S$|hnIPJ(vkh;_|O>bj~|$`|@8WiIsgWtQ$r`HC!2BY=0VdYtWY z`B_Q6R+`HWaN%L0zxpFnjNuzSv3#p)H0A2w@1Oz<+BP;AGG4DQO%I=ucG;3?Lu-W%xpWgR z2v0aujSVi#)Wrrn4H^3zwzL>%EC-73v&^vNC3Sy*`Lz?^^xxxU{VGifi`B4!g-|SV zQnvzXV4`?zB@fX97LydXnOcwkCGm6dPskgD2A5`$vR$(D08q4tqQEqxg6RlYnt>kc z>rWfHIONTSzXqNEZ=cHURUwvUVOf?TCffY!EE4%gms2DVj@w^X%{d?l>=Bln`AZ}9 zB)l1TzGQdFnvp;-j{zo4|Iv)hT+e}z$S`6JI#ZUTgwwRN4I)9ow_DYh}{_m7Fo8HfA9^TbEb;6p{69M;)gc1^&LgLv&$U2@gfR;aS zEuz2!HNmM)!qa*HC&OYD5a4R$Xhbf%&!(LzqYD>Tjy$+O-ki{w%FTWiLZW>L=&4V7$hOl zguT|#5V|CzUPK=30mT~F@wF&`?KdU@l{gSVjo-6)0~ckIEynAzv;x>AsyO!9hSCKg z*4E`21aG_b(8{2alCxrq6=7(EDT^znUkNuM&g)`BE0TF26ygS$2hM0?mMI>hRKDK? zl|HueE$b~JT{mZ0d~KtUUH>3z=DAb#o(8d+$Cbbh)4*^n z{;l&0--=qPUxrw#+l*Qu^ATKxIpCo49Z>AVUFhqIk6wxyGf(gXb(i3nmnEHJ<`ui< znC$A8NFQ+>MN&AX1Brw&a@I~_u5qnRkhtdqVb6a%!F#@2?DBxE{!1 zlWTHthd#Yuki+1l+sJZFu#R!ttMpH~12Bfa^*XA9wmPbRpu*rb1fP(&6WV{9YV{C| z=wB!fLvaLY;Rc#U>R;IWqfqTe_;gDqISC-ota0&#dEbP*ci>-@(^hp&+Z|$A1&`4# zvlJ!v-63Ka)f5>0?U|&}V>N8xX83ehrdeJ2R$}$)iiMm3qhW2;PzVC-I+bb9uXs!YwC2RI1EY~ZTxq%x((!3K>^lK*NvoMV4qj&FKJ85Ne zF$`&6_?&(kx_K6QeG+ff77Br0CuC}$_RqD^+R3o3mNa^Ww>+~_wW881UcoYbUzu^G z(>w{$gtjwa#FOFEB;+`+Qe>icbe7I`t+XSa27b_|To=J#biDwPJ{Ne>$+y1(fYyp@8gYDoNqu-rAKvDPwH#PYtDA@zh zr|7QRDwz0U{R7ai*gXIPF!HGcwSv({!PbnEeg%6CME0D;e)IjcQY%C}pXqh+<|oNW zQ5;NNO2=q+o*|qL+A3yzS5o&RSR!;&zy_w`Dg1_V@3)K9^n72@drIc$EfMcDIFp0c zm)&uiPf+HoJV`bw5~b-eqDGObWjKnMmrKQ zp7T(85J_Z4y{SuM8JS<$mv(^gJZv{%gAx+@0fb(&&k&(GHLfE<|jTY7tb zu446i<9o&*`Fzi;?v<~r+V5>YutAi)yx;1v{v=GwbSJD{&`8ndlm*XwMp=0j$`Uu{ zj=r;F&$E!hf2HAn?Y}Y;ruLrD&*Uqn)FEZESaX4u3Ft1d9tBR;gYTN1nm@K4Y7PnG zx>&CV@y`7Sy~teOxp^sWl&*yer|^%HcIT=wbJ->_bLxRB(jT~D7{uv{?!a|Xh>;27 z8Zm{cxfQR>K+|p$fSv)P`xJKQd{8JAqeAs`S^`k4h7ELu;!MRsT{MsO%HW-Uo=MI& z7gFMZ=Mk2B?neH2#S05Z(WGB)!jIHbCxhyEY-P+!zl4oNVb)n@EA?^b#V1FEfqN1tcPJoczun zaPGkikBqo;Ub<*Xo>2^DSAiZS@TsqpT|!M@KheNK#%vVAE>&b|(GgiE zl`_amuXh>DWGQ;%z^4I7Z_8<7G|n9xxB`kRaSx4kP4{7-qQxHhQD?=$REz3Sp|J#H%48DVW*tKe(I?)F(BQnp`5BFX7PyPlm^*;Fj! zVinCz`u0onG&}NYc`<@gZ1~~!l5YW&!hX%KSNOJ8CRx*xS0L524Av9b4KRihMMq>e zDkPzAhPq6HANj0R>7Y1Ml*)E4V~2*o=&GS#D*E^#0o|lf2q;r~4%cOBF@UhGn-vm2 z`~|-IClolATab%vrSZcxf*;;WB0k0s!RwLvCeYB6%=@mM#R>m5SA83TJ59k8C9G(M zI79lTsAAeWSnV5Ji)rqBUv3u%a(%LWU-AnR+~3p#d58W$?gY_pYliMX4poT3d<=|X zi3&Ys4CYHPk;!ZkHV1MO?9E0f?t}%q3y;HQ%EfrMq7@wf0RG0u2_1$Hn@-@$O`V5`1^QiU-^qBetvzVOM) z^@iKuTl4LzLFJ)+?+(lxf8o>SjwWoF_v?o_C3aI|sFr4!?K7?ASbbm)?~88E;vqw} z`cRjV4* zz07KxmJTPwy&b66XAQ)Ec71)ePDx4d=e6(oVR3d-Gm?vVSCA*uVLqbhSmJ0H!Wc!z z62~eQemdhJ`{+2R0Ao(RKk~=+aWH27$oGT0z#Myj)5fsB1{~AR3RzBKtoXD;xz}QHbd1?BS;q|3){CHkfN|Y0U5E{Yk zC&8!ZUXWoq&qIyLqJakJl~t|~2s%BB=?f3dt6CTFzMyDL!S&(1zoErG3_yHVaQubrVKnjc3`KV(&xBr^>mRhQE9^=(KQDYe)LgLk=cP5Yj;Q}{ zKxc2_6rZ7)_TEzX%3v#P@VvZDIWO-h+U3c}5}vSMg;-nfDkRp`M%<6HNaVtE7W7w_ z$EcnxGmwp2_2hfv^kyXcIx5I3N_dLVlpEm`6%b#BR3v^Z+-a?p8(FY(@59tTfZ{{N z0q^|?YG95GY$cFonhnJi@=b{YPDfbMjpz?&u1A{AC#kZkngjP()ph(bASn5s5i$m5!us+8x)9{^i$@HxOqg>q6e25n4^5#Ikhm z#dJ5Y&;){bPY}t=V>-uga0;h!>UlnK^uOXtD+osQb+4qFkx1Q_x@fIQNKM= zgH9;E^ZCmM+BdxXhlr=c$hCicaK)sMXV{~m^{*@1@3dB@?HO8mcImcB?`sl3@Nt|F zltaebKUZ|Ty+Q1dc~8;v_Bo2R21*ZnDt6OxM(yPI1bm_Jac3u+$ra}$Mm*C1j>8~w z&FYabN4ZIbPg-NkCITE|qHfWl9n7?~Zc#UOr;xST@jsIM+{ii2+`}6aP5+O^7 z3Ve>HEOVoh#-(bu$uiS3yGj#5o2}1m_wL=->p-N5J9{=dPMzSL#o}D(d5-Izdz_EnKMi zuw>}S)g9%;gw>M1Kyg_XkY*{#S?MMjg|R6csQ1fYU&}B{W9&WjjiODc(ha@9jQeW7 zR+qvGp*z)gP<*eLc~a3v%x5W&O;ETVZ~&fWq~aeG0u`hBk0Tv>&(9JbKWjqX^I*0M zX;gfLklz=P_BY0dZ!IZ_lOCU<)fp!8&TC)^mb6OltyoZynGS?yx0=+b^!uv1@L% z@Pjqpy6Vs$Ja&ER-1I5T$uyLAYoe2>DKVFVX8XMLrL|}ESRU~nfS8bQT4gcV4!y%* zl#3PJ!_7|F8e6jwUDFzffOH<=l)jGQBYv*k_khx{*jFYPO(+JSwSvO+Xu)@xrPdZa`G^vOT z`1o$^cAt8rByvUB28@EP%Ft8>#SM5np47si88hGGhs7TMq|hGw(bc8tn2`FO^jWk3 z0Liv}+WHlIy=b;g{d|BtKDebBc3aXejW9 zTLlesOxPHAR>7;Yw5s)|;ly5k?D_|`_uU`zUInLrh2kuomXQbwSO%Yn7~OAge^ztO z*6>&H(b+e8_|P{5fpN^kwn7fr=ais>eOt}-WM~p z_QD0gAyz6hwyN)ykJL$khdyh)Mb8gOxxUFppPi@ae6(+`FsiyF3aJg-+oNL!k8Aqn7$y7 zNkDk9G09?J6mFYd1kIPC=(JWL$gX3uw3+`N%t9Od@V%w!^}^oaa1;Kfkklx@L+2IH z`BErG;Rqg}IZR+RYyju6PJ!pCv94TQg*DeJ7B7HxVkI^x4s>^6YTo)Xi?=r}2O4fS zLa|99+iaI-XroIuE86Ii`(bPTFl-Gop>0ugCbU1H*JXMW+E&HN(86cWrzsS1$+vVK z9d&4-a?jVQZS$^uPrd!k*FUO%al5SN8g8MygIg#E1k>KWve%xFr{A?c_MMd%JoDJ$ z3ui|>zs&jMspX|#hCFY~=~Q_5qS$w~tO&60G&{ZTzTbDp9@pgwsqXnyPe_V-JL=P9 zl*OMCl?1FEjm;_lO+oqFNR-b_H;XE~FX3G2P|= z+SMR>(b(dXE4iyd4>dcq=O#5hwC5@{KeXoHOsl)#Wcbdi(!wr7!PQ{z=^H!t9xy57 z*_hd}@pIs6aBH31kJ`a^zVhCY`Pc#BvQT!$|Dyv)lMFWlu~fL040}SMq@++%p#BM6 zA#v^nYTt*{zLj=Tu*M#!yB*zG(bn?<#WMCRT=t9?R*QP~!nt8G;Qk0}YrjIWDZp?U z=p>w{42#)k~5W0A4qQ%*yC{N8Ws7kPX0tzo?@5Z!OowbWPdrA|d=BD~qQ>c11=A8)-vOAxD%Y&f z6!zA9h0jy(m`BwshL4q;ry54O(e+4snT*tN()J{R$J7Ax+?J#+ynWBreVlWqW+okx z--VBoWoB;2oRcVs9f=Gwq_DTj-A;BUEGe0JYuB^{zqdGTXd1Qkdo!kTr`rqY9*r{2 zhPm0Xz*Oz;;`_dak8shX`UE~-%P9Mc_sANo>2s4{wJ8jvPEw({?R)l!qtYxHM@0lb z#*RvMw$X8cTT$|kU#EB6u~ibPs0HiM5_Y0Ce5&I!i*Az%y|DLbqJfW)qUus8$_q5) ztAFpi3)^jp7_ymTF7$dnlHhu|z-_7TlO~kGq5%w(r8$>M3RTCB)k{cQPDjkrjtW0QR9}vXLorbMsSYr0L0*HlB6}zMSmwWaky79?Et&o`&M!pPcMFvYRUb_+p~os&$F#rgR%ESZ*iii8Y02<@t& z$X2!#iSW>!Gwq|{*OlhIG_-4acu0xo*V6R5aexUGx@|7sD!zB=&Ck&%)5#|#XfwiN znBP~g!8~6@TzpviHa;_OXw&;DLnrfKN(+HkjM<(ueI#h>T=*{L9>=T*0L)#Nnh1Of z)X0K?V=IfY$iUo7biNV@(=A4iTdB}SkJ&&lFNhC@;rE%Q1d&n=R)4_?&?DgE*LhnC z%iw6viz{yJw!Sn0zQp*mN8|Bezz4R$1*T_mAm$+yiHD;i8FAPyk3wAo#EfLK3xPQ2 zRkeR@UR7F@5$+jj%`cuXuV$3t!k?tyZ1UtdB9Nne1}ef2B@m>YV27ckv%`V}a1bJ{ z4|&oQkS6=glC;~Z8*F1?Qg15>?Hy9HS?^G@S@Q`BG&3a`++}HwU0Z?Z~;cTRvm!gF%;+m801=H=p8A~r}bG{ z3)#B3=66SkB{)9C_O@hxRwznO^I2R6 zYsJ-)?X#dc96RD~=iu$=BSUYiDVfU(?&|tW#2W;fi}sy;_yUMW!B+EV>xfyEXF~;4 zhUc4(z#2E$7-B{JzU_&;42HD;iUL@jLfoeWJZ1B`MNk9Z4ww%AKOKFu4ev!s$F!Xiq>pu&s4utu($S#k!xe$d1&^}*DQGA&5-A*KStE8 zq5BR;4fJrWf#=kIP19ftWcN zi!Fj!EUbh`UV)r;1jGOK1&FqB}vy5 zWy?_N&ep%n0oN8~0nNMo9NTw!cc@T8(-d(`brybk+;QZxm}lS~=fbdhKye;YqcNX= zEEfUPxCtoWeE8H0xfU@uGFHO|dPC6%`z6*zQ}_j<^CNVBj0=J8OG?^3w7qZjsP`iH zYfwqaob7$TkFfmCeQELp=3vIGPPf9RYKlo_XCk;~93YaglhOg*mdVG0NuwYmkV&#; z)0nKxxNsU_`JzNJP(=9bXV5*cfQBp;u z`Fod|$Y>1@z($NrwpJf<{Qj8hP^*85;MEUi zy1gYbQ}_iDhcZ>k@KQY)UIx8Eo(&BdUhX3zZ!@Y&vBsWF$mwMkqGH8x2fz>!6A%&p zu0X~&lE!e$P_GEZmC(vStV>Kad==Ed3?kSHq$wt*B)MAmRH(?*K4!74YiLaKAbfWe z6oX(a*W&1j$&Md_Y86?$fyRodX49Ir_jmWV6zg(N>`iVBOI>eY=V8^?Kd zNqY5!yt)!Xy%CmX2o!jElqo={FOm&{LbstYsm0hnn)Ys@nbgpphn77wPPSLfjCvCF zC|D0!7R{S|6v5VLj1_Ay$)i=4=6Pq<&DUITUF~R^XYZa}T~`ciEJJdfmZ?23x9ASc zNeVn34C^72+Sw3|Id!G$$C3m<2Qy&(APH{5OUZo1>?u;!eo{=vkFtccDhz*=J-u!- z?x6WDR8zPv`*w=W(k7Sbp3o0^-drZ*&9=E+&4+u6815ZTOsvx38qcj5ZkZ97B|cpK zPg-CWTQ)XSu(3Cj?=4Xv(aPLu@RopdDsUNVPZaUlh`hS-U z?lagxL`A$0#x@M4Phu9|;ZOr@c-YFB3R(46>WhOiMp#Z?6|gbFvI%!rNFJ^E!Ug7j z(xn~`a52xTZ1}OvbTI;EkI;d0VD^BQNQ7uSQ)iIIEWpCt*9~U>0PPL`1@!wM3`12SFX&_%5iu{V-^t;-nZe}?rD|d0oc0P4U4MUkC|`5`@mtpX_TG0hT+7x zqfz?P_YlnYVJN9`?-7cr^&2IH=Ui?OW@&g?5|-Fs*w$#h212&>W1w@))QiIy z>oZIJE2)ds{sGECOLHH^RLyU`Z({|;k0b3{+yc(>1{(Q@sb1T3<;LqYPv7V?PiVM! zl%(*G4M@6L=fXmL4-54etn}kPGr&q-_X$0nJP9=>_;>?-kxrhX&qcCgh85*6SlJ8j<0gh#&&s5VVy)dw5nquMh(O0xJiAWGUNJg1k z)xHspAnelP>YI{dL%?DzL460z>v^Ap?7=@nwaGr-zyLfnq{KqyK4h?~o4^x>rM*3W z{hTM$XVr|dwqBsI+ZTOY*NgMG5{c<03NgLxLtzc0lA$-4T#;A4Q)|W*tY46o5?`?Sd4!l=i?wj&V6N`Iwh9U8?)1Lg3|dBwT1(35HUdt*9`VTMm9-Osd@?lA^>HAT8tHzvAJHT4=PHh~G-Oh)98g*0_itMl814KcFu$5->09RO|azaPp~`Ao8t6rPPRKvc5XrrbSf-_4fKWF zai)y_wx)9=R3wEhu;_Q0)45qqq$VNN*3h|L;^}#db~WE6I(wU8FLM62bdo z{kA9hcm;EdWqQ>Q^s5S)3cMfcSM?)yIVC&f@%XV1KNbtN(>1|0)X|zF^v^xO4ysN> zK!eeJAg;fa@GLZ&U?Mp zyz#-0eAcRTP`Y>wN~iQSOr$1kty46xB z(~)68rN8~w%r&)pwg{CKe|Y5BvE3R{y1e(If+m=Ebm%QjD|U>PlM)9ZT&`jevKHPr zLrMBa2{9qOfx)7AIVsAsn0@1`CTI7Z1Y z4(;j*3&N1lIoz95ww2y98t=`M+l_wgQPRD+97f&{7tKXF>0FC+(zpqYp!gA0Xg<@F z(57nMxRqgLh^5dLFn!qS3d4Jh-H9vpcVY|pW)uCLSm~qWNH(syJkm?dt{HrKO(Eww z&dAb2P-7bCC+Dpg)itetn6Dw1VqO$ z7di_}n=Nz}*w*hfgT^}OytNp{dY>r?OBvq=sC1bX<7*>M{6-?|fgRVBgVs3`w56hc(i=NK^gc@6+z*as)BHBi=No@y4 zyKq~^-i+n-!Yp4lw?VMBcF+u*W$;~0sg1+f=`+K7m(T}%nQ^dP4sDnEAFGRTLX*me z*~M6Rr2U9Th!#ietcY2zUb7?iOsfW0Ki!Zc(lq zL_W*x(&`qGLnevGwlff7c2bWmvFBXq`ScUQFc7o?-L;C~(^m=^3L~O0d8#Iw!VwrF z7`%HW8ln+fV-HQ+Jxp}=mrt$|iwO*kwBA;l#0sP|tB^{U87^OtXpS^QVvlKTOtUIW zy$frK4pr!7wAW|q*M&5{PtT0^!}rh9OPy6dHB{7mA@>Rnc)$q<6tgj&9@B;46aCwu zM~2~|7J#|fD8RW%3V?$;1psDe6$$`s>mS42Ar~UB|6;{~{2EPBpa1+_U}0k1@WbsT z-=c}J*^yVvi^s{izp-)N3ibH+fq4u{G7@`1VR0g!u-!88c-6rrC8tBmGd7RJtel#_ zg~Exyj2zOXGPYpvcsHhEM6P6+vPLgDe|Bbiw3;D@CN(v>0P~fdK1`i~NIQq1_}53y zN_iNFvJE(NXC8^L9F>#G%VVpsE5cemqUUXtoQaJ`Z*Kr3p~+8aBW(!IXlDLs_G@$$ zi04jNBR2`vavda8yBj+BGPxUJ-!n|_Ug(*aQLLdRqgYNhZF(vGSo{A&vBr5yu_8#{ zW#30N=wlf4;UYy?kyVN?eA40@dju5g6w?%8(t8Q3SNGFjtw%U2NW>_tn0i#ooXTOW znCuE4=QaFhX~j(0--9}(K&oG>6u>lNHT`CaGml><6TpnIKaB`e%TLLkwN#zGt$bD6 z&u52E$4$5~B*ocgMJv75fhj(aKC28JIkBxYKS_EWB@}0MNgHfT5a!Oe$^I~S{d~zE z%K8tSGwSKLx<2&Qz^~ithJF$}193s<@C??%Ljq}0lVv~w$*l=|rG8FfQ66WIO0L|9 z2y})Cvm3$EHTIh&>XOuL0yQvy5w-$JzKHQM>3)F(6BDs9$roQ*)>cy*}eB?P-|YQ&8-quNJOPY@8poAGkZj(mttNBh1=r?sp~$@;9WK zE-FL`eu=$2W{%@8j{z2zI-`%AQ%&V0n9KTvYC!l0{I4MM)Y6atLjc1!gahO~xhh@)53s z{4|@QTb{PM<-rC|GAs{m#DawoPs&W_DmBS|k7g2NJ6Ipg^>VV`!aVY>*x2@dn$KwL zL0>nVqC(~xxloz@_nt^Px1$;t%mwEEdoY`3$y&Q=R$K-n8b5&kFvzZh-z?Hc=2QnO ze~OB~M1b{^-SRBh~ ze$zBB(su_||DR$(HFbo_Fq=p<_@nnUwxSw}r?E|NA4hn#ynjZG-jzUQD2+20Du&{8D7wI%;Qi8g`N?DJq0(qYZjMN+oK`-xt2ZHM3YEa-1A%pRO@3>We@A%_sV>2+F1>%yetsa!87M;;1c4t{ zqRM5^?QbyP?lAsyOwzX?dJ=FStoLl8=kHZW{CMMRQ*E4+s7YwON}rXp9^Rzw{r#Cx{#=H z(>qP@C9$$PPE1RQK8jYyTu(}Pv^0y%GHL62rYB^P9`!BFUx1C!h{i)rfFv6iMQ)uJ0g> z+KiC;I}zb@YeamKA3{v6fCXk+2qC##M!C)}_iGa+X#Wbcwg%7+;XbkDS2(zLVrP)u zJ4P7XgdJD^V_7mZEmbhDlH?+5*!Fy-cxD_(@-rhRJ15_%^Pt9O%j$kS?y&KJFzc(t zv-4`?B7bL*?#_RNf(q45*Z3U(Kn;Q#m|zH7Igidiuk~w9pvX;y10S|^9gTnf3*S8i z#q}_j!F~rBA8vpeC4SyOFT7K4q#WlV@mn+|k7LFMVWY;Z6*tjFe=~HBI(nQ(A6&l% zlo8yb8^MLT5nx-l(nf$*g4 zHAo0;_iioCV+d`1PaeGp+?5EpxcXEPwh($E%|kr(14ZR>r{GACbv4O~52rxPMzx3S zq|;N>%&fi6rUNm%OmJ8ywmxb?ha~1_&o2z7p4(HqNZSALWa^jCcBFTS?MzF?c2?)# zt5u_@DA%x?!fm}U!Fy8Gpx&+5pxy(60~ZvH2K8P)DOyMa5`!3M|5a)rjIPA^#Q(uy z@AI2ws*s0RikvdTGz>7p8XQhzc~`)9OQG|6@M)lr41b1zXW59k_*aBwuozTQ@@nSj zjS<$?{j?E`fX?fSVLaeB!_t#*(+1*e50bd45!>!~p6IA}8VN&g2!p?tuFgUQma2ME zksDOCREX)v%4qWMwPKq_IniNq#gzfLAwlm#!kxEY^D$#PbnKd2Ej(}d%&QLl(L&_5 zNT0H7{`mB6yCZqG-Z}l`;fqA;(5S=A2!^+h$y9jbszzI=+Kv~Jn~?$#zza#%wMhb0 zfj$BXC%uRKW}0(JU95%;JP4mYG1Iy`B_jJfbG7=)RDUNDk@>6B8XVu)z4VL(#2I;* zaz>2c`!XH55Vu_F`5mLI*n*{_u6XQQf7L==aryk+H~OT`Nk(Zej%s6#f|Kxl;`zu1 za(nXx+t?lvvI!)~NwTPE^7y^yj=S!?+cudtSzDv(kY>dQNwvaQTvBxjJX2=mvqOnW zu}WiLmMNY_6GcE1AG@XrcPNyj1x*wunI;fp{z@ZF{NF%>M;pc%nEDy;X_&bQL>gHr z9@eG0hc&h}mL}ujo4TtQ#yG#32b@(@BEFc%`<+H5TKK5UhtYI{;%q2J`b+`>9U#vW zp?J;lF(pI9fz^Wh`cYW5$NXkEK&JbK=<}1yhi6nys}=P=4zGjr)?YDsd*9e!*y8yswvGAQfo|JA%>JM zkXW$6r~J;GHWTpPCF(JS5AJEA!AuV*@93rWj9wA&ENu8hC@zEzbzrw)^qyRvOgEki*s=i@my%pd4d+`Jd>eiXw=&eiit?u zMpS%BU95%;;98aYO%e5_=K?|S@3B&QJ}%mNfwcV`)n<}<+t?l-i|Y@U1GNk(^j&aaTzXdH!zh^RjW#yJ&=%V6x!<5s>(Ay~wu7Z-N9?!rcN{?}+1 z_T>s+E*#4=)2m0a*UyU!dphx`GcXC`EklZaH}s7vB-Cl)^3Cv@s zer3EVc>E1YlCkdd3T61AZ|U#6DKK%k<21_exBYfl_vkPLgFf+C_iPsL=w|`QD~R~5 znZ>()S_rxk)6;PB5(ZL6x6RxEBifu?V?K2{97Xgvg7%C}Ib|gG!t}Q$8ydGLH&Ll# zJDyqMsQpj*4}xpo(~~2_{b1aQh8*Ab|Nj~J9E)MFpW9DxjKv3H=Y}guR>kCHo5Wbi zA9WxW!5IDz;;dtFKWxv=wJxNgb)2B_qH;Hi-jLK zu~utr67 z!mPnLv=Lz11bk`!=98qm7rFy% zU_OqXq+dQ8Qp6gQ^Ba5Z&Oe0px5 z-()zfeg@=a3x9+W%RlYW2$y+8)qvT%>|q+i#>S~fVFu6_gC#$4TAr1HAZ8|+AcBPj z2~S~_>s0Ew&MFwgYW;)s7eC3x@kAvnd;|6dp~~KZV!h7U79H1m^EU4o@9?dyG*BgzbG zq4>>-xPUPfze9no{DGH&F_6M^rPlei;sSz_3vmJA(AVo%%Ko%%5U1?}#ks~f-@=}} z5nBF0;Zxn@Hv?Oj)WvGpz(??DClYr8P`gz1fH?1v=}CGUZW1!jX3}kFOgw9McqNHv zO*gfUUu!x>Yy%>Hxes-tUWe;mqJPn3r)$-p4X;yjVyQ(*{p zHC^<_b&LLuZqX6RdW+MO^rtvUka95TD$}j{8wxNFz1#FR)OJ4!kFa_?EZEe!ri?Rt z{bkN#fj^}=-s~4&5xz}EhyYySO8)k(# zh!}WIapxTEkN$Nmj5$l60jxy_s` z*Ncn&UlLhz6OI$9)qYtI3#PMPNwVQ27)%VIBSuO|<|y}JHF6}IXT%YhHHz*iZXGq1 z+9msTR0vVW9PC?MBSUgXvCB5DZ;C4HY=Id+l;q&;y!^t%oZt4q;Q>x}e=7fh+)yo` z*QWveS@nSKkk<&E)K&Ja1hkoSe%?%0XN9Pc8YQPR9_|lx>RAEM@JryKiNT8 zx4)sN4U1PNV1kWne{|Bs(Si1o=?vZ5$A@+Z2HDzAj+wvEr^OsVa9aVpjzRc!1Efn4 z;DQ;6cu6E;bd0vm2It5z8u4xrksC3B>X{L{{eIOK`*lP~GV9IG52mJdb9BY>h2U-n zk`;>|E|?l~V(#YR1~oHkAq1Sp%A>YfDE+KJA)Am=za zKp^V&0R~Lbc~+0*A#aBO3eN3@rO&DsW*EdB0}fTDj19st7PuyA(;#MtK5&v~^tdLJ zBeo{chjqxVjaQrzuT;8c2uXmr7+FX#K2t~x>A}J3r;ymV5rmbbTA?;Nq{Q=UX?orG za-W;u#Q(rMpU>rs$eT^`?A^1g>xyBGV|T+lvu?iTg6nEaZQP~lPsSEA5%pBMm>4OG zsGFVO6m$-lMeXvsr|M-3gt9yhs$tT-bMfHO0Wyc3771={bs)qPx_gJ8R*?5%lQ3&5 zoA&DL4By4v5;-u8TuWgxs2_b^Kuj81>4~GCLAlTJ1I#WId4H*~BywFef}`&J==}9t z!Z8^UB}QNUd*5ByZcB&_u$Uwx8qrJXNqAFK*ia6gBeAM!xBT*p7i?|&>rO4wn^b9| zZ=9oCSaE%X5IyL(knuxew@weZ!1-hovBH<;-!PIDDK`dm)+8GHyg&;oN|h?khS?uT zsx@1761oUM>N=43e1F;~W@rPZ<1Q zznKItN9P<=QZi?I-|s^VDKjPwKeWAX^)PFzJ8k98h0YPq^8zSt_nYB^O6p>@9?(D` ze}9-@i%K~JK3dKTSa675VkLSy(gMVoz$FvrRZB8%2kOC4GmATRK2F!D46}j}#jo{p zI1@`dhRd1YRu6}wH_W7usqMg&>{J+76@laeIQHjr1QKlZLef2FoL*ezW$Rso8|&2G z)*pseT{*ExRajh#3O3h0`%Nwa`<^cnI+M$itup~dcgqrk&IFYpef84*QkeKtdOc{r z0Lh1yQ}utX8Apvye^@IFUAPaqZ$3r4jli5!%gdL;ne<)^$6#4S#nEHOVh<8*D*+_a zoGsiZI=jTlgCwl?icggC#L8IA{z@3*dTMum!qfHYr71T7uH0cSC@j>vg{ zYMd(qPIBoO6@pffbVxCc7C>$RS-7Iu$^@hm}s$t552o1%G1y=wCiySPD^nZ{W9lGtC^ z)>Sk;(O%Kn)g-peTv}73DH5m~vnPQ)L0$t~a1_eeigWjZTy!rmpWkD`x;Ds>QB{xH zB@f1Oq)#$Jg1Jf@{+N&IsGG0k6c(xu7&-EAOr$(0CO}c{Hw%1~)WvGpzyc_uep6gB z>9Yu{PxraV7RvvYYeX(xy7tXEw>1loJ7?u1Kb>iwE!2M5uLZ(<0*2`Z>@3$JP7xOy zA-KMV;!D37*qI#Cjn9`wA9JyhwR)Z5=$jMcIXq;oaOv}HK8a&@%0o-VU{QQ|$#0hb z)*RCd#`twr`$?GJ>H2_{*<#H0V48=-mx8ixART5SY?({tuw*?@bVEooT$yQpGmJAy z-RFethhpgr)4KA5gEg0vTtOLp7X+&u7r>|KzN+YK10I=IRbAcJ*p<}3q~vIXtx1WK zYcp)TQ>;W_wVx2fi&MuCW|(O=8ufAb@*1Llw1Jfl-vB1rX=U77zmg8P_f62*tI(Mn zw6Fp?TG%w`;|Ar##*yAE-r&=d_6EmQs8Ljufa2j0rilM7e^3)H3KLL{*cs||(s^{4 zqFcloy`E$}MSga++eB7j2{x%?pLZg4z6;dJV6KuFe4dw+=<{zoo?FF!%d$0%^B5tC>@+wQcyGp6wo`PYIWYavuBYz~-RL$! zVqYX%H5n{OAbItcyIp_FRkn$Z;f{drmK#b_P4XyLjA_&#u+a%tJ~#qyl$GTDV)^Puqqo-$v$sN4>Pv&S zj%pNU7)f{2)CA??8GR3Niul8CVWV0*af+1Zn)wtDYMw(W41P&GhwxQ;FG&bJTlMwm zt3HQpeO5O?+u!4j2IuR(fE6ymF#WL{4mC;xynzMyZoY%!8i>F}6IXP(cKADoLf-oW z?5~kWpFbR8ZH=ILH$4EIBkmDq@LK3M15%RI#cB^i12~V7IP(M$Ch6k^yKZJ>3>_() zm&%;l3YJY9T1^m-R4J9_8F6yE(T}m*#8bAF-XqUVj1~`Jx3BQUla__p(v2dKmu!e~ ziw(4m=o$~fdOaL41#&X0`G`8=Ddpug!wknrjc5)tV5HGBd-)jX7qhzISeD`&m2NXq z^M8iDV>R#nFtbFB(~rRZE*&rK(xu6`OT{%_D>ax~YV|0N+qLuB_mW4nd4KiI8Q_IOu#fk+q^FN`J-nU$_2mSeM)lne>R|%UpVg24PDcY zg&5+|L>jwB-!}A+Lr|VgepBZ-^9%P(ap6vKc;Paq7TIo!AJYrh{>VHB)7b*kaf6v; z6?VGS#}}$LtnpF5DejS3&FA9+!O9Rrh7x!-*x+Q6K%fB;(k&q!A&J*;XQHz0W~KpE z=D&g^#9|+c!Ag<=*>m#~)rYp@DHm*de^NC=7W@_ClJ-;ht43Vtw!Spw_{9a=<#++c z_BU*g8-yTC_d|Pd#Sef3W9T8WF|<(0DawtHNx~KoU;wW>3}Sc@pEgFY16dro;2tlL zm?*m|J<)#VccmF1<$LY!C@H}}&Yn|WEiWHqWol;N1IES)tNSv{{3xt}8;qbl8hpv?HyA-gAfhSINeb|HB1TX{4MtE-HVq_bDs)l}Iw67tHPnCvQ9F56Fs17LBs0mJ zTv;~q*YvXE2Q6b`n5}>1OryPWi0GGOtP(PC5ywoD1xee)##`Gf6M;QwoXZ1ixL!FC z5fjq`T*5)gaE7T!D;IMXZp+x4vAkZGAt!Q3Mh0LsljLP!6jq$R5$i!$46Y}MCZmGv zV&{Uv>*5U7_n#oa;0@@qzMm9`&0UL3z33C~e`!8wYe!V03;9KfnY805_dG+hDGDMF3m zSUwCmi0}DO=n6h^qAL*F%LyV0l)`IJQq2hFeR47cD2)O+C%ZtRK#mF)h=K*p|=$wEyCU=Nm z8z;}wNx_2>VPODrTKZHtp!$JJ5zk^8%L_=l9G0{ zDyJm?na7W#mi;iM`>meoLP9zWVbU<&?*f+m3bTu1ASp((bjAfI;d)%D(;A4B>~<*SEPldlt)7-&U*d`d6xyW$P?Jsa>H#SN5K%Stcx?S9U*r6{Aj$h z%;~bL81hdJ_&s9p;E|Koj~ceOYnjDmiQM!C7)u`KUSzxt zl;Lv3J9&jUf5%&WrT$h2nFPP7xz#HJ#C#?i#06>K@GX9=@U}`Pyy42PcDTPA3;26YvY;XMyyaub6L z4?VI|=(z@845`8?&C|I})6;h@J{gP{NeN^46CHi6WepfQ@pH<-B55D?MY^nxT$8kzNy?{ zSZ{`&-vDBD6X{w=k92(t`k0<93BZZ-@H^1+>&cB8r1ktBT5OW+Je-rA=fW6{#V4p~ zJ;8hMhoJ3Glk5tUUsPn`MlDr=V(`nS6yto-L+Q+!-I(n;A7qYh5=}|aN0@*AK>L9| zRJBhF8w1f!Xb^!BhJv0YhbxI>mzLoN{9x6qDt>**CC@DZp&AD&zYm3Bl6L=ojZQHl z+`E~P7DL7UbgE(8DoB1lRn<#O9lH%$hDN>LLNPL67KkRPi`B3JT&wLUn6d#F7lI@?DgDb@Wp zY>Zx#y=$ygT}U<<*@jE7-^t5)sgRDV97@OfH*^xTD$8I7OI_dRz7#i-%>lvOmM8f> z4;B^$Q}YY-k`3L#-t0{LSI8KZu<~n8uq5@jSou}1UkMiZ5D)4Vv+ETgu7FN4`%l0w z^b9!w3{QIYFb(}7_}YV<0h6P){)HOY1-9}GoogYVT2@g}GOub?#CtfvAgVX?SidmD zc%c#c$jVBNr~ilpohtHd3;>alq2^gSqSyYu2k}`t;{GQEh4{bb{&)ZF)4*CPgQ)2VgV*Zu_ zUjc(;Qrc3)6fUjzbsmsXtu0*YFf%s+DfzTmk?PP1X0CP4*-yR2(EHC8b7Y7s0okRCa_SZ7k?w7Kg$^)}T zuL1M{sMVGn$e|kiRm5lv>kv5h*kEn_`E~pjvrCa{{uU#W7$j9z<1LaI^OTdQ=#eZu z+Mm%&8g1*JqljR1)|OmdFN({(PFpt-frgbe4Q6+MXiK{fCE>#MDT0AQF;B3Ypo1VK z=)mYxMxZ^<^&@*j?6DnCDsH855N^DYfC9DF7fN!@O zeG0C^h}PRmf0iczU8Q@BW4M9v^1)T{k}i9h&p-9lL!w#LQ9lV63(<5@Ph2bjPLy1T z#{5KxLRvsqSf-pQGw!n8#X?Kf8n?)se?P5qTKUkf-UQclD|(9Eja}0!_eGf3_RS?F zuf*6}NDXdlOL``)5J#zjgEJC$Ju!NXIe`Uf2y}r7r!W!dmw2}6o_AvQQFZng;Mqcd zzDCB`OB^%cyXY8>FeCE&6-OabT7q^+4ATe9l#W%jjn(yD7zQ}{TQt$G>% zEd_OJuj50{>i7^U<(nE-j;S1)wbiK{z(|Ka?b-y@ZLKkW_0Ma&<6wL-JHP~PA=u3+ zNoF?%1$mAUVdDuwrrwi2D&@%-iJTK{TS9Y#Txl7QsOzsl=&NidDMHnqoewLHF zHJW1M2VbVy!T&REc&;+pL6$Yu(>9sjF2U^7X`Ku{9#Y^>5n`yc)rJ_Nf2_~RQz*>k zc*kcptj}H{XB-xhD#oVl#2Vw}>|pEsaGEinj~frlJh=y@`5frv1omJz(hz zF`-H2!=q8PQFx^Nh(}36VOtn1U^2UMsIdy!!^xxf2AU*KYHQ{cW6E#ZwbjaH_v_>` zbg((Otuw$K{Srz?j8;=*XlM;>- zjAT6?5=()h5c>lrHem<6+d6w?86P5rcN|PjblTPZ5&slS!6rbFvdr+vu(>)(}zR$7L819(}Y| zZR0GE?2v&JivANjIWtO2aLBN70K@lRr?#XJBt3t$-_Fi3CxoPREkZiJfFaCkPDb#sr^B zvySILCkPDb#sp971Pj1uR1M*7_-s=#jCdfV>};YyGhL{Yb5T$rnV^GhOo;xMnsu?59uj`mU78r`b{ z&tU*HQY}bsj-_IDENMOe;MS-DX>slQD1zNx(I-?S-+q*olch%(Va{U>Ms~IvuEPgIo1wK3z4p*{%AonAArX zn8#MP8m>I4^$@i@BL5ibtVI4XeD0mmmV_m8k}%eFCGECRt>rQ=yIf@=u18g?rCyKt z3_a7ec&gR;yAn^0(Opip-Uqqa^gloF#>g25BMkwu9(DV$4w4Q;82Ug@ayKv7LfYf9 z8?=v}G%&7*P3&8-ZkYkGlS`oe zbKRap8vS01$HVP8q|w%8*u2|wNK+(S4$b#;dk$$A<^X8^e7EP2wjWnO^S#|35n@)m zE1~@h-4YgULk2?g7r7-Y2hL-C-4YgU)31W&`?)172h6v>Tf(C4N1Oq>A*>t+y!R5f zghktstD*Tz-4YgUv95vUFL%4?4v2T4+j-E|Do(*}=7DQfqxsP;{q<)QV{rl8Q zg(vg>2T)4`1QY-O00;nka<5dwrxdJKKL7x8rU3v|0000+b#rNNZb5T%WpqwqZDm_Q zV{~bDWnC{qa%FIHE<$W?Vo+gnbaO94QbkZMVKp>0Gc+_YEo3xiW-T;kHexMdVKreb zHeqEoF)%PTWH>WnE^}>S&0Pt+P1X0m(?dK;X;izP1gx!V}_KDKdsTxr4!1=jTv3D zLDwx)ii+B|Xy2l!MZ30b+q7+Tbl;jg^_eibQO}aI8?|oRsC99t)*U;wZPTczRZ&q5 z2Vm2KYRvfN!Fj(vyY#>sk3RPHJ0DJZuS?ynO2(f)ytHIU>A1qpTmEEds9Bf6u3tAZ zXv~naEB_sz3Tb_X>aSbAG-|@>W4&L(kfv`Yw+!{`7K|TWI%<^mTNpA5XbRuY3@sU4 zT3A4+sQeP`r?4gsY4!D5TRzpPQ@>u_u6_F+dw912-H+?jw^z@)HA>3L#*G-1^MhNd zDM0QH!%9b&jvFy}Kv~JK0nV2P3@II&A;``EjO?iYTB8hY3q#FhD*~9P9Ng8{=Buwm z3n=8Puj{OS3l7JO(c`cleV4%2uU9a3RLSVlx;4j77zg)Un`j=+KUB+6jJm zYlXlXF`s2?!&z>_&$2!(U|W8c+l8JbAsj0XJ-dtGaVEe=wKI42MuWfyK*|%EtC%aL*K0{HR@6ulLu1+kWK|9wnC3R2Z$M1#K|@m=4VmU*8UQqKe^)$r zPx?kP_2WBX&3k#~W^^<6-tzA?TtpS_Lw|D^?yal?YhQv@v*v`+r;Q$S)@UgQY~vnl zHFCgyitOsg%mHl-9I!vH1 z+Ezx1MT&p{pp6apU|KN^py#&W0PkEa74O5SxE=k?5fh(e9WHLqso1mvd>4w~Kkm-O zJlKK0(NO_tikN_V~<<-c=pI-_X=3*KEU>{wubDsn;5;3MX z1Z&hdvvgcp=|u0>c2cko4E-8$U11h1QEPnJxRMdWhL_bX7(8LznMR(d&H(EgV1e0n9Cmc`1PG$Sea9417 zRrXYm$__Ez4pAHn{_Byj5LlQ708spGK=|IdTDEP+*cMEzR3`-Ip)$5TO#S%bG#rr- zi#@)ABk6AsPwLWm$Vtnx4#1;iD}cD@NyE`J^pdZD3*O#r1%P^tcLjR3Z>@gpSR1x4 zH&T}Kr;`11+1?edMtt;1xE362sxAqgC^yW-w7xX7bZN1+f36-2P1VCSis()iI1nDUqY)A!i~!Qj4GgBPVi7g!Pqe)Mwdxtd&u{FL?IRLWX?HKw)({T zi7qN(J;o{C+Zx3CNeL$2Po@Q&l3?Qf)I^xkI)J|0JRvYzhYh7a&z9F%f9Rd}{`Gpc z)rO`u&Y!*9te{OXnh+h(O0nEP8cGskInK?mL5x}fa4@G9>BWr;R+qc~oxiN;^|uCX%I4zIJw_uw;B1Vd;dB~C+u(8xeFJ;|D7}Eo z?h;(~X1E;7a0ysv$Z*+9{n)rDxXhYxnGMFJNcfgl3Tp%Y#wT27ql|_L39X{k&xM%> z0B6#0mUga4sf4d{B0)Sm;krltaL(sQ&bfcWXB;`wa9!4cb*}83yC|NSWOL4bpU6F5 z(K!p1CV2~v?y5eed7g`SI45x`&2|Q*IXS_U<`i1M)C5zS=M(w@l_mslw}ge#tm!Dt z@_Z>xnEMyd04l!_eB_m3hPfBfH!e=N-ct$qVw!|6j!gIzuHp;8x zx+GgAU2+*M1iswmG)zxe=#new8#5Gup}^%#2`;Z>xP+GeXZGx73+FA*IJpZcw*Lpd_{iApMTrF$T z4KDCkUZy{9D&WSQ!)M09Uq{3BHfMeVedET2>v@j`)}~6%d@MWjo7kBH)*RWHgYh8( zZl+%dwlJGVRNyLTqsIKx?3!6L~D2C)?^W-9=!yJRc4JK;tJU;tm3 z(Ah+WxtIn3z~mC(-e*r4l_;j)V?%RU1I-1;hFy&mLUTUgQoD)VaL0V9KD+5&7s2rQ zn0AG+D){E+#u$Ea^!Ap`AyqxeE z^lAlw^-4k#J2%LEfoR8?ch$13Rwbcgual4<M}YOahq4TucA<;b!ar=3y<>M~UDE}6 zMI9#{+qR94Z9D1Mwr$(CZQC|Fw(aEPx!-X}S~;#c8rP2e&CB)sXz6gw>7d(M8{ScZ`}@y3ILI6;ilWha<~tmVVuZ}_jk zZEZ(ED?|*FL(G6u;Qfp=E^#T7#PqP`GZkffZx&s$q4ttw_;#HIO{4n7|xUd5u9gVXGrY+SC zwn}G!;n3#0-*?dgmfq#bP+ImEdGYROOIGCx-C(?&qbb?8GpUkqtaF|$Y=Q1cWbrJBc0QZNJ5R%pUS?td>r{2trBYR zlyfmGLP?jexP`N%s4go3DfZNqz1ZM|0Y?(rH4;w|Z;gSXrB(z1(-f!Db;&+LZjWC(zx02388u>uJ*}0xz77#CZpJF^h*Agsaw~ zY!0db)R^+g{cc`{q%>}mnDIYNWGi1N$HJdw-t=Q7AOXuuDiQ#-j$l-O6734nEO}h~ zbS^3}M+M&%gsg^wP??U0W4SpuoGP?23BIpKac$zI+60~?#8!fEK%^U)SaW+Es~r-o z!vhz?U_wIm36E_j4*ANTp^IuoW(vsUuZj(-B1DM!Y{nD&3CdCLv=- z?7kD%=junHFboETGITtx?_MFh_qYWKDyhR9h?>hN=z7+}zL--#?>fm4fkbv@bLh`s zk7@ybLhH@Y4W;YTWTKdkQIX>W@83Bu@rRL-3W~!S%Mw1~(Qx?6Y?m zJ)C-f)?o-^7}LTd8eyTla_bQRl$5yEX~bKPzx3vLh@faj&f4DFFCh$c*ZO7Qy&Io+ z>D~jg>5j?skH3CjzW&9GU0*>tcnS^N{4&P&kL@O8>(wA2Vyiut(C8~*uAILwjobX` zqQSR+7l_-~mmj6sdvs-QOQN!WXSBAzZ>mg)*VGQ#?3ZLAjNd?NG$B?Zyj!Cy>yZ2J z&i9Sff^9qKh-5&7D*j_83=}M9(OG050S0Y@KrXx3=2+G}Usr-$=8eWy_GUgZ*aD2= z(|N(MBpAnwsiIrk-kHa(cnnwcHv82m@Gfgtu(eqJiecR=2Z7bMiVbq4K4O%dov^F( zr+EVl0`XvxSM=PIx2(gDVNw`#6#6H6+yedjh`?s(+}tBc)ln3wrtE0;S@wHmY}5_c z5&`d}!UoJ7Y6}9QvFh3m3qU06r;~N6K=srLf}z>O%yiBK1t3xh*Tq=7`5=qV^R_~Y@7t%UsPSDH}0y@l-TGZXMD z3~aw@|0QFXou77$9uM&TA{nZq$iDf2(*)A%qSEa1c-VS2<2$(FbR};! zQvFM=dI4|N*RuRfT^zFZ-h+BGc{BF1e*1`rfvRrPL^c_>sd>1rVg5*7)FJ$PbnR)! z9kvH=%mdiT$y*-KePA&xG{86}o@!z6xyL&;d;6x}0Iy{|UghPZ;W>;4@de&mHGwlv zDC@0NGoSdwmG)rvCS+Xs@~_O-cu@{OYEZ(W2rNc+Y z!n<^|OvC{O)CdluAxH?f`Nt2rod&EzmBt;Y##aeGVVX4`>=s$+cPh+(7yERe zW!4gw@9hP2)VgR>r_fWir_$5y?kV}0F_rRWCFx-uLR5g118;9ZN6-=!*=u`Tff)}_ z2}zWefS?TF{9kmDq1fUn_sb1O;m6S{NS3k^3loL1ovlr4P?yRTRS$4PT>-^K#u!Ko5CM7u0KjZt#~h7QiK|CCh3@In3PaZ zYNDpn2}EsX0xts^4pIpPz|u%av_-dep?dii>AwKv zlIqZL*OL15^Q6|Y?wWJOyNm_ljbZxZ2Bvr;^RuFKCXWvM$$U7umB4U9jcX}$M}3j3 zKw%3zUaCF6%Bw)W#llw3g|>`C4?5Yfv(6d9~?O01_^8>-twEWap09cim8u0y~ntGq2YHMXAd zs~dBa#M9RhWax0%DjR_Zkj5p>i-uD$Y>drvq1_6M+&b&2L)3$;2|2W_eYw_o z-@q;la@I#|E4*In6Lfg>#%DE;yncT9nr8gLB;Mf$Aqtqq69~?UI0`F$fN}P)Fp4Y$ z_K+bCAp0uGsel8Z(Dzb?tgPZOt~Zmi5+Ye^1NCJseiULqjJq7qmSkA1V!LKn0X*SZ(Fih1R3?o^e^qK4j*<#EE)G|*jyews zbjRXcSx+in4F{%fMG-E%Pqx?%7g}g&(H_$uT~b6mQJy1X-lN3k$X00bft(fjB)pS- z)4#n1yps7g#V)84Z+Pm*TJg$bFuMrVO-)EQx3Tgfn`a236@+7+bTSpmkH*>B%KV0# zmS8qO}DE7j`fRf zmF*9aO!HHW7<22@AdNuk1r^=~q$W2hwhK`wxFtJUsa@=QUu>of8ZnPDc)3yfPLfga zy7T1+8dvUO`ox4hN{mwR+-8$~ZN4s@^MG45kY^MGikLEY=Mn%)_zr z#gaKnLS&6cb%cP>$SS_2GBb-sVPru<`#d!LJhK zx9=9BB^o0Di>wzjn4kSF43%k1S&S|p9f)VfmJoIvZF<)1N1#@{d z_i5>W=vu>p_L3HG3^Zo$W;BeF63 zV>6eX)lB?wdYLF3j9Gf243>*Asw8C24PwJX#M0|7Dq_C!;|kk?*bVNCORuxzu)5?C zSbb-p} zs$ac1`IW8p`BGv#i_xQFHj`|AL_P2rG=GrFy~R)GL8MVWm_fRN0Y#eQnJUj%Xc(P` zbJt~?63o4CM2BtmUkM=i-Mx$shSR!by;R3NW>D_LpCC+N_us zqOE&v+y?1QQvH@JC-WK7jMj3iw7At!I9biPD~l2*x47W8Tm)NEz=K5EJ>+>IhV1PM zPG}uv8e;8PF^`mb42Ua?9olrFW(i0d;%7l~p7e6b-;GA$BjOi?O#r!9ts;V=^+;;` zu{^K}2%X}YTX9VNYjaFLQ#XW%7I#CyUfRgs5yrX75pFiaKNaO96X!ynYatK~=_~+3 zS3@ACjQ(-VW;=qa4->_P^G`Xt3A!X$jE$utz}j4eimpB{G&<6&dJ~3Go>ZM3=d%3d zWUTdc^g(UZTB}g*5%mh&L1^4(KHoyYWrX0Gmi!G$avwR}dNFiNTz{(Nw3#al&Ydg| zX?`~G%6s{TFJU48Zln!N(YLp%=Q4XA6otFP1|(*Z@pw!#zHsnrJ-_<9SXi$>xJ0w? z@}FYH_gm>0tX7D#&Vh-roMRdX=UrNkZ6+~4yy!S5SxOvvJ?4F@Wr^wrj1k+Oz&8l) zIFqzMD!cS!Ei3{K)-_00o}vj?JX>xK^EF>=MYcr8npXCcEtc74aT!q71<1^sU%MMk z8fygv%OP`#Y=*1;D=fT;OG}wGI7Q6^FFZHY#R}*qE-CPxDB`WYel^A%F*k}uyxUb7 z*!d-&YE1(#st}s}UC*`~szRBMI8n@KZ1q{;vK$(+90IJej)xBz(2eE_FVr|U?md}l z16Tj0<*fSnsoF}UA*piNa{2u!nU8y=^<65PcVYN=0czBGSn5Y5EtzyH%zBNv7ctUa zjR9Hq(;1osk!oeb)@%HrY~O*{htayI}u4cEx-vqOt#aTZlw5 z1thBfrXrIVgi<&zY1Ka@E`A0&H%wCliu2p7jZ~apSMn}U$ zFd!L76kJ5JC*4CC0yz52YFA5QPeeWyZW@=1C#O$POe{JajE;Q%`g?{f{xwh#7*mO4 zU&ddOAd+*f10`p8a>U`!8`U^xTrw9a57mp9`E`ou$Cf_pSx0r_%FCq}6=%l8E`GuX zb$tAlNE`C+zm(%0JtFJiW6qYJ5He-DpRY=*6F)RnGN-z3JV`M%uiex5%c@rvlV68p#OdjFyG; zM7y#=R{)kdIR2sl*i%4utkg5;0ju+cv$?<1>#{{Fa-;^3tv;sc(d2<%4t(#}ooS(9 zrHt}`vSZ=ZjwdENN0d_!{mHXE{;gx_l)9R}r@EDny40ASw*6>NuY~JNod0yBa*B&+ z6wc;85ii++cG41A-IO&2`pLseynvUB7$w%#KUa<9ft?X3#scA)Q-z^b9;UnqNT%P9 zNPdk1tDeEu(#D{JrB#c$ue6G<=hzcO__wOYe_JDi;`r6zUrgryEr#9F6=$l>2a;R% zy{btTn{Aqu?+(T|_jRh8v{1Dv)tX^g(688xnbPKV5@NB5fql^?gNo9pDdJj-Za9C?b2IZLlu5s zu9qhhm^|S3_GF%~$AX`7=}Dg#-siLeW^xe?g-GiGOfP8F%b-l?q5D zxRJmYR!~yN{M~%7W&Pk7jcSqKfb8Z~m_vro>{^k=^R=~^9vFtKcF?yFEv#p%ZBX!T z%xnpYS%j43&Z{@r4!D>TLcLqilti#)ue(z41+9c_b-3?^Jzc%3TWr^O#Kp9-;FCdM z#fTZ7$(1f&)*)ix=k)L3#Y1hACinf6cb!Sj+U(deen)H(T}x^MCk;i?-xLI~<-U<4 zi_$m?351`h^9tPTfB)r^Oesnvy2NNEihA>JZiR{`$~sZZ94N}dq8`dFWX~yoV!S<6 zMmc*|qHEPs7=fTBszdy1N!+=_Y1xTdy(RqiM}r5eEQson9&pE! zgl0rjfR<|xIzfc|=aRa!6%DD=>#k~SHYdxO_@D#2rgD{JRjqzL-aM_dwyaLRVHmX9 zJRgKBX&ZiWN2C3(L~w`+%oK8)y{bZ&$tYqD2H^X*4M=IX!i$NLS?ZgQ7>)7{r9Rj^ zTd!?LB`1(r@WodWJ|bJsmU5~5devvqjML&s*?ZYlqr(Qi(e)`z5E zj5XYap4xstHVz5LER(UPWkz@AT2&klIn&A*7vOHe~+i$y$)Z~z#&C5f& z;G#V@wzLPV7RGqmu&|YsWR&mEb+&(3M#y_RJlA z#8#Ytd&q;@lysA-ipq-le+ptR@0n3!Ta3s8@@7#}fis49hw!!slK{v&)C)!( z>gu4?-Lq0M zK7t4o@dNIg(6(NWZTlw3V*5vFE|rtMs)mu9goEtM&+dk>b@w*RgT4i4W2>WtxNAzD~*Wj+2j_NWv4irOJQM z#DbO=lIF(Ue$Yfd_l0?BdrkG~aH2AA7;;)BdE^2T?VV?L$2@RF_fST$MENi8#tnal z4aMYUv6xBW{d25&AS70nV6FMGDEt|_lV_c}w}!t}Kl9oewRI3z;-1vfz6w=MDT&0(|fSTnn09aqkX-+Z9e)aoRYy zOYa#mlWTEl?KluZrh(1Z98?ml`YyK16X(eM2a$RNgXVLh4z4{ECSPrHp6@w!PxPPTIu zQ5`q=pPuO3;%!{i1g0snkG2p4!RT#5sU|97`@3NYi%V@Ha{H0m*>}FVz&~<3d-H{4 z1N^p6w(I1;!-RT#h>r?i2hfT@MwhRtlj#CepxMi*Ldv|Y z;;fhh@wezBF1Rw8?!opA(K@C8Xv7ivw`V74-)E{ydzRaf?~*Pldan2_CQ7oMfQTxB z>3EuAIeNGg&|rE^`YbuRT%@ahtX``yh60)JO?bo7If?_;s5kMXS!e$}ZJRn;;%Ox1pTD3zU{q^f@Z$xW_+-C=2g8GSUX!R+!x6L2T(a>q|ev zifyFoXVJONSpD{YQo}ntT>*_A zBO-ONEL{9RhH|1scxW|Mq^vNrUGuCM6LP_k#2kwp8_Ou+pB&ADMhm5~BeerGLr@y# z4~^XNn0I9;5uAn!aAB~hoy0m}8>C?~%ccko9s}y{j5CtJP>hV&w%AwK^<#%p$(YgR zs)71*XLX7wVf#f+-N(9!>)csSa=v1ye7mNaXhZt6Kd8~L*uht7pZD~a0dY%0Ne?)Po zckXOSKhLJMaCJ^c1qrg|H63JrCYhfiXVuJcp=8N@%V;W1YA4yrNB-KxGq_qpJut=uS&l*&AY`ME?$Vu1Zy#lKK6+|#WF$ZOp7^A>&0NLqpPpi# zoKib@*%n~3E&`Hc^=mp7S9=FP;@BSMHtB8GHXaWc<+D~B{)lXroBa^snbP`g7;U3a zi@M%=M5)R{R|VJA8+Fna5bMvjdQ~VJ!H8;x&v!xt)m!sFbbbxPxiD~P^|nirwrr5U z?_K?%Q#M1K&bEz{Au&dow#1$xDQA%gf%XF6b0kKJ5%u> z*=7Pvc5=5a!y`2DdP>dEo9Gubb{+D!M% zDFeOnJsnl|&!0YePUDbYtVFQa;#qqqZkcNb?J>fRlh9;>omE%EU98KV;b;c6;$|-x3x-o zodxRBzH(}WM4qrmq4G@7Cu#J@!}q9W(Kb2I(4lTAkQ(ZRH@hD_Jl_5b$Q)n>=v(*0u@m~c`XUck`qO8bukW^-7i&MkkS zzoL-{&Vb%tKS3=w5Pof)RH>#)%fdvSSaN6m470q~5IS@s*HCanTOD)Y|9Iy<)^%ru zce3O7co)F`PH#5WH8lHet~}l0Dt~)jW_MrnXkhm5tX%fh0WbV_ar<}F%O<$%FDaP) zGDvp5!5$C#FR!p$I=wFH2CrWf=iew)sCEoUal-y#i_n{`Z-31nE%FC5$E6ucENv&p zY}0GKZm%#b*N-r?Z&S-86Bx7k;cEO5J%da{)I;N&R{RZj#f&B@QS!#UZikKDU8qVW zl{gRxp+%Y0A((W=1ahw)@zq~`r35uvyg+l*-%Lr#!KJIBwrfUQN;gqX^tU8Za}yb> zt6jtt1dR&R2<{~Xb$2HhSJc{9JTSTwPnStnG&=(q=h{^gfa-pRixckfFa#$5wC^=b zD?!rYWD@e70?Y{S&X<{bqEj$|mbnGE;7Z$U21rTU!4S5qIa* zmFD*b5VTMNz>OPEhuhPz(68L`Y4yDD2R@k}4e&(5Ob)=GyI1@py@(+9@#cILPvAbh zsPnc2F@{+gGazbt<6fCvsUfcA{$k`9J37(-hb&jFlbgt9)V|UY`SBa|SlsJsJuloL zy@}z|g`4`Ej8xfxEKh{Ok)8whOx#|9E}3ZDZ;68i*A`>lk0d&tFCU4RnP|-z%@N{G zWxUrrvtA}W{m!pNENuL}Z3Fc0CxZ`YHQkAW?Wl387t-(zyd+_vVPn>j5(Xs!gtHrC z)Yum*5mifrR4&yrYVuqp{5H=ZPLb09&Kzm}`H~ie^KIcbq|EsWZpj%rw#Phrwf$jXn*5*-R=lc`7c!~&N*loiq8DaZAfUn*$vz?DoLz?C#T zNZypyR!-{Q15cd_S%*ogio}eW;#Xjk?=8Fnx4mmyltu+z^VGS5s?r`p!gOt>R_5zb z)QkIo)Bw5#?nTe+47GMkk|@Scu?DKh|0s%3b3I*7tp!g`8%j@rt^6RhveLQ=?n(cUkya2 zE&v^_W-sRa&iB`(oU^V*bu0y;{>SN&psLTxupw+oP<*DhffZgvb*@JhUWAg7Lra;= z%Op|9%;S5cRCBN)6L0`@EpV?5lSP8~hl}x+DJl%FcU`==fmVfgZyJHUb8}+1{M26Y zSzHl5#0Ay9wKAVT$;7L05#^skJ2%mEW6tz`0C2ySqZvX!dp<$e1e4@!&qyd#CX;Y|IyfsH#VaL;&NMIc2rK8qgqcxCUrk_r zeJmtfkW)5;!WcqG;kVv_E5`Y6tvK%j^1|zm8#*-YmyiT+1K~H_!7A{o1Xb?D3pSgr zknVo+HQ1)X#3Ak3%V zC@D*FzN&zshJoaw{H6m?_m4%KEGzo;ltX{SKf_9b6ku?OU%dq z8ZdmMw1=NcgeRPzmM~49MtIdT1(qQT@rRM-OtU_cyuiLT&;jV7OibVE9D+4A-2hW6 ze%~!2ORG2`OdAdoT|#TY)?ov82L#G;lrwrB0w<@`P2Ns-O2t-Lq`wVK-afGRNLtmXWc&zC7;SgaAfYFg9Gk1VBA(7 zda+)UHs5QQ?~D$7a4;xW#idZMF7a-dn(FcPB9kC}>8U5So!F*9hY5FSd0Yp{PHqvm z6IjxcH{$JP3S70mbH3Pz8e_*Gy#KpNZ4OT(Sx+}dKStHBN$)_p|0_=)sBk2 zL!s1S;cBeE>jtlI8P06a`!Y38>+lmZ4{Xl~{5H+k6_Y9?{o9uI)_X$smbLefmh~mA zaq4|79zFiRS~z-?k&$|+gFXLpIJc5-O~MtkH<#YqSLtH4%(u)Fta=%iGbA|7&;x!# zQx7HNIV6a~{eqj8jHDx|fLpjb+|8sD@9x!^+MOV(d{M}bHlPF#&7g}>@?0ad?tUoQ z2faV!$F+E0uMxzO`yTWNR{7uwq%)~MLKo;ROmWF<5An2+U%jsN-DT>5l_qE0i_sVP za5o+VQISncd1#J@5f!aX=xWJJg4bhn!s~rn9h={fQ^)lxO$jvK8l@ae0KGP8d<|W% z7Ltmz)Pwj{sly10SL$8q0?Nkh)754)c9#bs((qvoHL-2%ICl5nw>-)EHWSGxwdfAZ z6(0fR@Vll`8xfKB_{XhvjYs7WxAF^nU=h)IUbG_l&Av(KS`a_uk0=cenS$gK#~DG` zdy-n|Apap5bdzJJf~4qRWpcQcrh3Oweg^T|A0yiN40IVxpQfUC_lA;teegG=1u)K+*6y3Si+;eIla8Gag~jptg1pR#LC56*!J zVc9kvSHzrg31)oIhq}2avT>_UKJ=8L0@;-OK$VI{ed-UQqTrs?27G?B`)mKH4wv)a z&21o`DD?j46X*pH zK{vi;h@8ee%q;j9eyfMWiLALAg`akjcq&ui^QOdYFq3}zwJ!t|ew)TTlvL#m6#Z;$ z*qEpKtde}Wqx~GAyh_7ndls?+)ld9#queb3hs(UU^9(r^pJ5mHjwH|AwTMF!-KvFe zWxr;!7=4G)NzO>Zu*cc~q2-Y_pSdaoA6ZIZ{s#s%GZYYJ2gjj zRWQo#T~PKj>1A~au;GAnagdFYv!`HzEjnYDRd9&(5r;{hY@~bPHY#iP2y;%mNo3Q6 zZjj&T-avPR-!KoqXbarHq@vT*Y50K%eQc!PUdu=N09n*el4uob^;5{J5&zK^?(DsE zfF^W4h-I-=5{ane%zy)URm3T}{s1g${y%^@GBojdcvv8Zw|?;a5hJHn&7KESc~+(U z9$C9M*p0mISBDhNz3Ru$b45T4Z?q`%ID#08Ajyumv8a0-tge^KEDqcoPYu%7^dTv) zT?-Q@KN?faC*76_Hnz-;7vxQ`z01p<5JR6N$dSak3!@^b9Zz9Qp|E!)wYE1kkc9JC zX7_P^KD8NNXp00M2*)lpX-oh{$Kn`e96#tMC>*o2T(oV=4_?_T{`HNLMZ$vqRQZIv zjHM$6yU4O4&OPCRUX%n?AQh9(TJQvsfFbeJXx7Rby(Cwzr4lsQ$BMf59QD#y{r?@3+nyJ5A0 zsi29g?Jcce6#huBMYL955}Q!yQ`PSjw0v!65d4#> zW+J5DztbCtQF(#Ypk{Uq-#a!AwV8hVT~-f#2EV_#uiOD|+L{*67#PYpFb?I)7FmD} z!e6?XZ~M^P1rqdib9rXjKIFx^JO^v@;01DG=iW{CQ_=EwXi@Mo9pOvblR@uEuV(qk+n)`Z zR(-IOntYJA^m;#_$t@>+%N-XdtJj=*;72i$nPoWAoCVW5mJ5RgHsatk`Ri8+(ngQ0 zVzhk)CmaH@|8!Y-I{Rp{6&0wC!yx>(OlTC+>r>M%tJCeurF?;h=4zp-d+8y&+GR$O z$KyE+?-8tGp$HK`W{tqqK({w3GnQ~80*re>jr8S-$CufY?b5A3tvp zg-un5s+9BJSS1ov5-ufH{G)$1M;?3O(B_pthg{1adl_u{53Psav}-Ln{zHy-N;GE4 zd9JdCvv>Nw0e)WZZufDG!)l4S4p|6^#8seUF*faTT;b(8Hx5orO#1lq|26Wjn7SfU zL;wSp`+oobH}dNnuoxLIGttxNGZ{1f82K3uXxN#I^l6M(>FJFa84Q`244MCDC-boSry6YPyQMp4Kf`tX9hR4!yvgaTxc; zyFuKS)3@hBdKMGDp))^pUlxl8?0-F;a5VZ1E%SsN!eB7dYqg(_(XOpIRV-JfYd6VU zuCrLET5j=bHE-4Wp=dhc$k&NnhO?M!s}y`0HCd=kR%d77)C01&iJ9%b_l~2hbXVCM zEr(1xx-lPx3-nL5L;n3MFJ6nbXjBL=5|cQ*=Vs{tXwCzl9@^1)iLBruk8$EKk;zIQF|QQy~TCxc)7La z-sTXK-31J~X6_re@K~b^Gut>Ds927~r|V55l9LzBoA4{PD7rh937n^`PoVDEv} zk4Bz*)@!ymd#GP91Qy8k@ls)B8E3n3;u-H4toqH^@O0%*#D|AyLF9~%7CMC$LSJ6! z%#*4H@27YE)L=mYA>Oy~XRuJr{6J01i}68g!nf;PjGqfLKSRV#T2+RN zsgGC2a+apk6i3)Qsbx3^Y4hsylcX|5`i79|&35@QreW#Hc-7ajeuMec1QHA@y0S!Dy z>s+iV{oz@K*ynFmk5-*CslsT}a=lvVdu}$5`$kWK6J}H?3`>ckVsbHnb?|_OqPH*r zhM|qHFo22pjwwM3eC-z}dC7cV8nf>mB~Ip{1h~mUN1D#b&YR10_jk&C#Qa2d!SxW#IYleYrK*@Cp>oI zLYvD^3MPY6p&1xyTUOYOC~h2>{!65^l2HH!lTSGbp&S7f2P2QOOVfV<;?LLp_^zy` zS9*&X(We)NdKIHU=O$8Qtc5`!w~0t8Khb!yk~$Kodc0_CuCSaDjk$RNT|!v7x>or~RP6GTIcb2LB$@U~;g;J}KdTF7=L4miFS zjk$#@U8wy0bNrE%qO6++t*1SVScjSl+ z^?tm*;2=$48LvjzZuSsyNhY0_+z=L1_(Ik5}5 zSmelrWc->BZf|^qq$*`4oy%nUt^B1^0s~rG{k(P%lHE2Fhi7qo-@n=AF}Dr3fJ%@f zq@Si~3PHr18@{fnC`fJIDa1AzIM_o{%&AAZn+@{o0f)P>WJ2gks7Q%!>Zk!w-^eC4;Y(s6mKKIWe< z#cdwq0o5Yg|9EHGbKyT%ZpiJa)x5l@H4*(G{9Eu?KD{`T#^oYFPI?%31kCsRO^riT0*Oq)R1rm>gTvlcgnr)+5=*nK9tGly-WZXl6th*@0T9o^^E!3Mo1 zP`2_-`lyMEi}RxVNNzCFi*;7o*Sg#dRcqq4OWdO(3z)rc!d`ti-BSdBj=c(-!_~7;I|m_ezlG0Dwbq@Y@oC; z4QL=eJH(Ls%({&Pc0SGN9y`P|nW1W#IDrzxNlu}g5Buw;D*Phd^ID87IP#|X#Cqf1 zLGp){YtO$9;0tUH6K9e!6kJNfI5ra35DnznPFD@8Vs6(a%Qp?@1;FUUEF zP%NH50IeKxEAZ(jYi?TIK74UJ+nhz)0_=#JlNvnO75m1`&)uWu1V|p6_c^G`zQ(tn zBl#HaM;ybny$qlFGZEy~kzKcFfV@RR^!q>iNZka{Y`BPTyIGFsd^=w zWL`LxgHWf(b8cWq^3mR>tVsY}`ZI&DTMG~=h zi?^8_y)@Fho6)`3T^fgHVKhIg+UOj4%lXTRK^fxC5L4X=R%97CN8ga95GZyylA-?J zjd~sfE!Sfih~&Q(^8poAXtlTKNW}3qX5c?P;!~Q7)OT+5QK$=8lAZ#Tkzjt^Qv~%c z$n_c$-;dLS7k{6xRTbksnTrzFe)hu)K!M*j|8_ad3h{sERL z|D6y_b;vcOr?c=@xtTJ{&pK4i^a-6(lCxT5%|tv=VIv*#U_x|qotL?7AM<1S@Y#5h z14#kOS#43zi8QjC1+vSMDfFxkXqHLc(QwPA7Ac2hcGh& z&YAE=Mr$)pfm#01tjQN?KXrz^gkJQvb*IQ%M>HqMfx2wcn)5!p)k^o|vUMi{iVfzR z9Z;=`>rFj8>oVYb-@-?-Rt*h0K3Q0{UmTa~{M<$pn+p$GsE_R8;-}B4scrV9x(oa+ zihk)t9MdOUbF?ZYQCeON46u4JrBvHW@GHjUCfiP9|tO615r{lwNPS9u3|qjRkd>WmQV zluD$_b#ZTtzM+?XXrCdMd2TP)&pfS|?14nVaRYsTvoiKvF1`uw=_c;rN{;?Z zfui473q&jV=w?|E>Pbd2%&8by1p|wgX3ohyoUlE#{%`hhXmvfs@+sELGerwYF-5;& z|LqLML!CVZ?nNPRB;6G^K${ZItTC_glrs;$N+J2dYJ4)rMC78@ z<27XA2ZdPI?N}_{(O#wTe`KZOOa{dGih!Ay`DF&f|+ z=0)*!FiB-vntLIeF+(?pD(RX~FiVbkPqGeH9)reo{Yi~|SeEw7b2$@)ckFQGpjNfu zgz+-fx3A1cyoSq%Od39KJPOauMP;yf-PeupWHyjtqx9Z*>`#UT)n#^Sj~wwab0(BvYwVJ6>Fz6)gXG zI}F<&%$}4q%41K|IdJSpHQgD4`+i7&F`q~v{ECm|#2F@vN{i)$qCAEtLgw$v6qn<8 z5GiJYT%;a3<81oB&-aH~6BDXoA8b3g3u$sQKFy=5=3*T9(s7$n{);?%__8M(Tb`zi zj!_D~i%mt=du*X zLX!5aJ#$4;%qoOrE`CI%K>aO8f|cW=Q=4DNzu!wHag{q}s91_t+0zf_T4a3;=FH}1 zXw50ylbRDuB!O<>H;Ck7?VW+TrlILy(0gal6PrQkiQ#~@j1xyB&YUhhgI1Ne&2orj z%)O!+BB6^!jMMT&DL4P+6q=gO`BC)Vxtv_j;xk>d(4I3`0K5gfsN!(@dh`N8ZbPoZ z!dtNEgTDUK&!OTG3IR^LbUS*u{XadTU@)!0 zo37lfVIQPefn>Nu?&Iiy3&Wb*W7HJ0@$`Y;#vr%wqT69pPmp zz`Ez|E_{lU+U_oJxC8>^e%i_LGwndP`wJe8q(Ee*mr7HtOp!}qo^w-@i#A2cVt1}w ze6Tm*;cip!*Qw030=mNZ{8jQ0eYQ5m4KwlWO7^>La^T28OaX74JoUmo7v+CfkTnkODR2x}8E@kEP6T}RX)x46^W1nL zqCynJz>ULf)v!rN<>tlLY{!x5w~dr%?fEXNPep_2{t|2vwn#4LC=K4mZtD#u0 zbd3RXG-X@cP=xoHL>G8c2?>|f4E-;;vT3=#uPkEE50ul~X?Dy8eoB(POKjJpRMi2C zXI0|sY%d4wu`h+=igwxCE^p9~cjj}8ax9&778Rq62>H~kk3>>fOX}kNkE|BKw18^d zpM`r8Z#;pp7K@8S(K|QZ++g(%_!*JePNzNEoglRT+i!im=T%oGS`%cNox|1p0oIZ- zQ_q51Ol@dviFd2LG8B1f2m8r;Hl8NFk$^csxlEt!Uu~HmU3`Y!GdgE5bjC4kagunS zqBHPIs;S(`=zx{1jhsy#dK%_J#aj(t#|8?TOpV1Z-V#N?qW$6`zb7T(Il5q4BmDGn zbcz21Mkb^M+^w-qdZD1}Ty9sDN&wDH<>SAsUsoem=tvic*D5t?X^{ftfAptRjwRA2 zSdY}#(v*YdLU8aN2vA-1ijeVJ>Z2i`tD6PhB)7;5<=CQK={ii{z@h{S5X{yhGtZY4 z6AWeWr<{9LTbKar~bPp2k@PAIoad}=63Bygz+#D-J^>S;3t{7aO zMDLaBN`j7jY$AG-a8InKlZYq17D>9WXEiI_scsy^A%M7kFE328Tt~cN{#f7(PlWqG*l?eL2l{QoK)XwTDhf2IpSikue`%zh8$ns zqM+w+&t6l4a(xj!U&@B`GhwQs1oY68i{0WStVf_K84(?s&*vmHRO z9SEP1S)+ROAKTLn^uZ(t^c~+4>HaO)bBYg??c*C5Gz0lw(ITZO6P+MrXF5~}_NTSE zXj+UG^A#x_7FYgigBG*fIPZ8~$;K5h{93f0G;>@TNH0Xu=f9F6VpK?+lz8QjXu1kSfD<8Lo6VDf zb6MJcT@U_MGsVM=OHZagb~Vw}W-8r3swdbBp6A4aRKmT{?0<>iG3sPqrI}69IZVae zd<5jAG>GzGZU4ikNN1d1wp{by)VgjbXkE7kxAN8o&)HWnCu>?=aFehUZ?MmnwHW}X z_>kImI@o+v8iJgY9qg*p-{BQs$iMsTNure%0*`qp{p80Kki}}rITM#q;CA)$Eb;S2 zJkF=-@}sG7hNroz&E~PeZFf2X#3QZvoH*SlBu??-a0oFfgdZF}rM4ZAaQ>9;xmOFB zFOX|2RNU~)m`dPoCaV3{UtK`m;x(^OMycbgojCl-%sL@zKiG2Lz}K4vJ; zq(UX0AcA(JQo*>QQZ2ef70HGFFy+(ZR*&^^a_sk?PxUIi;RHM}*X&e!2OXyIQZpvi z^W1M2e5%4oJbdOkii&0)GDFdN)o}M|cf-4l!IWE;yg|7WC7yT5+0ud&vHgsWNk)HlvXo=G3LwcSQ?@f{IGg8tMj;)R!ZFN3=v1`tcWi zxSUi5YR2~=Br~zUG5P0pj!P>X&f!PnaTi=zWS$-VL~IJ-mLUS1>*o-%%k&ZnywG9m znU9%Vj@24Q(nodI%+z^`ONog!)g=L-HHu2>0mu0R;Te@LL#H8g1 zss3KGNaYLB+Smb)h~3%H!Q+t&VMFPqljUr7ZRdpzqq@N%WmV6Qq>HF>WU&TREqN92 zOs5G|A4usCz4SXLg0DA!^h6Y=pImBhqexdzF#7mWH|=$18L*KSI?`CkkpWd98pL`f zg{@RR9ToI(wQen>=tI6J&x2IGW^_MDiV0?7o!v7^q3Ua!ksJkE8I!gaB8Qojna45h z?4{v}NQzk}@wXPVon#*=on>R%KC z+XF@vFKc(|KZsqLK8op1v)@EC48I%T&Hi(SLDfwO(C5-)F;nGF{m~ePN(s#9Y76$p z*ngF^5B@%`S?q{#O*QW#$2FghNbRnql~9;S8fpx>2cMEAQC)ifve7;X$ceii4WG^W?-r9&KRfEk0hGtCV3fyOYi2yM_5E;Ok1 z-px9P4f0|xB)7x(>E#aLf3e2jZplS)IaEI#GeYS%vBJxn#`v*dw}XdqKT_?CiLQji zkOWJn-K`p$BeAejo*Kj+5~GX3jA)g+);k@cao@$P&KU%VTnU!5(+HC0i;!dIH^@GM z^5w1|HMg=5*cRh8A6BWyhe4VfK;k)OoBKOTX}U1{kp8eKY&DqxB~)+UlajTQN;4b% zN$}K?PdcX5Q#_Gl8SbgAfRuwVqrlpcyR_>9`}zeXvkk=}bzJ(72LbLZ0dDK0PI%uf z&XMlZeU5kZ3Sx3ONtL)xRT%#~GBioYqki8IwOi?!?rLOEkw-D2Pg=t%_F9!J3VXv8?jGXuF@XK4-% zJq`m|4fhl2iPVQkAAo#F7O$BN)z>C`#=Bz`fI11|xi$$IfmhV_ldwT}<5SQ%-~ckc zjf+EXq}4bvF|{JqW4CK=_R_w(YE zqQ{5|?b)n^Nc3-ymez%E1y~j)#s4A_vq)seUUT+J6g53_DP7OcC@&YZbALY?LrU|n zau~3u4YiBhU-#`kxBV3lPP-cK93A<>t=acEh}l%zw){aUC=biP8l>1)sHn^>o+vN( z{H5)I!*y`9Oo?56brqX4)!om_n2nBnV2EePilg45yg%na4%v}UYO`{6B9bl(voEuM zv84?vx;`p{BicgM6@m~}M0Btj>%2Oc=olkS+AaAz4a_*^I1Z(w5HYt%BW9S`=3`;+ z4PgRwU7|o9{U0O-ZH(k6$go6xwJWY6X}#T>UKtZ#&u_;4nKj!dGs>;)ECJ2Swl}(? zwzUzz+EUT<7+OWmBblZCO(r&$Qe`z-QgBSPCp);W+@8lCjlk`=nYPlj@J>@nSn9ga%dnVkB4iNH=ag7m$ah2(bLNw$$uAL zVu?{<85R}amS^ZIljBCjR5E`;s}|%_(P*ua(h)V{sG};%IC)5~ktI9B1DpSIr z-0A=x+;SPxJ2NfoD-m`>rcSG-Rdu#}{&6EK7JZxq;?V5$012c>ewAKgXpDj%WW;yz%KEgV z>Vd{XH!Wp_SG8lTNXe;<%u0ue7B!8jnC9l!`Z@M6+p>AG5_pW@C8(Uupe?zI*a|oR zX|Gg}nyr6!LgSoISXoRvFe!PtFhQpWY1^YH;Rj==OM5{~~;aEL82N&`$cM|Hp$^jjyG@{3H)X=0=^fIrm zwRIOJ%Yi)Ak-@u`(7l!9`|i25m_Uqq&Z=cftotRaj(?Yhmkzt{XaqD}%2Fzvr%VyM zgR#__GnnJ4m=!68i1kM@(i0`7!*bNqs!Dy~eZ|9lUsJID>Nn2j3G!23?Hjrq&F~@+ zT1+jMFakB84I5oZ*$aACi})rKO(b%#a~g%H&rM_-&ncJ(c5I@H>Fh;nNrRwoBgqP8 z@;L5$%U?I61`#!ezb^qMBWysXCkmaWbPNe2#4=U%mPwBkFD{`@HeY2)3b_ zMY%0?kVkL+jNrJ2k)wpwmfG4<0M)Hdvr*M%HqwdQgq5%}t<!o4k1tP-#d#f)KYtz;A^&G}@F_V%tq3rS&ePt`KT@p}_}>$B=a|DV zd2QeuJ#ni9U8&7N!hLP7a!8`*S<=-GPfdbR1YDZ;%BFl%-J;NpSJGx?NN%V{QDmhB zJ>z&@1TV)PsvKHV{Jqnlx&B+dbko|<U7OaJ&gh=W(0yhw1B`DYPP4K6SmvY<=MxOwy zFG=hly?j<*1B_l?a!bVexBDS`zc-$RRFwR(O*T)jFU53PG+<31u!Q_z14SEy9Pwwe zUjbQ5;oH$y#?b7B+JgZy9}QfU7Zy`1v8|&onKw$kVV3g6Qj_;c0DGeXOn3lMDGw#! zQej7Q_ZZhE+JiB)lw^jClXJs0_2f?MbT=9z0}ph_j~DF|z0!^S4S+ zvWslMk?ssu8l9?matZPoOf{P?%X)9TsVyT4v{=V%UDPi7+(&!j$!LRRE`Da3;Y;1S z)&C^@Gej?!!}8bxyob+R#BkcgxzJE%t!y%zbH|O0Y1dLaE~VC~`RK7)+_$Mg`avZJ zw-pNC5F_e4ms(*JBRFiMx;>QIz0NWMPutl?Q|ItUJjUENrA-pY1FqV` zO-H#d*Nk7h(eJ1?^55bV)Lz>KrD0%2=Uk!guE{%JukghEhL%KdqMdfbgs^40 z`;adC8|sh5jsb-(nd157Q&V3QJZ!E(D&R#J`d54ntTBsPJh(~zE?z#^QcKw0DPE6( zz7dIV{U-5*iO!0ET5Dk`mj6BW+X;CJ8}1@}YB}}7rU0h2WsfOL{WnfXv!BhPi%B?W zmZVqi@JvA8mgL+W7}d3)VPu~)Wwc^9Jq1OEE?`~C5``k<8r*qvg$k$MprusV6;){Xh|2HF>(>-*{JC!dB-ucQt71$9j1z8H4*VJ)ZHSvtAy4Z+x zeGNV8&gzcbqCjy>d1_nVl8xKCnOgsb6V#(+sS?{kv|c`M8tOx4D@~VduoB73!Y3oL2w}pEcptl!N`l$(z2HQ( z7p{)|$72mRpzhXtv^ZraY$iX8sx@>~B7rtN$O$|V2&~~a^IlyOreG1?TA%Q)pBg_| zia(Mxa+TK3Ig(~SiOCDuezSZ)`lEsVBo@&xix+i%HZW`TZ4By!)tw!Vu|;|m8$TgH z6Zq9c1L}L`?Z$i6LkPD~p<9eusQB#0nNO)A`G{XgD=Y9R3 zi}c^|VIetgivkRR-(Qk5bP7Hq=vX$B_IXXfihWQjIu`Rml zLQ9*!W09l-&W9F<1*Nj2%#keF)0N|2a8T8V29TQB%lE#-`X?yOeTt>-wJtNXp7zGX zkuRL~a!x_9OVOVkaAc@Lc4WauccPZT8dFq5G(*caW|UeGu_a2S75AqWmNwx+I1@b6 zilOAI4OZq58&0+SAiZhy$71z&4b|&Ad+p6U`sc*>ms>x#ew%zk_6!G|d|#x`0z+j2 z&P5X)@4R$YMT2COUt0nQcH(zxCiUL`1ECAtFDC%$|~P`$iqo{z9#ei9|Rz;?P?lL=~I8st8Zhf)RH?S*gBc z+~vCiCP0{>##xmAZ4x^QCQZw9+_kSGko(P?!@T&;PC-!<)&)w5~d<1`bR2hDqU#MNW=nEn>^w?edv@dTU;f`OrC zi0x|M{w@o-55>(D5* zg92(hut;exHHwQYCWC%O=z(%n1#zpUoe!(Q{!i%lc$1ZbGvSk3FW`@06MboD4N zSAIQTWGO#+mXAGYJ|93^ofWqlui+Pvz(xD{i^D))rjbc|*Co&=D)Ll;)TuY{RL5f_ zic~mZW8nFUxqq#1(=vRFY9}MN16m-v)UAYgo6;*MW8|n~H;n!Eu)w)Cn1v3AHbFa--uic0o zy16@Qldz%K=4U3}R#^OscjvH;;IRS+LkVDXf#kM?g)^>>A{F9?GkA}}EiGQ2@P zj?eVe1D7u$3{e(krufwrdZL6rJLuWM_+{i4tqIC_0)^NT365Gw5Gi-3GY(iovZ`%l z28dy*l?5mdXC?$W0_T^u2PM%hpTo zNX|McbP1|;T;5p7uO9mngGCG9Ekwm*D&>1NSM8lqvMR9}Z%8etxnS|l+UTPTv4e`U zZ9y5jU%F(NF(Pe@14(eiXG=C+Te2qrKu;*Jx4P5Bu7cC?eSjR_5r(3Gl?%q7kFM|jHmlSE)h-3-7nqy7Btvr@{+Nues393W>7!_+ z-nU3^q*zc_tES$!>eOGdst+Qv9u} zOPP=U$?^+Nx>7P^Y?0LG3BT^5`ane{!6|bhv2kA}dOWdl)Ps2?pgbQ&K(%4D6*9Kf zK?&Iu2j!Lb2Rjrob13O9Z(|J91g5VoWn1kjQovgA>4m&tpC@>M#aZ(urb_3Gd4!Bt z&)*@8w5&U}Fjh-!>VmR%ZDp&D`QYsYXjT}tNBYt7tg=0oZa8?*qle^IAkGWX$OC~S ziBzkkr1y z=XZ1*-QiDMbEACUV(;1eng31-w@`tO9U1^$r{HJ1dPF23)thI@et%XzHrlJz`*0v< zUMimX@lTW_v_>!D*M@jWW=U|4dxaLxokM{&FQX9H`D6}0m5IF@f|;8U{dq!%LtNaUuS(0!ewa^AFYW8hoQQ7a z(_I;}(AxTw!|7Q5Ab}Im)f)aGga8k?4NORNXe!Vq++P%~w!x}zc_^kF;-6r&Eh@X# zH~j!_nL{vyG5t`8#aoj&#D=-B)wG1Tbly2@5D~Sa{o%dx=DO>3W_(QF-Q5=2$nAlPiTS`@Ok{ zdswL18$qkDLqj?$O;jwUw3^|Ug!{s43>Fy3)cUfzz++o|`QyS#<;F6YcU0d3slKbH zJ!PcXPQ%QL@-2%bv#rZ}^NmpEZ#?d23^dDnkDYHxOv1vfn}^fze!q^{#}0Py(r&l= z5(KU+R&&#fsDkJgv;egCU!dTNG8AnVsfphKup$rp5xUivY7wx)B4A>cuw)KAk#37~GL}E=hSc0!X9h0r08Q#nm7>3iq9(5~b)HiR;cvyU)l~h#E@dJ+~ z#h6QfTTAAWeuh}i(3XchfW8MTU$?fS_|)*}LIaj-Uf!Fz`(r5WeU>p{uoSLMrUYV6 z5)|Y^Q&Xq8H;&N_%~>^)0oEEy?$98{au9DYlsC)B1Rb$UBv^6|@(imU(dWo0QU!J( zwQkUv)2T96$e{ydVR$k0@Q@>jwN-f8FB5+n(Ljovvx+NFpuW}bdiS<9b;MfZvqOtd zV)FL!F{S%ii2ZXMVk*kSC2#P9VJYL0xO|`JVI<#k=6lmnN2EdBIan+ z%ogn&v=PD;$>MT*R@@LLE$B`xJF)IjTpwFMljeEzM0wf1kvkb2$&1^B8jDJzN&_V3;Mx?I2>fVHZ_2-VjMiKk9dh;#BC)^94Cs}CK zKbHVjP&awhY)^8jhK&=Q#V1QCuGEkr&1gTD!ABgwfA6+%3P-`H`N&V9k0Ij zcsx_%$r0<^MqbgD)${$>>pzrWPJA=}<)BAdXK&}bW4U92>|{vKOcE<5RWAmXIpcWz zX-8IDZu~+l!4fQE+_3Ge*48g9i9nmnL}fq~RGe~n9n;75UWoF+C2S6S~9oH zVx>l+e3f?Yo^p#t3A+ZDQVkN~iehH?1VOdrXvA|xdQk()tpGSVN4sWtc zfv9vV9W{cX>q$fe^OIw;7qp1`@Y%IAuAKBZSedvKm3k><)%Vj<$KMu&C8d|s*yiTy z4~ggIBnft$YK2TcblL4!td*qnl37WCBDR)_3khIq9rqa>hHH13=qcv4lhm#jWO9{U zl<~WZO>Htc#wBl+S7255Ozf9WuEoUCOE_)*JSd>HKco zPk`|hFZNzN=ia`lIMaA7R&;U2%RBk*6gzYMctY^lr>yEiiy{z~X(WQSj(t;1T)qKG zivo2O$P|NR>#oWA5{*$G`gm0uny4aKj}Ju~TjK>{&I^9wQ%O=PUhNCTIOFXZ$1t&L%=-nWR8H6Xk2SWCx z7>+m+nTxw+Y>ij(?MhDl{BHEp_x5lafDdsAA;?Yu8$sUnYHQ=L-jBuH|LlMbIW!5E8C(Po3 zhA_+XWVB;8?svTB2q=p?(}}uqhHNH&3N*%Mu;;NO0 z(ik{X&D64HJxV&>ay%p3+_L3SVgb^zvl6M)u{-hgk3`a02S(O2X6lyJj_cE?I_x=L zfH|$crx>lW)1YcEC1W4C%j8i#nzX$>+&!Fp#~z^KuOMQ`jT5D~G)jNwcspm_%)&5Z z#vZw=?<&C@5GxD<^7r&UM4aiT^NZfmJGtS*|54|h*7|7HNo{yAU!D3G9h_pGk{@iz zWU-$Oavx3C`x1v1@)_Nc$VA4ij_f>wO5e@r#76LzJbf8x0Z;v+H1WZv%B(Atfa>9{ z5Pb|Ru(|jYwEoN$wzL$@e@hEC{Y$hZ-fAbtC(jmr)FL_s&Ro*XwB;YWN#BNKtgGKP zgq?^jB7?B)L`!kopCPN&bLDi^qV?sW`r}uiU3tZkIs7_{Uz-^-ElS~}>q&?Cev*Aa z*4@H1k2^;*#y0U^=77BOo49ttGM#D>9W2u;Z=ovQU}?0TmpSy5-jvMnWWJ;{la;eh zNeN&|kXF=V687;2cHG=~{(yE}dqmAx_@>MaSQDQ<$>*|2NN9|IutbV7y?PByyxbM` zd5g0`%u{-_Qp$P-?z&fkokei=pkKfGyjA(bE`C^g#1LwQYei!%nBXwHbA6i$85oOM2ndgz9H9&xR8zF;iD7_(0I+udzx z921>()+Ym9nTiY&%~R|TqU zxC+%;86K}3AArgE_3b(Tccn*@IkAH{9}zZ5VGk_t2h1?VrD&P&n5$#P`ToyHvzM>s zScAQ=_|IGtBM2t0dhaUZ*m4SVho8Y!?^eEWXXp92=*R-MNr2p7cylrxd01DV2$$j4 z6S6G$`9^==LsFgas{zRt@NwwLWWpseT3w`fLHU(Odjv~5sBtF5-pf%2jF8VHKCq&X zWER3Z{==k3@VQ~}d?S=I>SRcpTYoF6{^d%BiRjjH6T9q3i7u4-gZzo;l#zPx+v!I; z&CeEr2#seR`40nY1!%#enZ9nvWGmR@Q>YD_(~U{{Nc2$tEtMSzoKFU^9YGd%Z#pux zl1-%U^k2?+Qo5gJhcQ7(q`z_l8-x`D;^C%Aiiyb(44FCnc!ogPO-fe>Q>r*{^$XWg zMI;a}tct2|SMvHuXNj@+|Lg5CB*q6@k^|q0hQn`?nZ|&;s+1Y#j zkf{)wi?v3*)OujFuOU9{3#{r1d+kn!h{2Xh)4cewm?+1rT#IF`Sv{Hp0^JLQnd~$l zXYm>wm8f06_!vD`(l1x^e(?~W1{kw4W&aLJ`BJqpv5{X3ONUMIfO=@ifAX=XS~WU) z)L56lVX7C^GgRh~)yCyZ@5!^VB#f-43s{(nN*N1YqvOMfxQ@$5GnPO~r1q1}ls%al zSB%;@6^m!LDd8}eKXQlszMe+6LQ)S9?y|zelyibi~I;*=FFA{xHK>;U$XL zWsxyN@ekKaKp0NJ;tC^YVj?Us$~vpFW~k;{Vcno$kvwoek@?WX_7$mQ!*>o&Haoqb z>Y?hGQI)_|{}AtJ!<%>Y9UIp&JFQi=>+u1_*Ui%~=cm+RJs3&`Y6y1$>WLX<3w1cp zH$o7%Ay*^>*qs6dXxi7J@U_m0`zPnQBK&d zhJAlurF0jKv;Ornt?NpzZ%s}`*?4ycx_Q}gitSD;wIXuf?zup0H76AP`mWxF)UH*G z3|U?2iEk=1E2&pGFU(qeL8ceT0~OT6fZrrJCWdDXaXFu5o+y7h*qr3CJjyj(*44t4jB>o{wAfN}jI-ue zV*VvYW)aM)EpV_PsXPrmB+eVq$#;SjfRl${8+s7WiZ7?iayi)NP8LWGXUF2K(uATW zA!```MJYMYWlE>*RVZ*v_kuVHu%o2<-BB!U=gD$ar%WLDBN>B{!h6+y}W0GO>sQ?zF>BodxKnQpN3btKZX>&%UEtAtgZ zRZvOVEACtT2auQFRf%mp`ALq)Tm`K1NZ*hY^FM2+W@7Jekax$^aAxJ1kH5a<3%cf` z3zA@ZYNLBk^RJwnzF4R%qN025*TVotW|}FYKJgeX6Wn4J!dL$cbtP{k?o9U zCMhu5MxAiAnbmGR3s5&+2Rz!mu{OTCDG3PH>)Y4w56(-E&z`wc7}+tr3 z1y8}ccgIBXn^N;Y$V_Y7Y>F~>VxK<3nHtK?n)|OqK{JOhq{#y%h8)rg-CiCZE|=G|f@i$o-=F31OXJFco%=P8Ro21VQd3v$>oT6HX z{?uA5AOkG*Uo4v6lGJs+Lp)WFJBYGHAk49(+@u$dT@KSsEuNkV2Ol2fv%wZAJ`+|rAz#hfK- z1;Ts7oHSDcAbqeJfX5aB3EM~ct#4NgisPgn^Lf1Jc_QaBxs&~}J5lQ&kn7jTaq^#k z7zNJ3Ac{05ZXfvXT4(V+b}CJ;`xFb z!5eW3Eb3H>bHVa~iZ4No))Ucf(=HRsAQj0Ax%6Ld5z@-%=T;|5_z!`?-)avJm!6yh zv4?ZHR>9b3KyOGUlk_XPt(Q7z`Z)`Q>~aDl>l5*<`!qT`@E;q`G<b)_t>{Nv7TqD$|ILSl))dd>T31ikl zGqJON$1_1jn-_9;JL?=+HH^y68*%U9(ZoIiqFKVo>DQ zK98&SaZ$JM<(FmnBiaYWe6ThOij07KX^2N8Kniq+so;#s3*r(FwcAeYY6B zOJbUwrN}@|FjWbP7Cri}?abM(vdgzb^@(|?6_uT{-I6%mGE}p2D|jw0HhBC66N$XsCAaM9Xuc`hMuW{H4P_vrsxK{{i!0w5=~-P0tE6o> zyy~FgUDJ3lCdk0aWLR@p^T+GyUS-KhP_R30e)Dmg;D15WTX;H*%(hndFp^^V29`!- zM|5MD#DhG#{NI31iQ2(qaNP=55 ze$8WtS*huiRxSVoF1vHELin*<9n0=y7Jl_~?$m8zu{J&o^Ln;o6c?j!8dS}~3+-sd(Zn+%Ny}!xF zAGRLMJF_jbA_36Qs-MD(pKQgGU#s=1-y(_D%f`@;$o@vOg1(0h@fk608(Dq~kl}Lr zJ=9IW18jQrhzHUI^EdjNK$&b-8>++HGaEP_7hBd*o?Xqj($*`sD0Apm(Ypgipr z8O0UwB5I4p|C?Nvqhu$dJ6$C^QEbdBL^a0_eRQci;h516zCHQ z7!%Z;6I2?L&|5r@$l6RYR2eDKidyYt7*B#hMqqUosW{T?CjXwO0fnTYNmH=AyW$`)$5# zH9Q+mPid$|ej+PM2BA3At|(r5gq%UO4%9TELY!zkn@Da?S9g;umf@;hz;EJOol_~$ zeO})C;pn#)Kz9fR6{Z=_tz1_)<$<)u3Dy;2k4&0K5NkyoCo>VVrv@YD|L{xHN^(@}EbYskF#ZEk9%1 zWEH>p{!kxO^ef86MZbTce>8%ylSz)T`x)4J_i4AsXPr6kk`*Rs3VAELU5ktac&u3j z#X5`2GjEd<5$2(SH1Bab%s|y_C-;0@yqeBH`RQWc7%))N!By>?*wUEc_jG$aU$d@U{zq(qJ~@fIK8CzMo&}ssN(uE7~~lbSqbKE+CU|pyS6Jtnn>H z#HS=q13RW*)b}_yUnzp`VXkel{&g^B)kI4SlAdQ`~+6Y7pD>sICH@mJ2Eo@vz)F#HdYmx3Dro zA99`=`X#&+xIl>Uorz4sU8W)JL7^fP4%h@>Hj|PGzehq;Y-yOJbdAlO?uLX23whf8 zUwY&Bh2)WiPM+g6B92q3S>^9S10?P(WZzLigAd>B5^i$n{T-hcw&~Azu-%X|d%|{z z6~u@|rpM~TiYM!ocGJMk$cjN5atRw=EganFzV?%WXrQ92)M$M{Klr9}Qa)`(i3sv9gV{)m7*rdz<(4yF$pCCDyX?d+qcv ziIF@IFF}S2h2e9VKucZ#i;$ooEQFaNn$d;qc5eQOk#!GBTU|FN_-bK5cZj++uU5HL-!?vhvYI zFrpIoC0CVa0CN~y{BLh{MdUac5tU=G0iPF|~=5Sg)lG z*wGcAs)W&HZ$|(xRoBj;Q0FfMp*pihUSvS3r~^y5zdSe@0Us!md=vAbVc51jiuW~; zE8Zyy>BT%r9YPvdl0%Gd3w+l4MX$ZYFYy$(TDb0DMMM+0Q5@5eiv+Js{LRFbli z@W33ocP=?aeSaDbyHcyJ4V%>PGord~FOqTz-!~1ux4kRXNzEwTV!W0Nxql3*M@+@M z(~R2JSv1YJgySnc&4O)j8uP3>d$g(nI|B;00q8ZbehZDs1K8=RyxiX=Xdi|qnrIXb z05&L!9n~S;MW{+w*Q9z^>R;tFEeaOl`@EK_(QMu?U`8>l=6h}>9NNsb7m-j`RlC4@ z?@2_(7qVvnI9v|JA6gT9q{EMRj_k1YLI*X2UQaij5Ny#gMtYyHi!jC)uz<;miZ2T% zbKJY@6m-4g(i3@PMz-cmsX)aKIgTo4ysBj%UWO6HqapK@Dq(a$1_BKG`T^zfca4${ zf`L^T^$EWN+GBauNByRu(znFaU$3fkKUOK_*CK=Aavvc#C$X#5-Kp-A4Ua4=L=a0M za0f?fp>Yh^?m`fu>`_L)u^QSQW{h2y2yfnui!+nP@nntNt4*+D2o*-KFh@dv=eL~Z zI_wL`bqj3{fk1v$sDP{F^A?qN1=@PKLjC7HVb30dwfN$Q>XGZfc+`zuym4TqW3y}eq6+T^^VnhOZf)5Ug0GFG z-_ZS3LSk9tS8Q&uCiW1NS3WumuDPfJ?+ke8|7l8)lDGFB34xLHU+ZXdqrIaE0Ku=| z7DpHux%CimIfJP5ggd;m%@|tJF-#k_mp_7kAj~Z8qaVZlU?c)4bsck51pD?`^YXPMbC>B|qH{i#C1Lksk{_!B3se zCy@FsiN55YbMitdB$A}~HO*O1Q<6L)XN46p`4u|sahaX}!pOIL-r84TZAMQebdip2 z07Lr7A$adh}2@7vU;*=>4BW;U$)dmhdR6nM_vGgN0jv*a@J1m^P!9*s6b^kDa z=mJNdA9VU4-XC!G$t7-#v#^%gGo4Z9P~u6c}5N!}Re* z(J{{1)rL>B{#{Ge@+XmpJpvu8A)P1mM;()+UvcI#<1GP-0QSy6SVI z=AW?NDwn}3I`e681sb7_95Gdy{b^@rs0(O}qL2*xB}sMCI!~Gw!0ssj@FjjQ&E9u9 z7@Q?t+O`0{sa{N^3T#D_=Dw8^pvJJ0u$q+t^8G<8c;!X@QTK7g5NUpMOR+^-Bm+j| zoKa?fQd9!6aVivo@@4+Cd0!d@m*zzE?P*1+?P0v?*m{*G9p)8+sMXg)IB*q0N0p1w zs;H{x(fuhOPAaA}p^)-j%7>3-Ocvz=J!16I1UwUq{ zR~Ryy#dpRMNW{ViD^N=Tsifjp1Z(m)Q$Q%7g~;nl453AhYQ#5ynG(Rq%tW8|FbVGN;$IJqRw?n?aa6J4=Ko=MA9kX(h`HTIMOYB->K! zzrk^DPqM~7$;~A`Ss73-W6sp_IJdIba*67yZ>l|w8sCWw9$MaRph`h3UKM0mDqQ%i zQ+A!YSY&6~SBfAb*+u7s%1TZtwdGBR59)6<3W!@vuKHq01f zj(-sz$l%vr?lIhRzj_#-I(!!p2$)?T|BLeyPe`(s`Bx;vGm+EWtKLvVMAa$gAeCKr;ng#>m=C_xNDT)pC|epG zWUD3GbV^viVC6d#O);H8*NFD<>ix~q0+0}dvPKoyC*b@K5+JQL1*mYsx`+&@!kO|~ zjjB7>D>%Y@9jI9GpL6E~9lhh0_|#B5DW#(rj|E-wAxaF~UbcX!QEniS{hwJGVd_|m zB!5e0)QYVE)I>HJwJtyQ3=0456H9i-hbjKpp?G&Ln#O(Y;CE3WKb>^SixBjXK5Y7S z@Pq7B3)*+vls@m7lJyFV&)9}b4Jq&o3Ei!t5>rbeqlZ-GI83xZj0$g3NKIF2r2_IS z2B6lMack=R=0b!^GxJ)&)u9{x&$my=arMJ}AVrha39g(y%OP^HL(^%@i>WdFNX?0% zPNPeXT~|fjE2sDkRAI34WC8J(R~F=X2ySd&!mepx21?Wkd`Y}BWa~H863*Q-QoAua zu(t@%$VdS$5Eg^EZ>7@`N8?&cdFBJGxck7r!G)Z;^Jb;_knTJ3_|6!%L0>qokMd)r zKWld4^}Q(a=nx(K=)G96%pcJTpkwQj@*Q)HBIf(@_wO5$Ngp(>!`Ruy+RUga>j`K%+>sgeTl*4@wDH+-i1v!NU8sqFTns2_rTkh3ymL(Uy4nwg*=oDQG1>G3 z=TuqlTmjm^wDeN-oZK*zun=A7b8S4u?-EvCYw)K1mEfUvtzPc}4Ya}{VDuN$Z6;5w3rs)BPY(%did~rn$XvLO-bz` zvh=T%-fv)oCVn?Fk<&|a6_0ht_x2FAIM8nKo%=G0L(oiO__<`vCifr+pezRgy$NGc z_5L8~SNTen+Tof~Ve-4UOQNr5K(pLJ7=4o0)H*kkc{qpzQmF#J+B;V0x3?PDn3^3*s!m(olQ>ir-x+>l`LXdyTcq}s zL=?#mLZYj=A}#EdI*dA2%xn^i?DS+S^FXr@1h|S=Llde(F0j1b6P5?OvQ0)3F(Y`= zbbVkyyk!9V|4dJ`8w{5XQ-Oid6U2!EogFYnVVPX0)?0d z*eEvbalsQ;Wr;!+jmcWE#4R@hQ@e4=YUQQWMO9asMgx$D>0|*eG0yKd&7;a96$(FE zxXM(65w0?>%|#?(`-VL5lq9|AAnOfM6}^AArxe?jC@K$0Z_k$vivSnsAdlz1Xxv6% zobEhY*ldm+tdi~g+-3wIb(LCRD3f2}`~$&}oSB+EFZ+NL1`YPqi~YC63%9*wM!q7b z7(yl`wLhnTY5;fh^1)p74^dM@1wpw;6%hX6W~kT&JpG@sZ4BOMNK^SS^xRy!4ww5B z_TxaPABWBOl=2`9W?Jxb3@Z6i$s0EZZGMXd#gIC-Qh9QTr72^;M#-TtgC*{(o`?V= zP`PFdv}g?`FJe+1L4(}kB+4{X1%a#_-j?-Mfz0~M2dJW)O;^wohLi5C} z=O-#r%G?jK96b#3%2uX^VKJJtm~*0~%=ls>dwXtzJ}~L{*5UJ6jf;OP6$O2RJd54M z6JmxE=<-dgM4@@$ZK9Abgr9FOI8b{4@+q6V(_N}~fh*Jny;(nZdNIFb?S$yEeL0WF zl`^0`-spi_sWPx$y;9!kNAk}GAf7YH2=RE2NhvJ!egUSSWx0XvA4R}IMi%0huNo^` z5iCDhFUbYk{>kQK-g0bBhU2+>a)1_GU!oGQq13YSk!I1Z2Fnk)#1z78xZbi}sM2f) zK>_+W6vnGOz0PUr4RlAfkFiev5o?NTO=@=I0a~rKMgK%Ct&XZTu_p2ABKc|67LKa9 zEV%-ptQAY1E8m!RsQN1BOwz_+9?1Zl-R$i==u?5LJe%u!W;yEJF9c4bT>Qa#RI-5r z*V?7I@Xb8`==^hrnES%r&QZ@@j=crsKTH4S&n=gX@vdT0Z0-d_ikGc z>$-dLD%cW86;!*fX=&j7NsB5X`-md=s%eG#a(~Bt-KXf87tS}x<$4qNYQRm+RVat)(k9=gAkC2~NVK7zD6f}*yp{kG(G zTNL2$4!YS(1L}!Ubif?C4{KsSwUyymM}+kNhc5gQ%@(PcJZKq&8!0mG@e#Gd#&18U9g*kf$qnGN@w$SMC^_qq zC%HjX{Z!d1}Oxo=yD{`{gVO1 zLf#W-=B4*&g-{A&!X(3(k+Tn(g&Gm1?PQRw1_D#)Bjg9E_pq@-Sjl?d0A*!;C~n16 zuj2yEK1z<`sWGS{wj68IJl%cSHGSbb)RvOX=z>)9^U8WMNhM{&7_cPR#iJ^*&6&mD zrnpTVLC$cq@!>Nn3BzlP4nd>;=;1FV{}rHdv-~~mGQnS6T{&AQ`h+Vt6+K<(k##a? zN9Qs&TOF=Nh>b6WYYVXrOW@9r$zhPKjQHDb zf6zmZ!$42MJt|A|W_dHSDu2>%7iMxe859Joidyj4SU-`O?LsRpb|#$&J#>WA*C1Ol;eni*d&>kBJ3loMaz%1WTI}8PBAoh zk7XO2muXu1p*7;)PSF2ht@I`&X2$qo4Y1U*MO&pyW?1S^rtBy#1>JebGD)V$-=ja?J7Tdt~%p=!kx!&CC|* zhEVM`AIrV&kKg)|lQ|GOm=4PXu~XS44(b53JtPg!Y&k8KPgQml1WVWU%iHJ^ybzNa zj!I_=Wuo`}%*K2h1x}7(OSzh}3ZxS+J5_~9G(jZ;&?T+xKVG_*-ph^2tfNsS= zS94fZg9FoEP){Sz(SJ_?700;|4;e#=@PjX+F~pKf^B-2sM-H-Q0kZgqlRgYD_|%Cv zf|%>TdUs##AIt{6BMl}y2sgi0OEn`=SLmPr>!KPE;R_u*F8WX6+DeG|?wgE4P?Xu; z8;#z|RN^3m!)BMGTLT-6)CC@OXMx(wxsbI#0s zNv+uh=Kpy29oj7ETep{%o&T;u)Og~Cw#GoI@lu=zUHm3$@VT;Fu!VMgiw0!r0UQ1} zkjW2*n~U1MCw!un1<`fUbRd<}wnm$ZI0Jc$+`I5FZkkrPcRfLPwdyb&|K7zk;*(+% zoYn26iio+~C)nSthxJ@$6fjlZ%hJJzMnqtvl?F?_>Fh%d!u8jf?ME**%QvbeaA<1A zE(Bto*4azImQm%jntE#rH>!V|wCNUzZ49Dfx9O5w83I|R<-2UHd~;O(LV8C{ai6^7 z7flf(7k3t$%M{Dr#az*Jqw7oh4LIUB#gHZh<`rDAL9$?b_++Khu$tR*^X{peBYYQu z)hBppAa1wz^Ovf?x>2+dZ0U(VstvA7I~_o5@$I`X3-j5jT7li$PEr1$ITPC#rLGT} zLifOg|G_!t0$R)4yzz7!$Qg(|+hbAk3ABLC5E-K)DRt^{o$XMnH$v~MTzfOwbPHWt zN!}ik<-v=gYIc%dUo4kc_Sd0gws#GYbq!yJjpt`a^3g*g1l~L*Y7L`p5WJ-ikYD^jAUoXT50491hP-@0+%>CdO*=%@VCCd<9^?E zq=?9-x}lPG?dM;~fxY`1s)fJ$Uc7;`>(-P1-FffgF--j>70TA^M2d%;l>NP7wenu^ zN^G4QI#`e-0-g&x*0wr7=0!cVzD8$FAX$Ch^IL@!n16zB2Ztb;GGn#3k-_)l)`cYo zq@?z09lN|ftNuH`BGS;DvG;S>}#lFHu= z;Do+nPMzc%>rB4R5~SE@4b591h$8(ia8!pV0Wu*ws8+}7tEt=wRrJ-0UbpMsRLVZ1 z5!6I1@9Se=r60fF72&7tw5wecpe!eo`(&m6{o75Oe><+sB_t^tn>t012h7C$Hk>zC z-->74%bP*Hgpv@sqB7vC0#dPB!H0j$se0&DIg-C_5p8eOlv3278W-0e8UGsUG(hs}!l9+`E$W5Ovzyx0OVRK_M$z^s-v^39Y!1r2&os zS6^Gu%9L7uvQ$`BY+<_M(X#QCM02?w&cT=$p)VzC$~2)B;-yjqr7#wxS>Y(taT=Nb zBgJmCGC=iLiN((4DFIslNN9eYIuzIa#kUXBYrm|Rnef)~T`_MI0c+mXU#3M=6$he; zIN%{+;#aTtN>}ePTCq7`P;eDfotKdK0)83^$A8AzvHUK# z!)i@+n&bDhfSLo#<@7K_-!^zfqp2n3i0MSpH$Fwp0~Ym=HynRq^qYp<=A&06u>Z7Y zQu~O{b+`u)Fjohh)~cIxUMQC0gCK;ohMk62oZEDJ$YcR{V7H|yD-ZR!_x#Zt_+%WZ zW0ZoKtPJ$au)CKon?u?=D6@k5j#xU@D+qjf-7cMC>c=RL$xAIIi97Jka{VJ+@x2#AHMOTFW# zU-rT~Dtv!IMVUdqb8*9l;;Z%wSp<5bk>l|4!a*rtICS^P(LhZ7>g$%YTOFca&(P?J z9WLMstjb3WoJXJfHO7FF99s4N*mk0$_G+5xmUseC;&AiqbP6P!42`vM^GCe1^HsP+ zQqWss0=&{7%R*poeg78r~?djH&gCct*Cr*7Ed;qzk1u#bbdMY@1gf9P0Icl!Z0*VVJ z#0Qi#RjcjQW2Zr^N$m5WO~ZkbCa;h#;vw)*G53K4lr%X+yaTvYQchIJ(N-c8PIxlG zJ6r$Ji*P0{<*bTl-R-~3+|!am~8m zv8ZB!SuJGlZE}{$f9YuuX=I5iu8@n6`vaPKGF;k$YyKFlJ+Z9tFt)~@oM`UIue;>95k#I7NIbQJ{YqA{5)yDL zzfK6J2LuTCWs+@Js7GxQbeRMLqBZmMCJMuyczR?olxt{Y#>HXRYvzuK3Q8nN6wC(i zhYeRGLEMFK1xFP_`!P(p(_58Q+<V;#ahmNj_at2woyOLZ;TgBf#Huqm zShZX=NRx2V93)G#(A7>_Y5bzpxpr-8luo5y*QvowBUzthT!ppv{WmfA{p5e?ocp5w zF_6|yker#5515S())t4>WtvBWclSgO8pvK`G;zO@w~pfHpd&SW=opsnAT)??2X>)e zpQTQ(Q3Y%Vj;Zek$bf1$l@;gDZ)V&?>4SyT_A=3$ZN110_HygafR{xJ%_{cf|@f&{7CG~86 zd7-;yVA*?uZw)3P9Y5Ur7%;}i35`~2CgLR=sLzW4AJ zTU*OAcQv^iF2WQ$w4H2-dDG651D)xIbveZj0f1j#MoUiu$)pO77g%*5AOF^!bg`o0(FBfpn`nn>Fo0HtnFH+wV$!=Mv4qH`x$cIHOd?JVtD(=##Ks znN%ORRa-sxE@b`v0^?t$VNA*(8c<47qbBi6*}_s~>2*V_1}|)yoGJl=-ATL(*Fiy5}Q$L zt7Y5QyNfJpi^^UiyKg}q-0;i?@G%ZoDp$G#RH^2pF5Wm?d9t8_NK+uQzWUgpdJ-sn zLaEU>aer;#C%mR77u@{93S4IU(dTGlvA@DGPj&rSH88wC@Ga zrHmojLV;Z|NG-mmq)_0h1koqpGf{(F@F}LP=y+;}M79WxHY{K|6o;4?-pTr_RS_B0;rs`?G zIL3J8+6(lr_HYZtW5YGLEvrjNy2Or`uh!?-=afE)`Yj8a3dlKp-oL+YWK2F0o;H@+ z6jU0CbgxAoun&Iz$&5pNM{E&lAC@w|pzuP~;^>iLR@p==;1|_pbZ0Yp4BHOU#-|2X= zdcZ{VF*%Ycas5Z&z>a^3@@n8_IrN?L{yI{jW6x9jHOJ>}pG@EBy*|&kuYy(hpZ*?p z`IGrxLAosL{jW)w)S#zkzSpsps)<~I%lZH|B9|n-gdge&+KJg zig5#v_VErCJ|+jEl6(R=RHFx5(ET_s^Js{R?jobp>M5CZ^VTQh8sG9(roCsn=NIrJ z?%{eig5s|#E5Sr~m(c{Z73cAGZ&9!~dZeSAr$TupY|4q&xpDfB^@d0yV|#lA#(z zTwQ)8o5M9RX^8Y|vct+8Apu5FDt~UsAXrOm%9TK^;)PkgiAhIRgzhrGVs+sf(`==m zczEtIKI4~-J9y!NCbt~%fHF5Fpb0@*EOqceut||#N1oKNv<0}vWf|N@`Be{$SuEv? ztCmaz9FM-8a8wOLvL}p+o^f=jk3C39rky=>dToW|8Id|D{fxLc{E8kC^t<@+_t(fp z{rT-nhndX?Jp&QMTme&i_<0n}Y#aL>%R=;naKH9wX}}kO0;9~b=S35{PZ`FHGW=f5`32(@7r^Z`0ulJbE^x5WH z#>PcM^TB4Cvx{90*K)0?1jj3|$O;_)o*~HJK;wk=68>T}f0RveFE@1`?|DyqdgD?I zqJR&<67mz}0rN6T3ZhQMBM8(QFU~tBFK#&xY5v3#N=!d)xCmZOHgGv+GMxbP!TbSaf2?WS=<);YLl-HXB=jmBh zA19_=cIBD42F+>e~8b8BTg2cz}xOZT!aXE#F^a*Hj$#fg;t1 zwjgAQE7*16AKa@Sn^=JG%};ZfdA3OtmKb7{j^OOAsRgvkgqGPmLLfg?_|iSKzIc77 zf)l+2!6z?Z-gTMiN(%n|woo%yO>Q1gY`kttSHe!(*2=H^HyJa%(s;e3>Rj1QV(hyB zo0+(4vxfTIF<=%?Us9G2CaIafDiD}el9+7=k_N0aY2i2TG$`i%J*SdP!KH5pH1nxl zYd0AFAhYR<-f75A;yH}0{|Ifg%;T0`qVtv%(lt-w^m?%XWWUs>h`f4vdFj(<-E$cv zqx$DYw<0E-g=t~d{-8XMJFZZ#pfe~ac%l8zyQ^kE|GE;Czu9M(2v+C{1glGX0^eU7T4+1M&#`zII;?2fmnAwI+4m)o+bnBk^`>z{G`QIHEN+*6c;}H`iVVo>i&%dSVqQU2r8%~2 z`Dft0%p=m51o0K((ElQKRwuGhe)y1P<$s!-ww`NIEW^m==}!_v#S@|X$%>pVxm!lT zP7|S&mqdbk_*E4g~AZh8VN>v<=d zgsdazxp8?&>THkI3zvUG7mhvPixrf!uS%7q z1J~y}$<3V3)-bRirs>GHj4LK4TtFH1X-;CvIM-u!gU5HgpxbNiJe}^^)LONZZmb_9 z?t0Qg)gf{X9W21sCb}f==FG&_LYmxc=ek|?7IknPUEw;Ybf*uh=n3NUo_QC0V$*Q5 z9n}+hj}6s;n)kd+;-2oo=Ke&eL7@FkefPW3HX2`>Xtn^;D@%> z@sG?bS@Sb$)7pHom*-ycESEm}cx>iQ&|ba6{yhhI&J$eI|Dzs()ul!(UYr~Y);*YX zqX+RX+A^wU>a6TC|0irR&^~-+J5rS0L2a%k%D2i*RhX$)Bf=-3-L$(Bk7~Hy`zJCa z8pd0PCJ}divYs2nOVW(`w5?nu#4v+}AWUclnv9>wm>xBVbWotzbQK3CJdrfS;2WZ4 zG6fzM7w1z7+~AU0M1>2@_hlqxh~pB`1QCQoUU&e-jDDz%Hbuv(AJU&KGo8O^YWoaE zqu;0@#LA?5f9^$3WVR;$B>TDx&ps#&20NES=DT{r!X*88k3(;(xiXg_hH_HweQQ(* z_>+wk9vv^t92h9TMzQ0Y+WSV0xP`8+Nqw~`{zvo10mPx1FP;21MFlBZG>n8eC#`$m zTGzD5r2?!c1*E4IygUYNXyiJi3W9p*wzzT5! z9tStIrFegSGHt45u>*GP%s~v4Y(^C4YwG;0yG(vT&G>kxnyVmYKMpx;ab#D>2N2&p zFt338;~P_QGjWZR&=m9g==4yN-oV56bax&^a*y9$v4)vL-dICpR4ovEgfLS=&SoQ+ zOK6HNV%};f!+)R9iaPeLbqD$I_n6`iS!V9xe9w8%n7-Oc!{V;>$ro7sc6rsQ!pz%P z@(c0AAa9+DC&tmEVW=DsQ?>Skn=%HiuWye$Jzvz>tM5enA_ejB{ODerB_*XQ`oU%& z`5suKL;fZqFnwPvjfzi%@9gvuogbHn>Y)PYeG1Dn*^|poVH|n$MLgbOTblFn7*27v zbJRvw0W?NUtW6Q}sx@UR(a==URh>#&8y7N%1}b6~K|Q?nv~VZ0C13d0qDOX*@Ue2x zBbz*S%jw3;N-U5y7!>4mg$iz`%JvquQdK)a`o3r)ZA}(Q8`6%8X20cCWHZ8RK#&I& z>A2+25Y`6DZynz0T8yJesvsiNQ313_TMC9QpNR3Tr<;D(X-QRL^WD!WqwkKO6GzU$ zgZ?Z~Bh_NKQC0}ip*>dUiJ!83w3(Saxq~|$bR%mt~SE^zV3RDG@?{w zwCPUX?~DF-u|8q|`q|dlWeZ}Gqnf<-4=3aR@{T~A4aJoxwjDeCpdIM*oJ2x+McX&p zwNm()WhlpJ$Sx@I-SKL+MMKQJ(3A1%xM$XF$Fj4P!}h7Xx+W<|Xoj9kUc{n4l>%MQaZWa;+V=`XQDUwji2Pejw2or%Rxt=;C|JhDKTuvTLH zBJbLCOdH;A1~>levj1jok79*@DUzyrVa9r5fJ zq@r3xShI(}BmO#c#r$aPxXHDtt8VSa^_L-$8bHoHyhB*iAmsO#ce$=SaS9DCzRk6y z8@|<~xSMJY6VCr>5h*Qxt^eh7sxL8hM9QwG(ob)Vlsj6;712>ORDK-nqe0>Bx*Qp| zap$SbFa8B1B8W6uK+1*a=8Ef&S9CKR?I2URr`xr=S6tc){)kO}3Y)DrJA~Y`%|{%T zN~PrA@R&3rGS;(4-H1pe*@_4yXOJQ(YC`YPO_M`4_|q8u2ai1mcgXCS*{F$2VxCV4 znO7NlGOCXJSU&bN`Lzicm#UzsaX4S{&gGQ+?4?irNabR0i;ph$jDCq#^?U1*ghn@UCbEahxW=xq@ zbTGs@(I^Uj9s!fG`p5*cz{FQ}>yB(tDP1ma;sdxvPO-xWZ_~LHL$2Xx#$?mHV9&@P zlig#3vVbGjsT9uWC&GLjD4c&TjESkq>eP(zqe^E`CoA=Pq-2*WC0g7=kb|l5I{yZ6 z8(x%+hDdbl-Hr9qTd8xg#fxDLeJ-el>ZY)r##4N`)`To^O_)HaG!PIZMNhzaUg$U_ zQq_dKV7L{vWUXB`gQ1$|dZM#W7JnuuTKWqFEH1)WDf*vENABC>uIaDO`0aXWAKp&Q zWlf^e{+5D-H#P4>Z@Zd$cK>tJW#z z{d7&d;s&#~`o#d5v@dHu;Hv8Glt{fI!XGS; z6HJx94hb__o~P z28e+pi95RHqRQhA zuz~Q#TZrOPnCmUAc1DFaSTiYh6V=*00`Va$G5XuZ#f)N&PO-^MOs#Da2h`E`ObhpG z>i#H4cuiK;|Fg@ai&+k!hUO3A`aQo5>%)aQ$v-iEWxlppaL9|)(Ke0ch4=l@JbDM4 zF-}QzjazjbX5b z?I^g(8m{*VQj>SWmC-k1qr*NXBKZrH_pyLSC?GwayQSo`q@IXu=ArN}PBH#?`?8Mqdw-d|CviN*h*l}esNI>1A{Cfj z4Jqe)T@%OBf=^8)C%?)$%@^5)pL3>(DY33nE*HgWJEA5WzG@;&v^)Ew?c#{6`^M@r zcEZ&`E~-U#VoRx+1bn#ieV5tECS2(9vYayfh%#4301vNv^`&AeZ8_I?fCsV*XBSQC z0;|wE;V~n+zUGd2X^@R#-ZzqZjvz+_FKP*ycgOxxdG>u_9qLh;cN3Sb3_kd4)z|`J zCiqog(W5;39;bB>+!S^${!;8&FYdG~epFu)W%nUur(X>cxWP7B z{#hTfZV-@_Qi&pypIahDW!DfLvA#N5H&3-o$Ao^*Gb%MyxJN6Nft+W3~ixC17SZID!)G7y=2Da`&0XJ>Rjih?4RLn0GuT?u(!+(9*wrHz%h zq_Sd%o_bYvLM@8KM3pJZLrvbjJmQ;hn|ze$z+l3=oO}6MDKEwBe3q5tI{8QbX`vqO z6Qf?;Qk;cuT+^2P5!)s%oZ}+M0mtkD-0q7t_^uKA0PC-IE%E#c*Cb=ths8pTX?dLo zlXflQtZl>q9UqRJWBmkAKj2M8X@RFS4Seba{9(Iyl$Zo^@*dt<6S6DV*hBVS?u0HK z*wcP((2ta(vQEoG>84krbpH6EPlI{BOgf8X36eJAGjNqoR>0kc5!3?yvRe;v=puhLt%%@ksd`cU_V2fU0;-e<{abQGh!VWx!Zooy=?@{T}dfC32Z3HZdWc58eKI5H=ZO*p!V(-;-rF89ue8_x^hlxwsjb{WaEf zpOWCwvl!;CSq)9{YEo9Jq#k#c3$xdZ^D&bOQ52uwX`=jP{rA^GSb1nG1B^Wffg>f% z$EeTkVMqpm%7C}F<_m9d#r(vUJ}K_YdD!83Sbfd$E85(R<6hn`PjNx@C9$AmbJCGA zi{@D#n}q*fMDUd$^QW1$ZdugNA7q#gmE#c3SKaj1g+3U^9swt56|5azaYxavGzwgN}VG|cyp1@k-|bQ!KVS#(Z}|L}1-C)?K}4?tgruaBP`5sh%fnNQLUF?H34@SmkO`6>tB zj26<9Ta_@ZQt2)P->eL-QGu#1<5i>U4{1^wg+AL3YSf(aOmFv6z&ZwQRyliTjU!#) zMzW;O^kkR{Y*t76%RCKko0^Q7n!}`NuRCqIdr|qnHGAMtC&k@sfKzMGt$T;ALY;Z2 z1bN2kl#bs9qg!IOWMZ>4(U)z~jXmMBdf)?EZaBgUzBfnzD|Uk1XC{i2o%+^PFNh~9 z#XT;pz2&FL4M~n~wFTm9CCJ8K??;tDQqi{c9wM+r~uPKval{y9! z))CfuGBZ($DbbSRw1Oj;sij&gzt@>Kfp?y?h-Qi`_Y!JPTrZ<&14LIc>9k;cH1{fV z>^*B8y6Nt#!cfE0&AKU0uM<15;%K_dN zI#PAd1LSzQ;Elz=5r$0Kuaab3s1FtZdfB6P&?gQXNf5-Y)MO#~}M-$6# z%uskkJiPA-Z_CG6rm6`kNfoO(W=0~UH}hV!nokNH@0(yA+aQd8Hz)179Yg}W)UaGD zQo!k?IJHhQF3d_R>Yi@YAp&5bts2!PYV}2~;Lbb7J3rzK|H#I^B4UUBG?O;XcB`Sw zzDx+@1xbIqgRbY|7@Wj!0cI>|E{DR=yn|)E!a#&{_f-?ieZ(x3Aoc(|Rxoy14O`@z zP;cv1+E#_{*TiFA^J?}{UN=ZXm#$aylWLH;x9Z+gkywgtOPS3uts=7f|URpsVt_ZdhnR_0Z>*^&okbF1t<(SB6$rqGUt9A)2ysVEG3cAMg|)_^D-XboLKV@DjWU0ax)&23LY-m zKjI+Lb-R7(Qm#~klMbjR#F@FWs4iT*NW?^S1IwpCNe=YgIEAxLbD|X@qM=NEMeV#kbU`<8P#um_SWaqMW_>hpiie8(V+p3c9RM_i^_*#&ro2|P0TWI+65@Q2% zMu0k~+qk{sUnE(zq>1jA=gn*eS=V-! zJ6Q`c7}yt1yLGw5d7roYSd=BFc_fWGm%w4vZLeT*h4QV@Sc8zKq(V1g!^uq{|4+9M zPt8AO)(};tuGEDvjhB+-$kvF22x&g2SuZCIPUNj}E>>A@Fj6UMqz4oTdnRi#BMCoJ z&WTYoWdo{QK(CWA1S$po77(jf^4q)2Ck*e4eT3u$s9%WHKsvXgUcpU40*q2>i7Pw za`LkNx8&8zD z_CcngGoN%>lbx0_X0zCXAdD92PKM(6vK+Hg34OJC<2WK+@24}1*?Wdq?NYu6$F39( zGgTg@kOzC`{8fWDFjJd0$#xvCg^SfJY>6%u6}{kMC6phkP$HP@19K@sV+k=q*u;skGOxjwA1yBraVU-K=%w*i4`tCCiyoMLB9Hh|6H@_*}7Uk-SMbHy# zSULVSc3%Q^Xh;qSODjIZ9BC6gJ))`D-De@xBNkXGoQzIZ*i`rBFGz43?(AH*al8nk zFZ_>>OxS(Pq%N6MbZoq4DU7uzPlot{d~WmQB8|T%QXeExGphV3U=LMYUJ&)y*Ooup zI*_8~e5zJ!sxJ~$+uoOA44vu!X2KahfYoCnpmiIWl;z4NEhw#@#tiav4e`d6*7hlM zmu$TY0VT~E!hO!ICf*~P9?=q+0lngAz%^y!PC>n_i9nkCb&@-z$qTuA#K|=>?1@{p z#2`kL$B|uk5gD*zB~yJC;VH;dCtQt00lgXTjMeHYr$|9`FsqCnzK_Q)`^!R=O(+v- z6lGk1s6q#6#5-o7z*DMH4RyJxo_0r|z2OWDR=|ri$Jug7`?wqXHY+SaUC3F0+Zo*T z-DeeDLq}sHrw}C?*hYw&Oi5!vfIo0La9#d#o(j(yJ`!tg-lS=mNyWJfInH#6Hk0s_ zAe&Uf>6I>t_CL5mUj7EB8~!B2sVed5Die{=mIuuol+juLzEn&-q(qR8wHIM8hpO|A zO07a)M3J~(|HlLV07JZN5gYYZu}0=lD&|a0l1jO|53(}Nwbhr9&gbuWlzKqWMkN*e zcki3^4zAEJWFVR8a?kA`)vn9P;JJk3p&l=FYtv&r{0MOsZvCacD8Dbep+Hwl2oJ3wW9exJJ`{@nE0+#MC`!g-X%%YSWgx0mm5ys zPcsTT;+TO!zKy@A;mAc|r?s+9)BbN=_T4Zo+K=MFF-Uu{s}8(Iey8%|?WbRcj!{yw z$dw&?7Q!0E(aqmJdQvo+y$@3iy4_p55nWt_lrc{qxhE|H2QsN}rxNVz5ft(3!_VB( zf4GYrErQIz>SvW5qMMEi_J-%e1AverV09cJ6Y#G0z?yLCwYDs~-$qX0!R$ZXIq{?| zRR-&1oqIAR5?@(PdAIQZy2K_*>H!GKCPj52+!O8AyUw?2P=i<|Wc~3Zr^rf0IL+#I zpJ3wN6!YZ%h6g-s_{E}%$Tas@*2jI&i#yQ|nLvBA+hnj;Q6NFM{+e0%@qIdB^cN*X zw}HmI^fOZt5~_}SJ2dsU&?%S+3{)TKrf7fbSQ%|9{#(-&GUka-mOVg#y>8jrFlX8S z8*TY^#-O|LyNceeD~{{G<`Y(twFWNVxSzcD1;I9GnV+$ZNwvmJ6?>;x(N)LRa%c`GHf9|?l) z4L6_wyjhY1*U4-W!dNUq<&e2}qvikb^p4??bzK*3Y}>ZYj&0j^(y?vZM#o*TjgF0u zZFlVC)boDloZt1MuDW*Zx#k?>9&=5@>2bk%NrjUJ_^vX86CwwN&0CKoL2FH7(RJGX zMV-`h(=It`Xpj^P^gdgCgL4)*{WpHi^mhdBB8qs>xK11z`QQT8bJmjA9xlomZ$MwN zNQ(0Ml{Iu-5~^jGbDI-Pz!7f=!p%P&H@M(moUq~!o?FCrR(Mv4L1{rHp;oFmKU1cI zx#qjMr3YkCdqJv({Pv3Di}&~vM`s-~ zfq{oJQ=3Z5xnRlXD>diQSelS71j`wXCV?(Ut`8k2%&4kk126$ z%EQu74~=1K6BgK9O`ihO^y`bw^7R!}7WClVws^;OI0I7{Lo7y_zocX0&q1oqtepL* z%r`XAFa4AMx`_@(DHnxaIt4LOmum9B8#yh|5FmFS+o7NQE-{F=t&tn>usXI2a*zO5 zSF8yFf53dVcc8pNv!~=dph63ft%Lbj{7Q56svmAUYmXJy7jKE=URP+}V@zgTC@jW+ zf0{%s6?75x7&6H7`+fwm{;Ys^OZaX|-OZ@2XF^ zutjs>EL!EMozh0!zS6z9tUQla5i!`miY~C7H`af;a~rwN`7G-OX11YHKP7KGSZT_@ zjh>aL`k}aa38KzCzA2mEE~B1d)>P{<#{MQ8MjV=j>GtC6)X_B*02Y-_3pb$1_i>f7 z@KQCxl&&FZlGCo4EBJ?-+UqTJ(dzN4k;2}4TF(nZtn&7gb#Nnw43l6C4K_z<;CS}* z8ETR#%@90lR%Ze#WardrMfS%R@yLfYVOgo6b=M*=O3m$KhYoBD0oYN9^C^5(>j@%K3fie=Uj*#W;|QX~i;_GN*i95rA8E8<1k# z12T9S+;I0snb9IK1uAi`@!Yk+7ZoBcn8jOTx9p9)eYbETIdv}U)t_gyl7Ay?gF>!l zm#7wVoWC>4h_5#)r=eydsK8;O!zB zj3P%W(7UCWsi}J}PYItgq|{=u=Ib*zl<=PJaGr>!Gy;2u3|mxDX(8ywl)^VhG5%25 z7VP>JETX`i7{_eD#_=T)L-F|1L3Qlmg9IH>6-RP!mT=R^tH5fmqHQ3?uFqO=Fo5wZxEHO7rfVF|ohn zOT?*>(@qzMQ17$Dn7_;X3~u7eV0P-ierx)-3>NoZiU?)8<+;}d)coh@j=fvlD#vBhXYXC${XG-knefS{4sjmABDNa= zCR7_7ykT;Rsso)>$e^(Masnwn|SjgG2Hkp_Gj*i#)RTVd^$nI#d7H zI6g!k>e|fs8rtg=r^@Z=cX^Q zu=OeyYZWlkzb;ml+dycs)?s=7m5i`rwDQv2=hHaWS-FUM5sJ3bX#A>sQV0NDPy`=R zlya3su`Gm*YMMit9NujJNP;Qf6q1uIWx)yC&bo^u?F0G07Vux$G{UC9AY^ ztGeGL388z4$17-{`GOM&gw_^;j#hw0Ler>*2)Gp6QmZpPCy^Zadfh%_eE7~SCa;Mx zE&lxR(-*&!pV$annQ|wYvDqk0A`3nhBP3%Ao?d3gLyH5UI^9Hh*u8kl*T2F}1zRSr zM3)2Q<ERovjf7OPcwf0&MVef@*Teh85Ur1ppn^9(Z7u`57t}4XaZ7I|j<^UdRTa_-E(g@|ndmO$4e(K&oLM~LZ z2lOLmzCrqrUOGccZ)n+ZfYNfhHK3&C=ioYGIe&9ya^`^BqRq^530%C7sJOx_`0tTxXT|Tp+H;Dg&oSDv2{^ChVK8+ZQ*8v{c~R zF=+}TGJib!#|kd6?Yl6L!{N?iDuR6bUUUQH_UQznR7e+mD=2h|X3{O@jSGNE9p{$G z^2}Y1U|`dv3nXNv0d?}z z4X#9QuM>~7wagis#M#~T(RWLMc3+S?fm1R@K(&X-t>tz>)>J8JOb^!k+nl*GH#FfF z$W8B^Z=@bf&zE5{_3+JHd3N5<#ah&6sv?HzlVFjCUlQq+ZB^p_+ISr4l}^a!lh_3`l05ouTt*y1Ps}9Rq(Fqc-Vi# zJH95oD>P?nA?U4^b)B8X-ae8{ixd;U4#8q!(ykn|wyYl(S96$|@})WTsoC6-3#EOrWcUtjyw54iFOKgfqe1OB9jY+nZzM+BrP)K_SIJOUnfwqo3zX5U zCc#0i7yqOq&q4nyNbZYT^U=F`ZpXM-0lM!m-+?ybnpeBtR^r)|8wD?IsoQGUgni!h&})TLf+I~8 zr<}%3Q3w%k2{rI(dpuRwakg2%q1j%GcF=tv@nb8yvzZYY28AQR?|D|_<(`);De`Tm zx{eP}+#gEEM?l&5alTuM_$sW$x1-~qOQMf=#~R*>S&5&BiV+UJ7c;A(5;s>K4lV8t zpFrT|gJEW{3eYIy99Z;Svrcg(H^TP<_o}0Ae(q%0+oq>3dR9e-`smy2_oX~6P@_xc zulaBjzAaVeN?uQj{c+b40XhFF@77WzQw}*`!U8s|J(pU5bx2U|=Tx#70(PZJ=_F1xFiRo)y}wb0qJW5It*~TE{wU6}g8S^G~m}rKW%K1Y5PMf*bIG z^QkdUZpu~(&bDE2L0h?C6X{E?Hkaq)V)4(VRB3sV6ud8I1>4WLI*;FB>v87pW|*IO z7;%!z&^aixp>#&7^o3S{erSr8FQ6xNZZc8Wh_le#&%w6Ne)I^M8WrX#^R&U~vA9Se zY?_9Jb>rVQA(_k+WRqxp3F!$M=I1frkE2J52$CrqC15&dA z8lkl-*v*alO1xf_$Jf2lWP@}rvb;xVvs5YUt^Zx2`9Kg*`G%S>@)Lx(jB#OIx z;M>)bf8lU!2P0|jUZ8s_9U;l_)-AyVScvOTI=9sz+P>o~p{-N^P>E4T*@WW*+g8wE$l~jOQ3qpsksKP_@*618g@Ih;}XW6(|^gPF0=D$2* zpIU+E#H)wcP>Rd`v#176bOc3cev~R9p^{Tu=CxyJD=UqS8=zNaT+rId(~rJ`52p*I z&Q#)`lh12OjJwOqbI*vqtx&hjhxeIy114|Ss7>Eo=mh^wa=-sK$+dqm!8Cnl^ubTq z2flwAj^3L@1EaTaeg^f`%rA_A*bkOp#KI3SQO{C-pBTgSZQ%P>@w+_7V13eV4v~9X za#W+|c+OX8)7m(JH+zkEIST|L(dP4~u#ymEX4wBxiA|^>H%}9KH_N>*TG6DHO#}h6 zM|>0op2op%#BeU;SJGxsqxxb>PGcJa*NgbGCoZlB1(TSP^D3teYNB%NsMUe&Qo zBo;bXiv`mQ(_zdMSUz3_ARFvq^T_7xEl`?KYNm1aStrmW`IwzsOW+Ou9iVo@m2AoOqrH8WUYNiwx z_ySX;Pc%^KPd`+ikIV0S(>qdG1L&Avec=A@WeR``Gn|MsE8TU*zPtyd>ifk z{zXffpLaT;-_nn-gE?>8){=Vq1NzoEo%a*`M%e=|DgbK1FzVRyEh_eZ@6=De9QMll z|6E}8u<|@wRZyx9Ex-!hZnyaC;$)_2c3_0T!R+8^MNk${3*O%H*fNoM<;4?0di4Yh zgK9{#W39*OVmjxaoSV&Z``gR0I9H#UG5>pLP`p9qm<%AwN2HC>U3Pm=NA2!GnU=5t zU8t1}s*H=!wq<2ePadNjO_JL>T;+&uubwDz;&l5W1F1f!fs!(kveW@St{iJy6>{Ly znyg0rRe5z3YG*_2*DwaMY?3%A(_{$M!CYJg?sAc$Q8$K=gixJXj>1t?bRh@vS)F`% zh$o{6ktsiyS#-YwD$Iws0I5$Y^Ki|I))O%T6Lq1gh5a-83@k|r=(#iPy2#{UQY+9$ zYZb-P&rgX9X(f$o$z*MgNjK%-*kCzx!lhXzPip}?AyqRxNrJlH5zep(rO}xt-NmjA zb|DnhTtmv=ciFL=kjYIc827pJEXNa#6ZFl|+{h^!SV?H#Brd-dn=Gk+OvCB3XozEb zlEt6B%(UC#{T!fC42gp?rjRAmX(0dOY`v^{N^?T&{ETGa25kS$uKAAbZQi zNmi{k)|eDoSB_wAT9T*Gs!V6tJ+>yXXZfLFmv|KKz-*(;JKv_|RyD`6Cw4{>QzGZj za3E+!x3-0oTnB>-6D(R|{&;$WV#Srh7t5%`yX+)@4_ z7_f!a!0U&DBFQ(=*f(tTy=?pFHQ#ghO z9>_iO4%{ZfRxK{m(9Aism$z8c4H|q8L6Aw9WM=}O|`-lSRUBQ3@B-4l_?_u1xVJ~hKiQEG8d(}xA zy7vFNghNvNh_LMLV$(BRl~JoD&*(Y}LXyr{pu*Yn>q*8yTR{g1dX$`*4G1N0j*Kea z$-_BxH#3tJ$0DBY)A}itXH|@IJu0(4QP4s>vp{?v=dAFO6mqRL%^M>-3mh0HS}z*! zV!Y71J-Vrs_2+glB1|_KuVdKmVNusqf~zSI_Rpw(u}MOgdt24(-Cmr`&E8uZ!jJ(Y zHnwrYY&mTHDrl~wIINzMx|hee`#$5@tL;)r^XsGCd02j>nlF7Dm5(tP=^O13aBt$p8V{)Afr80O|@po58!ti9u7y zX-dOhx<0tt43cjE7Keg8+y`=x_ zFSAcjd9_JK(^KrhLT^RRKU%U#aG@KV5=rHp>`9$ZEV79Ny9=M2f_ znAbo<_g{=kuURymtQvl-0+u4NlR$7|#9qOZQ>$_LG++;uanF;}hW^*Fq|R06j~(?h zYJN{GGFr^w44A&@wTmEB=Pk1JSBR@@vMo*%Zx`)a39|JnG#XXc!S%$Da6H&f>4WQ1&OmE3`~)S*SA}aZS$#_f zE>)p`I!bfg+FZ>keU(VomDV1^M{d?+8T}Bw7S%jAD>Q(W$I-M^ljjdN>q&*Wn{GA< z>69k;MEY{j9eY1J7~-6!{e3`@I`)PiCQTXU=~NiK^0FURRdYJVUz1*Q6o0G_F}PmU zMR4&54&gF8h#ht|bLl({OP|`Nbw`YT840{_Q@vDez%j%v1z(SiezJPVZMnJ z7hnQGhQiCEQ%calaP%0RqJTrU4DKDXihzmyM%ds{2%}6|V<3x$4RUFb>F#OREs<wsqJ5dgtIpk+At?pi4b+{Vsz+jasuVbP665N-S?tl%> z;MrLOW?nKA-vpt*FG+2J8}?tcKiO{E)-#f71y!~dYkB)1#~HjR4p4>2q?b31W4Ej% zGYVQTNG#I^*KfPhkf~LX@f^?H533u{PiETz0dMmg&b1@j{(^@i_hq}aw`W#1x zvhW9nw1{2^gLU|i#)X}$q}sA4pRJM!$$Cpn=z0cyNK=3uCR(G_2y3BRDBQnN(95?P zp$nUV-D5;AWE_Q+R3QhdMJXjKRd#qwSW3~;Wl=R5_UoB7k5#P{Ix3sA57_052wgY~ zjxcXT;;wRVSv_)GqrDg?;>yqpTMr=hzjpaomrC1VeY4M4{96LmHS_sb81%3U4DHlL zQcNyN!WVya=rCvcUVWl7eKiA8qh%m=oQ6s1uIfF6u)=}znA3ank>CF-4RvOOj}*+!+=1TAwMV5|Di zF6JKc%k$QzZZ@V8jsJ1oNchcOic$}|kbg#g;Da{BHHP_HN3?4& zD!0TYgGhK^sHA#^|5wyeAgv>IMW<@6y}9l59_Es*90brmuh-UUdg4od=!HFS?1qExo*n@=t)7O zDme%DxWM<-bMGrz+ZJ1O_sXX0@W)bNhWqmO&^}gr4w1HJn>Hadp@+UYMpbqF1`$~cVdVYJt(?t1KstQf#(4` zk&DC94nYMyYY$Hw?b)|}boTqc)vOyAhwdTTmNZA0ZyQlp8GZFhz27PLSxc|3Q+v8M zo&YSX8s-Li&sAAnT21jw6Bje5A=Q5q&e6mt9l^z+IfhlE*?J91EC`FW&KXOTHOTKt z0g7yl7jZLPX(~gfqJ??ni)=v9liP2(NXcVxy``3|nSuL{*d*N|bz2A`j z82nSo{X9R`eG|eG_Z(Z2MbLsp5!fB6iPhKYLnKiey+K*}d;y<3PSWX8u`Q;YMRTUk za93!$kXJ})`uz6%M5QNSeo&vnSug>+?w8K|=s&l?^s&*~x1a=(BoiaQ$5P^+;`Xt` zC+K02_+8bv`QQ{9ma(4NW4a}ZT)DMig5*Q2qqt~ zz7|_}kTBkjS^(z?4=Y>%pG>sL1;l?Y_c<(4vMx&Z6!)f{#m&*%9ZSMi<5Y?z49 z&914(`X958ED{MX3NJs5iGu^KMO>XwH1uO=S-E>q#AyE7JJf>pQLGAZIesRqjniKbfikq+Rk^D9I zUib0cWuo)v33x?e4~wtA*I;(~cJJr!K&y88Qi{4b^4DK#BYk%-k#<;=>8t9buPdyS zlCmx#&U_q+GH%uYq8FD+X`&v%+AmvPW}21mr@MPog?Q}8@;i#r#!!ghQz+}= zH2)&=(p@b0*%MHk5BkZgGd$mTbz{Ai!eaYuZVa8PsIvyAd5dkB`z7 zssa>7YFqZY@IKs4w!ohk5VrxOeZ-ZEvm=9daS1Hc|m0XrSwFxZgrB7xum#R=Q;oVkNM1 zGfYYw2<KON=wZT8Wui|IH|cza-zm{_A^ycaHR2u?mLo9em_$t za-TL7(p&M{6sWv zV_A1bQhILFFb$&@$z2!4#IrLe8E%o)M8+uvKeJ87*YMSVZ?%{kNmLpb;Kli}deJ>N zmt?Fj1ULOH`46VWGM^$)&*|SCYiQ>1V>m-#=>(hmfi~CA2WKBUL`w*vY5I%o8cs8_ zUQcWD#c5d2+y6N6!(7GT~uTCN@mRhg}cX7?*aURD-9(6#oOO_I! zA|EFNKV8QFye%yw-Rspog23aTPqNbPJBnV9DpKs_ssMPTQ72{ESr`@oXWUFocH3m& zjEhw`G+B6hbR@Lcu80PEafYl|xZF0G@=r}^eaL2W9D6g_eC3T8kFpRZ1T9kh^0CD3oUWMnW6VaJC zV18kPBP!tvaP^6(B&$r}|MTk?WcSRt&nSL`bd!~8Z#WluU-6hzeF44hA`PoThg>=T~B{iPz@(j_u7hL z-$zRR7H!_&t0;o&P@O9dAkAOARR1qXPINxbq$5m;gE}Xcr$h=&ae}&2*9qLhRodvD z&_TgfLYn`s**3@hg{g_)CQNz3^Z-|hon7z^*14Rt_eyW!b=BtyrOv3$s>a|t9tOEI z!B&R#<|qW&Q7h4qiKW5zmx^IgQ@^XD<$nZie{Ne}IW` z109g4P-DV|4~4(c0KMv5)GoNd;jy1lH3bY6uX-!67tptMklhfp=P@n{?mWl`f}?H{ zh-82J_ai654$VRRNy_z>yiDsARZ7Rl?b(*B*&4@2%B+0>SlH{ulcKfzpCSAkJvKa*in5t)M3S^6_fNo{^$%xJCcVSw{ zIlSp<5wAda631U4K}QI8UoNmW8#t5gRuv<61?}q-AC{~bkU3#XEbH?Z{LBT-Hc0(Z zMYO5w>TPoLjBLMAAK&Gyww|$D9DM#N3!cyG_x^ z;Bs*DKz@tw8E3M!S&-FOyaC9xPq~z7VnW2}-`3Vl&h9DR@fn>>Gh~RW*kTwH$H>$! zMs@R$1UE4es+ICz?y1@VoS|l0@uEV`k4ZXT7*6u;knn6B2OQ`sqv z|e!hLLlwc$n&7w<~SqkZi-)#r{&_l|Vd8{X~_MBF4jXYG+k_JKxXzIy9Y)$^;D`hkF%s^WK zXA?=3Jn_fD;_0)>v!SD9{;*j3J^EiHumMQver$!7_xVOO{CV(1_62m)*i`cZZW=VU zi~s0V`nY~6QN=PX*jk1yT&S8cFOUJG%z;V#(clHC`5zg3{}*ZN&XjmqW-l8B*9n`D zK<{F-0gJkf6uHN%qdop^T!9>G$5KIArznm1i18;Ku74DDbl@jLU^$-Vx!`A-`Z%2~PWGX=+#a6}-)vh`vs#STQh z;=^{8V%;RSc27&$1F-#cPDzetgA%Offhn>8El=0X1|05Xn4@97>E%*Et7=%U{x8#| zLvN)-+k?pTVeIC#@`DSBw`ED$CKh3v(y+j#3PF< z5lM>8P>9|xIo`0bvb}@$3UBlylxy%(BhaI|67OjZHHLb2&|5xNI^#3>$bS(Oyg3%N z@SdC{JkC9|u^R|R-H@q6S^0ZDpyj?K7q#GPdck=q_oAcXM)dEknnNnEnnTqs;7TJ) z^jR^dA-y3s=!XO-)9=~dtdIN{ha%%p(T*b`-@1~NNiZil)f8^R$Y2~D)`W9E7+)jN z8DDiKMQJfiUk< zXMiRX$gmOOTGv)Eu!T@Nhg+o2gYp4aNV+JT-}$;9xQni+*^m9oc;JDVl`W&}VE zNZ6qqam%z)-p00II`6jIBy`7t^ z@MyEIOSiaRPMgN3p61<_6<>}RfV{>y-(mnq$@KD3xdo@m|D5pl5_0}rpXM?{~XaGh;5H<&0|Pdjr*>5%miPoSE3CLSl20RIXDekGc zZ&n#d;In6~evzoOaE`c~CjMtVQm-t$dax%vDZD>t7G^s?SdE*S=W$$N`vi9q0=LT* zYK(4KGM6`u+_@fs36N-tq-)e@oKlz<&u3e{a9Mx zxou${(lGhmsxT(hZU3$zfV@r|wHd-#^Cp!D&gbPQ@AhvB*6&La^)t=Fft5*8HS4<7R<(D*bD`k59`ERIzA!N;P!{{cE^o5bJFMET(W(` z?y{C&*C|XDNA=9}E>V5{`mOa^XLm;z_mC-Wrrv34uRFV+OkgtLFW%|Hc^5_>4-aE ztf5lj7}9Td)Afi0D8>q4_NVpr7u^4I4@iQMk0=0!HGg5ohILJ&Tti0ujIJqR03-hE zItvee+smT$`yfd!bvRJ?rM^TO&1Pzp%)JxFC_O~m%|cjx9wwkf;zT;kkdyrhWd(^` zg9Kp97He6pjQ}DK>gf#8H%8?T+$pl4lK;QqWY@|o6wh#bmnT2yFNRH-E(ZOs*aHB8 zIh$b8)Ut<9GsV3{-^_xetIomlxhUt%UEU+G;iPO_Cg-ESW1&!_gT6|qvevk#*WTf0Kr6~y13|({gVlN z98z7kk%ZfDdh-Q79e<-BNU%EZwOhIk)XFJokMhNKEJ|O*WAI5;Sic;VASMqnljkH5 z!P8Ho+}R!Prva_&j8^fzJP60&$}+(xYPKsC4l0M_V%Q9DWiU8d87#zSyUK9~6T62- z7%;*Q`xYTjX|~|(hbOrsT4GC$XL2TK1~I(6&=R#W!STFKv!8D~Qku)w^Vh@PwrMuU!6V;CX25bha#r4){~3w+D2@e zK{$SFtpJ{$G(uFlGY|TUxFc(v z!Vw4bvl=&y_2eMVi9q2zZJR#2{TcTH1vBnNPM_QYHPha*{z&`!+eXATNXUijwt7I)M!8g`-hpL?xlWgJ5l=Cx!Gwq70AfJg>yo048sJ_^Pnp74)Fm7 zPcFPE+s~s7eFBnaMyaA7^bLSF;JW^f6>)UnPzu?s7^~)EdzOPzm{EtZRi0ClgD_ah zI{RTZ$pYU@J(^wMq)0Mae* zub6JEgbMx-YMJr@DuajmLo3`I$GXFuVk72v&y;by794fvoos7{(qJz&hFJ5$N@Irb zY+?;MVfRM;uxs)t-mp-ge7)O6;O(+pEwwcczM4r@7NE4Zi6&0xI36}7C%Vh@(D5cx zb6@vW#Pg5^cGFPvnN8x6<*fNb8jUoFrHfQ*ZGNFSy&B%BpMVqyo1&~>i+ zpn3|hU7F15nr$a;X$qu?NKSH*(0&*PHdti|5SGOgYohHes_F*Sg}W)aQZ9~DK}E+R zphdF~nDgNZneOS=(7OMoIo4e%sW_e*ZoqeBAg1Y2#Jbc2v3D*P{Rz%k(qdO>V0zyF zgM6viX{sg4uF4hg7#s~E0guEk87=rwV7C=y#03D*Mg?cdU8)G1M2 z3t4ts_QOW|x|Ff)2tUkuA{+P?(^oWa#QrJukU7#5RtUngt-xVF>D$F8s4=1ouE6>I zP(#hH@5rc``C(HwcvZ~4V(>l7OCCT3j6Wk{zHBaK`!Q~B=Uo;fIJB_UV$y;?8yj>O zuE@5qb$8Q$n7q;&MF#Hfbh8OsQ`5r33lv!PT)a| zFK-d0H&k*RKww92-oA7Zc;e?9V#{~;J-Ybhw-f|YFSxd3iu8!2uMSyVi8%6e?1XL- zk5zA&H)J8av8s`_hlYH~kewn$w5>VH`$k__WfujCoaBQD^S!aO5m97&1)7_K^Z&Qw zMRBFuB{LgsH;uFdGZpRfX^NgOa`xkX!boJFh=Xu`7KH7U8#WahKlmHa4E(a;7OnsO zi=J`D#5qE22HEy4Fd;Y3%`gJDf+%BT>N0c<=r^Gxjqp&@J=B2b&LkRNIkm2p1Wr(VmI{Hw}~i3oeNUB{8FsB5ufl(c+H88Iv#uI3Tj~wtoStn~(k% za1ZgVR|b|)DmSp%bu*lsMqKH(LMlx#^)~q2a)ksdaOHae zRB;Cw`VB?nxuQ-zFH4%~oPa}+p0N>sEQsg_&R!A0|OIJaH3@nLvl;q~BU4Tgha z4>jk`3Babv$-iUmR$%F-sDGxDpc5Do4I(8yUW$V_Gj*5uxD#$<6|7+~Z{B5F2KfXb zoEH_LJ(4<2Z2{Z-dRV)v^9{lN;#wh@Q9FZ_`m32Y&PB&Dq;_L$REzSTs)(X0zIB}MEzv` za8==JSVNFL5PE-Rp3gsU(48-URlt6`Zk+-Zcj3I8bXpztx{A}f*sXQCHS6KLS2au3svRDW zcx^3p_RITLbLi7WTsg6!+QNjwOF%H`-Wv3g0!vwl{z-cujJ%ixpr37If%ahEh$jgy z8UAd36lCR&^(W67wKN3%?{(_Q5Vg_%QR>Ora7Xdj4??Tw!RQ|uUgajxv-lpucg*h7 z+9it>lT&Btm6rQB?EalU==&RnL1qT1As;-DK(9r*MXd~(sd(DJ&9(YN#WPQlYD_*p#p+oyYxlRMU$MCZJab9le{R+rW#$Ld|sn&QN`#{vL4+r;L z15ml1c}3?riR=cXok&W^KZ(e{6g_l z6bWR^9>JnVr$5bYG+mjDs%ep*$c>wv-UvetDO;Q z18G|C)B@c5uOZt$GvdLjJwre0iUV6gPyCKYKa8W)Vlo=?q-NQ%_0|=Jv?qKknMG+C z`-l?dWk?-B2k3TjYKVH=Pn2?<{;2i^E+Mm`ZGeu-OBCrF#q^+i=gQUs_CXATD@yA8 z)U{F*utj@kuU>y>vbydJg2|2qM5LHGJe3b^EFg}X`n3zr9L#?WuS=Q#;{py#*EQMO z$VQ(A)6?$5vGJ(LERx-rCYD8J!^vshN!e^WF|Kze>=s0q*=+nop|PZ_KIc=HBy0bU zrqxVW#J8pT#2P=a%&!OD=BZzDvVp>XHG+Ho-lG7OL^a#Ob@BGUfyh|L%eYDp(GM47=W`;#M2V;F0#*` z)O1~TqW?yt5X}<9FkfvYXH80G(_|~hFl^eq>za?_I?fx zJDy8Y_aO__y#GkUye&s0%2>ezTTWmzs32z9Ujn8nT~`7ZdS9wg+KYjL3LO9fn!(uI zEuP4@8%>0C`!9q&K|7#pR6bTR36+1NggE>@sJ|I4#>D6l2st>JPP>rXxN0vkY6Zv7 zq^yu{c&O*b9iP5H0yy**?k++tyCax(i*D`7!qiinmFF%90RiB$X$^i{@Pn|_(M_V7 zm&Hl~j0%QbfEq?|0c>SyC;;AZJ5?;O$=86IgCEU6tQ4kc zD+@w$?-~K!u(qSqTSN7uP)Of6Yi>{259qI3Za4iZYyJQ=yRmHuyRkmS^%Bx11;p1~ zo-)7ki0JYfPUN_#T**#UI2;Z^@!b8Ez((Fm3FK z!R>%JK?oxKgkJ3Az)1zZyOsnGk~Q*z{8BSBZH(Ex#91g^Bmp1T>Z>dWFJYl4+OJ(8RT&h%tdCbMV$UcPC3!9Tq zo_#B{uNGPJq5WwV@E-y7>se?~JH3-yS|Sz+;SG%7eVJ0ST%)lcqrZcCB*-g8^1Jl! zP*$WQ2lW>Jfe$}6*!#HWaou5(8CG+TFd$FxeXqI5dMf-Rd(L0afcQl@=Lfc3M{(mh zUfx;*;*4e-{fo(aM_bj87ZLIpFFnJpr|P!Ar+7I@{HFE)#SKvP3!&(GmqJgB)!_Oc zd6Q--nhH2iJFlx8EHn5&92^h(ux?%-PfW-aZBPatJElG}2b@+yh%b1SsqsfAGS>Ty z(=lP9j?famRAgZ6t!b{H;sSc%42N4($_F#8v;ApR_f9WP_WU|RN!JOz1b-7nZcg;o z^$U%hyK0_!l)U0;bD=+lVW2Ynj(8G-h|hY8lCR*z z0`9kMDW1Jz7SI%GhGZk|Waoc8Q6+aJZ|}X$;d10`TH*R8J4iBXTyf}KqlXp>hL5J? ze>$CS@$5^$lCTN?WE(5ZQHhOW5?*&X2-T|n`b}o z_nq@^|Jh^AvDTQis_v@$D&VwPnpT~0P8IpUQpmdA8*Fe!mTJNJqD;$X7t@B()1pLs z+&X%9*VVld&O=X+@6yJdo$DrhvES9^HtWUqonVLk{fr)_&ckD>CwWbt^J?k$*PWi- z{~h1DyIyWSO~U;;@4Bo|L?Hc4MTchHhD5$b^#2nW!eMA3-r($)Iu5$J!8lGBlfmck zNyaj6s!7-!H8JN3+x4qs{GMstF{@$&TG6&`r%*PWxjw&DMZ1;fM{o;OZB@5jB8;pAU(lA&weT*tgqs#*xapYzIJ35PD0i*;l9_no~z-Pe;i zUN?N|2rC>w;Nby$=ok5-L*J~X8~F1^s@bhyiRCZE&c2F~IKu{>hrq1?Kwnr{bS?eE z7_cOd+=gJ0+efT^|*>PTwP^ zSakw@?h`f*ax9rRLK@0iiE|_r{N0)rR+jCpvToyj1t2|TG6_oFgEdFn>M*%`_m%Ov zu@aoc5Qw9z{P=Av9XP&!n}#HoV^~)os?3WZ;(t3taL7PI9mn%%QoavEbBxySxmY+I zvAoYTD8u=0NL?zL-dV&Up_b}r_#BRqz*}ZCB zyh)_DvjAoVssEztzY`{er7J2(!73^fKHfvX+IVp!EoWwKMNG=l1FN4`{=a;BqGwH3 zg&AaBpgDbBmacnh6t#x+srwNUb8h_X(k%D5X~DDu>p3AW(vDOSTE#}WiO=;+2TT>9 z?Z=T+usN{^?aHFMPskI~o*}C&xX#2j!oQ5gkwosq5-Ah;(9dl-8{7o)ml}5xT4-Y; z!hZ$yF8pxI`F&Ul^1x>}d%w88jyStj-nAXuO{QDjD?}Mgqkg-b`dJ3kha!{x;7N-A z#f@*Y3qcl7hLTJ3h^%(`FiSb$(9by$_~MetUMxs*%Nw#Ic_$mNi>z8KnO-(GMz_)1 z*jQ+Cf4@!W#nfN_H^eoekY}rM=<=7XKWTnkIu+kqq|q^s*J!hq9P5^m z>pQqOauLR;>dEV$u1|ZiHl8P%r}x;T3B4IrZtE0MjJrdZ>cFys1}6Xe%}=&w<66Y+ zb-(Xu`i5=HW1H&P#q>c8@(sBQ4E%^GwDR!?t}N!?rWtu^kS3Tqw*RTBfOKGg(n1(vKe**3!| zcUZzkEIxdt*2eSEn@7_>RXWhVaTzlYa=)Lvw!IU)ezB4CyN-W_qY30}DSq;t@k>l7 zd|GHM-~7MPNO-Jq*Xd3aa^-8NMNsB$c)6cQ_5^&JV5=lPnEp9>YQ*l5u3w#lQU?8XNnM_KJa{7t4Z=5aAC%`H-q#jut{EnvJ6spYZU(i_M zzg`tm>7ICk4rvi>)iDT-i!<F)MAWs9X87`vj zAc#dK-!qI?%^+&*QZ+RZZxn7O;vu8ZyQ-SQ1+;`p_H{IbHf`(+1jn{L^{9hxvTwj4(QJQy!_^d2+;NNHqPN*#W=Q!XXMu1I%koVFc;$E*8if0TKUF zc0q8g9S<|>bJf4$`)aca^GwY%m7!~>>68Mk#w(am#ydwFpc3<0wxPI}MaUPn5};~u zjg<#HH1{==Q>tD>dClEroR5_#@0S?jqO|V~$M@iy8N#W;p5xO7?7(ZUAbjX<7pcSj zi1TU}p)lrp!qdBes2aKdCgq#{XK?In*XXxCjDyKq{d)3>l0OQgq|IP};9*eXxw)i_ zq&brpJKl#9q8S&yc0oM&uoO-Mxl`utR8Zkn@v??#Mr76d(W%EOcqjqGDX2HQS%L1R z5{TZS7Ly-Y`TwJ6s#N)VbFhdy=}PM#64b}phiJhs{;NBoeGW*Z5SF$^rPWGC-X!cN0&t%+F#puH~gw!O0EKMR!c>*aki#qI5rb^$8An8?hJ5WpiN;v-$&S!)E z6V8?K0-ql=Ydu9PEozC%WecogD@6%pB2u6z8QaYTx3+e5S?$$D#ALE@V1m~7C-|dW zeL7lPCs9!@GxxVB!ztcMEVm=W18Q!|}pApE7 zJV9Msyo0?K@Ukli;rB#*97Lg_$c0GX*?Sx4x4liVbXnt zUT@i6uOAz`K%5M0eVSe7E`r%kPx4%7cTfg`lUo>a2Z8OH3qdf`V)kI%4Xq7lwN;s3 zb=>J9?8zR1_a-jcNMQ}!0+g%L9ZA=w$;qWp=oZSdq(EKv5`8X10Ssux8G^RCMim31Bv4i6W*r(`G$K3 zC7$K~s6}oJqv2mMUxXemMY#5)4W{2QEx8i(15?W0Pm8d>MvF{Tx$hAh5=O{$eL9)A zc<4z}`v!p!T-;sjZ=F>3S-fd{$024>rilN18x+51fv!jaCt3t^{@s+A&Qety!+7gK zYM)90m|s5X5y3Im!71>UVx#L)x~ro}rtDzNe}hqyVtC2N#P^&PcxCJf5ak|d4eAl> zt|{G}B;=bc9bDM1X8?0S7_&1eKxRz)9aj7Qm@y*i|6|5f;4m23>Y-Wb^5VWSaVQ9K zPA9u`Fg|tc(Vz?Rg3{{8zSa0SUb`=1~GLo+sx9t-F|TXU3%zZ z@Oab3m2cgDQ)|xD(-7DxxaBj8Rx4ky9uf-J!OrTsE1{~f*yIaEy*F^7u|Q@#CmK6`twL@M{L;y zW6Qg^q#K<0EVE5?nq}hklT*$!QM3^KPRFhwbVa~1MKdlOm=M1F=PT1n3H!E`55p2u z#43;8vC}S*&vR80>yeF&NLB9 z@4`bOEFeye`gf6mV(Mo-5q@Sm$eh)xHX5ng33p`=Oj9YN9i}=CXaoE0Ndq;pMSp+K zVjwU2@Gl*y1O2?RmVrL@DZT4y3+G(a#_)zar+L>L(IHn&Sn}_=X}_PT&s)K{YqT5j zYnQ+nGRy;xR}l7nEsvP<44|wgP6v)nK5L@);C-+f^nR&_4XFOgL*h*KBZ4`k%q-CM zw9xBrR)5ju%z#s^fQW0sh}KbO@BJBm4to0&*rv&Z{)C9Aw6z=@iW# zp(?k;(qo#@CZ!Qaj)t0>VKvJK4nZtN?qqc?q1P<6cBc-4ksp7Xrip|)pbE7utgMQo z{+ThYbqS#>Dd5+^X_`iW!@}&*sOSHIv%^O zEM>taYEP;vZ_G#sAN0D!h_&}7pDLM~SaFwhP0n4ez3121CG5hm^?vuG64v}zN!Gs| zHWY|&W3o7#=oIj2FwaUDtvtvA-PWgZF7f zfuD95Op~~F)9l&sQro*%)}2jcFPX)cV{3!KOYegJx+aikH{=~+lQ;HOHvc}whBBip z%V#v{<@2nQD@Iz*@)&zRvElv4`v4->hUp|nyCGacV%+rx82=U~9^Hi*+jC@810PgH z{;8D0Zg7*tcMViTM_1wZCC+kfAx>RkH=|lr1$G}F1KU$QhEn}VQ}Rm|_M%mwqUvN> z_cBYx`u}JgG-{v(Z!bF2mX;LWIJk|;D_ovAbKm3Wl~Kgcv0@4Oi((4zL}>yu7iNLv z-rR2>L7~%?BH_6C zwTQ9Ah0;bbpxO&|VP#B5bjO6W0rg^m^f6NmkRYd{MmKGGj_-a9`w#rh;} zSUtR5cJvnmQUNsp{Mr5 z$qX#0uw=1;@cpJm#?S%AF;DDabWhD>{82^~uv5n9mj|wy&=pj{gOu{fSIRlYOm6sr zoW%FWh&;4#loZk9xc@BXj?GGQ;?M|@JUagW8d{-|1t2P(E zqe5DnE&DamOFy%4z-7`}h>oP)8D9-(dI;WR()0?4p~YMEaxxZD)3t`*OLs`{`ares zlccL&X`%R2SGg3+!$|J4q3IFY@43;=9&QVJs~cU*t#W}PMWR!bsk#WIYaEPpx&|k* ze1_95{@-4JCHYdP$Dk9=DB z@Xin&OT!g%Os!K0YORT%?8VCF0aO@hOZmNoT32jJNw{sT^JRU_0DW(YNO#n=*h5y_ zh<0R(eJ2n|)q$R*qdO-{!hk2X76O&Q%jLviqGHUhnJtd<`o7ktdlxyGp@E6+~dos;U>gB z=_h?^!bM5v?^zL#rWc2D%Imj%RWdfP;`HilpaC$qKJ+H^Z-)kw817MWt-B z@;f)#wHDk%b=X7J0Qfd@z@0CtqrGBH$a(gcxD#`52y0FBuxzNN=Mrgk8_Vz_G9r+eaI6F??arSm&%7k4vqqkwl#R@=l&ypc) zdn0rz4?+;qdvj{GHf_=lSdrm#$r$Paqu8|FQlZM$s+I43bgrQrbo~@?Z;E$f&ee^U zbT*h{p4dG4fh%cc$r#KU16Y+;v+xc2&(9!ZV!N2S_~n5=qog&tKmhBQ8gTqek0qf) zq|kCcdT3$`IQ~@`XZ3Pv6}oN5^8IcJY2NtKIdSt^ zz?Cu3s6FfBgDqz$!+(L6YYZ`ygEz>8U2gZD|WHN6X~EB^Wz@xTGkunQ*|O6 zeuNxMXp?$-rC{V^0nQ?2M<@I$(lHVnrPJ-sx9bgBk-& z@#`)MexUG`^NszAon&2l2tN-Xvhs8Q7NqX1=H5T>qx+Ws{C{*EfimO^BT)~@JzGNd zGN1YU)K&tAm|y!7;q`>bCaKtp1n6SPtzw!6O0O8)>wnP1?%ihQ@oId6`u591oOG5y z2sqm6p#+`eYCitAy>ap36E)Iv($2Sbi_LV2_ZJ^FskCvfuvmdqndmfc2%Ms5h8c58 zc-o6vC^LFufpFo32+8YzyBnW*&NmvSHIBR9bM^c#VmG>`bggP1HLR|irnXQAoO~N@ z&ev~p*Jou5QQ+n;XB4K`jL1^pRRVcfrElVH+T0>};Vk54FA;72VmYZt^U7MN5R^0q zl?!0xRaV?HPKo#vRjy9N?OABzc^70;YJp|34TzQp>u7D{yTu`~TWnUMvZFDb)?7!C zUX+1bsfOU&^gL_%eMy&K?&lc!Nn2v<0*6i?4Lg|$C#G%CMpoNv4B+WDBOMg`ez^MT zj=y{ib{h^b+Hl@VV42)qOWRHKOf9f+`aw+&3iv%oOIy8mm4F)cFzyu#6exJ z=VxHTN}em?W9+0z(Yn)$Kh?2PzaJ>S)kns>Qu~D3@(U<*fNf$!8A@;Y^XB%>CpmQP zDW5QHqL{D2p}sdll;t5OCDBlXHRdkTJ!5xvMo zJs%HX_}FQ%S0{4!$Mv9~^sBTXI>1&pKk;ACY^o0d>n)J{J{?I}*>%;7}E5bDajZ zr>-2RL0t?D#W_zgvu(Yd7D6`WfnTNPD{5xj7vf+tvloKPyVvKB@>}zfcf(~#j-S(7bdoHY_p20Cq0Y9QlJYbBE_uq2%;n1n&cLE(=2gK_bz7Kj4?*gHz;CEg z&GBb9Tu}$Qycb;Nqv*Ix`{%X7tQ%j+6N{$`_g)UW`r{`UU#b?^`y6uZ%uB?N5K>)e z6=tp&vT6nw-#wbn4~F612Q0i#P;P-fTe2JY%*6-PuWnm`I>L{^76acsJ6v+_QfcT6 zcpqg&?DHQ3ql4c98>`|C5EnIoGWN4%W7x9A=eE#Z;_Q>6k3yKUjO|{YS%Cx3`K#i` zBHKd0F*YYzegr_|ca>t3U&xOSv1tEBUwGO14+_N{*NG?b(^p@_NAH?d=lU1OR;@5o zmhg9bm{$e(CeoBJk>$~rzyfgV+{LDLZi(BqPU-qrXfWo(RldmcT^Y|pOq7L_xgJYaUTC>z4 z6!rVk$W9cuWU@5Ww`AOVqLlpW60v_%--fXZy+?C+9>XL364hnOe^XLlhSlXR{~q{M z43EZ?L0mB&s!Z z62&FvQ1Xr${muxz!+p=@l_Ph{bWaBLM(wN;#qL$7y3LcL%KsPQe_s~DZhp2cj*r$q1behiTMjppxW}c=+ceyd^(EdVtTz5&fx$@?7{KHE=OjzP_shG*zq3y(lnl+G(WL6x2-_mRXx%{HLjV+v9|# z-S&v9ptKeV$U6tz1cyjdnTE=hIbLDly^Dp@{(4M7^3O4n9{&L<5h5&TDZ*sKoDHE(C1#&lh1&AbkT-@C};enHqb%CydOm_iv%5uH{YDbhyQTe7iz2j)RUnQFs zDCqClC6!(xx2%ktKT$onbKjgu2p711xRM5dnnNfZfBI~4`w2LIMN5|pnsT5$LlBw; zh6tHMK+-tbZ#UdUpGY1mg3YW}Y@+W@$qZrOTEIgOt`wjcP3wb@FuDHGT>%ZJ*WlK` zi&b|Xp%LFX)s}Zc-1LP)-)|ib?NxRb8nJrPl7P9rpcxd=JRVxLlRH+!R=Jw)t)O5> zL+sB&5Ov}Yb_H$vE3p&0_pAJe3wERwJ{Ep=fjbn->4_V0W}U;)Lm@AVI1mrZ)%B<7 zjwNIopYo|yvQoBXgl)iZf}H88b?p0{uSTXiii>rB9kxzDjm@z9imzt8*O@`~`p~^S zFp^KXrAv^lV-&?b&GBQCa69{yYwmbnmVoNkRL9iXx*~Q<%L-u;}@v zmaS+UwDz$77}(mn9FA9q#>;ujkSl@3W}#Li^Dt*g9rkebgRI>t*qo7de1E(VGG7uf zNgy(rjxdP&`_uK*Q45dp#jg3@7~DnJ=o%*Nu8q%{$1X9Ni3npaoN_q5YITaH_QG{b zN?XUY5rYLLb?He6`!!JoeIyYER%gXzqqn29nwrB8vTqJq;;Unye0yt;SB6fkk@Ycg z<&G2ojh?RJN*IqW4W9iPWxY5f= zA?=q!5AX|qX+`)YI&Z4{kvq5m2F}?yD~dPTx~|vY6>YL=N*B~mG+lmh{LvAZ!cUIO z%gOnnrz2-3usKg|`_s>8yVID<$vza6JopgNZ6T@I^{nZDq2t-?pl5G6;^`SEk0vO; zW!8IwWKm5PBO5!V2?l*2ikB8gJhLh%v<@%y)-c@wt_k@-rVehvp~MeeK{*qiB8-i_ z-!CK7A;8w_-pXpPc5rX-!bg2sNa~OsgCODba64(3~7W&HURIw-ATZGWan5#aV2`0iWDyrb@z zKi)(-k4aexA*Z5>uIK){CVlOe%5Y`3Sy>T98)*?Xq;qd7EjB9Sxt*UGrhpS3ztRoA zuUNj@ZNJlCEhH0@_!#Sh^V1iI@SCdPk@v{5tc1ocYWIO`HN&uUC(K62FYc1X3W-l>#~-8dLw z5l;V^(Z!EKOkydknFn+?ov;w^pCmmoU3{m_18f7S{UE27^EGfGeYz#$2xsD@>1U56 z;NL#T3e)d`WHP~m9);J|VLmCVdz`nP<=^Xj_RG?R=Dwet z+2Yj971EgbDj%K8Ez~7%?$F0tI>H0l$DavE zL^PZ3Rd?PwpWAS=46I{yH9Agv(>5h{Kv})!TwdPW`_eXBMiD``bLgt+?)M3aRdgFl zW%$Tk0eNUU9W*2p+^@u|_3f{QZBZhR^#sY|o7H!0r83w@q=<}}pl5LftDdO4Ri4?e zZqB8Jit1&ZWHYh4^D6hi`cA0-LL#%iIcnQx?iDN=ZPy`R0$JsUSR#O4)_p5@_wTf|m} ztOKR<$A1}pw>*97T3shZ*y+LC02)tthIZE9lwtP+<#h|@=iHh&Waj$bpa^f<8vnrJ5jp(IZmvmY7#rw%ldvyTc!;7dJDxA(*I)Lw^8vBAEXg|Ayr0BNitB!`_cB+pNeCBz zMk6JvR(oYqlCenI|H{S;vI#oUN!3#{wP7RWm&Y2BTHOl#F=vT+(S!HH15sz~Kcpt) z*=uP#+{e3G2+y#RfjR{L$9ZCzU>EAJf=;nEk8;TT!i+_okA9t3NC?-+3S+4Zt*Z_I zRn>?C(`1A&aMPRDg}kHmtTzUjQrm@B;8JdGFVj^h6IJl3m^or&zc|%UFGNnX`oZx_ z_x1Cew<|*&wl5rB0~2aye~gzfQxPjY!+-}jAqzNs~p4_pGm$qtZl=a3dC4gD8!iW-*B{P$JCJm zE;#R}LguQOh+`S&^BCK(qEnGs_L|x=FVSuf@^UzUdE7#{Yr6kk_v==U;vBbh%P65G z-Lv`R+qgF~a!MNQ^R`eD7_E!$oGKrJMm3n<$lhryrWdS=fRw9F3-`czd-JWb?~ztnS>TUtz=4I|1czeE*oN;i zXDqA}z*yuQqB2!e7uU+aW~0T{e`=7@df)hDipu+fqZV?mHu*STVDL>*ofc@*V6xCNF&Se#?|# z91C3l%}EP{MZWXj^lYoagZL$lb`#v7X1xr1F(-Tp`zHEe6Alz2LbD_%KRq|7@vGxt zvG<Z1x5y8H_KGaBLtqIj{z?#jZ88yTC&$S;4wBIWHi^FcKSUWLw0b>D8=( z{Shk8UV~TrKNZ2=gqTK2=2O(g_Dq4iA$}5^F`F8X-NefWi*bV}0MyXn&n0Lmu1ElJ zii|8IgbhZ0q%R9MeY(DSWt$vxhvwx>ozG!?{F0K6#wbj_qzQiYm5LEYJ;;4`iD^ST z$Lc?-iJODQbEJ3FmW4^q!&q34Pd}2%uoOo-(6(=-2FIS&u`aR!N4rh#=8X}1H(&3z zwv4j&isn-NIA1NvchnbuEv%%*xoQVD;ccyZ_yFu^De(jFwuuN7Wjz$8e>NcI4XTNc zyu%JWpzW@bmX`#b-YB}=__|+UU5CaoJ-&*aAKh}!XA|sO0gYGTDf=C$Ml0PB*pq1O zTa-8zj@~?fYCYA{?>D(df_2?09gT2z_~f%}r}AB}Z5A|w6l}8K}wfN@g|ue z@aDV5+~}rw@|HXY)rsydT`YxUQ(ViUI!(8<{r*P}_;;By-GLzcne#?y@(-)aI_Ifm zf9Idn;r(M$15EuVjvNn^3IETJT=8B+Xix?uCT#R zZV9wQv9P{AF!oxGA)AD#{Bz>SRHPeSch4-aZn*|PClrZ~$9~C(!}iovJM>d~{d|2% zt?q}aMdDIXonRAWyK`NUN3`imLj;Vv8>)w(3;`Jq|H^e4{;my7pnnIa+K zr}1$FmD*Ulr?Ba6NEv@_COKwiLSEE9r1 zP<*VeARMrJt{XT>9%$%wzVe7zEIwArTsVx{THfYA*t(PE8q`=KhQMuzYJE4xp1|-q zbfRcfo?gE{8AsGOFS@4oRhVn6skmM|apj+i;e=AibC{xWz#ToF@u&35<~34FIt2;^ zEC<;fRXogG4mHpp6BK2pYMlOgV>ATqx(;)TT{UH@nJ{BT6fe(t-JNff8{`1or|gxR z%krpkO52WOr_a0`t;{Yam*S=5#-gSYyXV=PFU0MQYTcYVD<@o^jz#p$$5&WaDo4qZ zH2+(L6-GxXM>mU0oz%{+msqI-d6a_HPG(mN9u-q$|h#gFv}X1;^t zUTjPQzxH*aVLw}3Au3PRG0b!occTOR!^J!np0WBk_erh}I`JCVAm#Mxr%iS$GgDR& zg`duE_0rPce$QoACVg5w9j`QU0HBiG$hu)qRyS&*nd}Jb+;*vF>yK zT~6_g(B`74nYQ)$uv*bCj=Inun`A>h<}5lKoIL@%j+MiJc}pqeIPx!M_UEfX>00Zb zc}ld&7&VH-$%o++Tnu-GG0vOe?(Xq4eQ6EDx7lHnqw8>Hf;8yPe{)F`!;#x0H(%u} zgurTk{-!aY7ns1X=LzIHXN83fZ);$FHII4AUM6*pd^zgCsTuGixGLBga{3U&JN&vX zzpRb0!H7gZ0Wt6-YyGwI;GAmQ>Ky41`WSLuHfYX_tjf|F4jw^lJBPQnxl645o3(0o zbJvZI8E%r3xp=cY6>Q^~Y^OVp_#=Z3930t{J^a#(+k$rBT+6t+1A6Ra0mxs!N^Fe;3gi{j*{Hp+X%+qH?A$gxW?4e zz?dxk7t)zsURLMR%dScp~yP zANkL(5S8VvJ^p9dOm~h~s`f+ltPd$4J!0r8@Q&kgkp1zQiAsYJ?NePvYSj#|StdE^ zTV(MG9sAD$VQczORs=9hjp@n0P84~`c{{U`q#YO1oGk@ zih%KtfsP)s06M(Ut+GncdKvdQ%)thjNe&19Dnk40?YDSoUHKVI@c==!`2D8JMZ+O! z&FwS)Dgj+z3sjcqnpdIilh&)_ru0W0+H40E#jyQo$DvGJqSFn!UTd8pf6R52WqY^r z9+AV7@lW%sB&WQ}pSyZZVqYGPO*}`n9z%q#`PrL2Id7^KYEHj(aGPJ= zbPe}a@mdFm36<*npSEDWv9Bu7OWJZd9FyMCjQ9^-JsY0A^u4;g#}+ZiSq+DD*cg~!wxO_?@@-)qU(F{k^RzK>TlOE~5 zoo~4eBV=^iE62@wx~zR_x{cnjoKKajm!1T_K@!34DMj}1kh|S)PaR!#Z!RvATvo^1 z^kj;y5z@`cPdG=f@?RFlCF_2YJ)W(-Wrg0tR5!)@rCmFXEM?`r0<{5i zfS^u=Z#*4GH4~X^%&u4Mbg2^SGY!WmUqtED*a8>xK@gPT6|Un z9sPT`w8I*{Hvif=xt<5~T>X8QJKrOtn*b~T62ksO#Ys-KE9)fvZdz^yf1(_8#w=z> zm(%i`S8E5p0m&U*UY8wbpfnKV=z5({gzQpLHnLrlP^irH9B5WTJ6=zTSkw zH06Y@Y%GbD*6Y%^K3a5o0oU8l!%eU*XWZQUAL^%)jA-;zD;>UweUb!FZrny=aTT)iY zDXR&Y1^`T1sxrXNQAfi?i+%AgQK(0%dbRZ!d7OCsP7;={5ic7R6tjZFcFD=?1jw@jHl>@;Hy~y2w1=*vK zKVtqRl#?$|a*V8%5$dbDeSX-4sl}?=NR3+&xh+SoTe;H@oq*-jP{=L_W~Fx&0PX@N zdC3#GbXw&TDMtAdsj0mf%xQAI)QqIpNhD^AP@D}g-`x#Pzhp&nA`=|)&PZzNx)~~N z3PLa}Ggje1Fp}WTVwH0mHVEsD*2D<5jwP_QxGe4`R(3E#kazZuQ8bX!#bbWVQat4K z-IKq)fGg+(zr6@7)!BbBgmK1|+4+N0XXzM+n$(e%u06M=a~!4DT$#vc__}AA&=u9{ zYNwBYMX28JO38kIyI0BFMzzaZ5Cgz7e+ph}ENsRvq#0g?!%oyRmjn@{xa{i@W=7PrXCb1w#<_HBc9T;443BSgCi#>J-g z3ii8G#sJ(f>IrF$6*Rq0^|NV0+-3u4{2RCeh1vm}9SI<>C&E)e5tx2QHg^&I2yCmN z;AE>#TM!+c^!JX8sP~{)Tuj`rcH4kkMe0P_lmxQYqq8wosVik9wdj4bYB2C7VTZxv zF&jAY10S$liY3}5*A~#DdN|1RoVf}Hk%f%+`0>oap5(SMz0O&*;DNL~-=NMw<3Sc`DdZk%0=NMk!+e`Ps^2db?P8@Rq ziy|TPW|IUSGG7=hW6F!XUrIeND$vZu;YvNVKij>x2j5e0xwtstbPy6w>T&Mgqzh%H ze>*$Wm0(6fPkG1V#?m)Do;a4V-7R9vH#b^ruho4B$VN6{hWguK@EKm_pjjmkW##uv zo;Fbv+#c3rYhq94_U)K7Vy6u0(bIn2fYlBqsS)bXwk*})#fGDgtIn478?`w%EqOHL z^}Xz8Jebx*@Sr$7;p#p^X5I#$={Z9)0>90n;d`Zyb3Q&se!)02t4uu9t3oAjhT#$g zTCDjj(>BkJrX1Damoh*`xgaU;2h50=^~%YXB*IUc$Vm5xMdt!QEMJGD%B!@ z6L~nNGD@if$YpI5ulVnSz`t|sJtFv(Q=OT)v23!#cxO~g1xps024QRTpTCg-eyp+` zA4N}7a^&51F;qEPs4rVsc6(ty8t&~h8BH~W;QpV*S9E9*gXJU%lA5LBrc4SA-Mh}b zk7j7y+&72axwY(%h1Vbf-DI4q13 z8)g#oEX<`Cy5j;d_6?H={4!{I8zmZAl^yHy0Z_^hvwnY1H^3Nl)fyNGY$-d*_EB?P z{hY?=#U(p9F-5R6h%WXzlcQWmSb4vG7{#iPI=zXN@T!Oko*+hKpR|&ebl_)R&hpAR zXBSAlT#=C!Bgl27nZ+-?_8})45%J`Y|4jE+G#AGlx^j*^1NB(bX^BR(m{+YKA>*rf}H z=~yn7tiuxf%VM3+K_}cVM~UKlYN6cHOAz0$CgS9QS+avp7bwEkA(Q zkXVgd82$20;P(~@9xiqXLg3I7)81+;#~zOP7lXg)3*M0+h{@ZPg6a&CW;AuY8t*M! z#Z?j$H_ASapuCd0VDT=(EiPWf5Mnno&_q@8d#*B*_H+c3d07(HhdLqJ7-g$ zv*zYQK1^9O=3vx6bgAH8a1$;mt1a3EFU)bnH;d|#Ni!_}eYS=39C9EgjNZz{AYGgM z+83yqoBdCN73KBGLUmpMh*;8C|8ml!EmxITG7f+;k;fPnp1O)d9eXJi%ma7855Y$L zRj6x(09uy1kxQJLyDqbL^8+O;Ot3SE96^AqH>~xrzpWmP8+=6~{r?K#V z;6v^Cp2iERwMDIqk){+YJ^_U|n=0hgFy?9j#D5b|KMPF@N5aeQ0btjMrNwMo9J37j zhnNLFUdx6=+RhHB#sAiF79ODkdp6Y1F+|XfPGmFPW0w}dA0h!u6D6zcF&|1#MqZ{f ze>%-zbLq>upUP}&(uO?Sw&sN;&)IU~skWEhfaNUW58LJVck{kphXr|pQLAC9!LHloi+uBKf+dll?_~Tu9OeZci$uo?}lP2skwdb zdS~0f@J^gct$L<1gjF$U%KZFhWhmyuvGo9w$uoN)&TC$(Q6Y;O1qjRZoJORmCj7xM zS4e)7#8yIu%|WqTU?d>pCV&OHTh2`V0T6ULN#{bmi(XKh@be-#&`A%|Bc9%#`5+h( z{T7UK0VXu?at{hIrRI6()t-MC_K@e^WR9uIQdNEx>#m&lSh_Kvh8`T?;y0c7DB#S);K;(sSd_^kbGx_#3@(F zpK2j6t9Cjk)c`I*PMx`m<20WeD6C(+OAByCzQh7s^K>SJ4G9qYp+Vsizy>Jm3Z{9y zDpnxGrj7loAOP!V#+}72gSJ(JM$oCh7o3562Od$bOu%nR)tu3e=PypDA{nUE-|~eW*-Z%~rgv%U zybxprbwjU|SdHBf+)nqhCr!f_NMx!pBF#|$Q6pf*?M>z}rpi(}dV$F2UWKoeUkO>u)OYcRjIC zvv53_UZf=l20q{``=lUq=$ns3q0Om)LjgFFazf#`EX*=;U_`VzX^W%!{@YsMf?ixu z(+dsa^8A`gq$uo;{nIf5E=Cibni*ixaB5t~)@l`WWVF=td(?)FHkYo-B7HPDQH>`j zK5eh%k|_|uoLfn(dkKIbP&c+km0GV(=W8jYr&ddO#fLBjCjIwx9=md%m+@Xwwi_hjd*Nyvj(|=Qx;9mP;Cs|3iV|Nw zqqrJl4Bv4$VxzDClmZ{vEXB)_GSgnrQ22C^7C#x%oR_quA9DOxQ}Ba`hWQ}{{xTqZW=Cs_@g@`*6}UE}jUQJ)^;$NsIeFi||`TW&Z3 zr3TotqLutoFk>l{#ukyWl;)>1F`R1ZEbNFQwM1S?i#(^1O2N+6^tdv{Z&?? z+IO$d{D}va{;`2iNsAnJX&!m;7*lG=RkqU+7T^3$WhXC764!7~t9_9Bl%NxZoKreI zDH9YQL=ka{6nJU_Yp-MJC`1mO;mzsnn&v zoTnvoXR;gsWVc8&&P9Sf?0{0IeRq8r=Xi3)7`3X*4X?q2ShOS=&Er$v8`H^XNPdCT zi%OQBEOBjI6I)O@pF@F_#tj$--)f3P#K)VGoEdG9CTuYq9uJz7<|gqLHFL>B14}Jj zxlRsEG7iFo!_#~=@lqSab@%Ij&ewst3X}ML7I%OJhi1ot1h^fXE3na3$jRu--g(Hrmz6pxdX-%4qQnPu<{@#1MK;I?(*dFlb7Y5yyeAPQM-C z&GaAhKZgB3G@WHoTwRccgF6IwcMCRXkl+qM26qUq!9BQJu;A|Q?i$?Pf(CcDz4>;x z_(e_C)U7*p`gFhj_R~}{x`!+S!{otnT`Z#-L`6_Mu;TVWT5`$#F zxzEtuP0kl}AyG>_5KXgwPtUD?4w&&y^I0F3XZfanGfby?BU)G_A9I;eY!y}f$eQl; zQ+9F<>;aI+J~V9Hbbrib3^ksn(S)8@wxf`xH-96NQlP`V`kx6Tklk zYTb$V9<2&Sy%)*x&IjAie>}}RdS_#n6_%$}EhK00*!K`Ww-&J;1(teFBLQVco;Y*@ z+W276yT8YKmQ2=HFliQ1f)T3kcJ601x^{&oXnOTHMm5LEwhYSe(sq7_8^7uV$405TcxT-qfcm@BZROWXtyBg5$y=ig z%ot9>;{rKOyQHmn+;z~FF?yBduvtN2Qw>gIKhB*YO-Un?=Ph)goY!c# z0}Ke_|26^zsPIRP-}J7xY7tR-{3sQE|>)=B}!x&Hy7qOSeA?I(nDSY2qvN$>d3iosP3TMyQf|sdo4)tFdFa6~7pr(w!sL6UGFes~{#oy{5Tu~0DIrfZ%0lD~xO>;( z+ujLq>~ESYZncFZpjBXN$vTCtcqW&F)S1ImEtV7g<9pU#?{BBhT2Xx`7!s+>GC=( z_O~xK2oBWbJK2z4wDq5p^(7nszoWL&dh(a4gL9_3=-kAxMqx=J zhjIZ1Q}6LWq_JV}ZG@45HI4^}jvZPH-Ix%Eeymz4t_is~1AarQtY#BAK(c$wo9H-r z%4rLJWxqsX(w6FrU}`L22H+NK^5X)b^c}DhK5#|^P44IW$t6MPe!6)g%vr?Jby1SS z;V6|6z66+i3NH(tDs~V6=EM9)v6vkZrn$7kBNY^OkRkOhv+_4*Zja1=zX*DK-1D@+v9al2m?1HO&TlYNn({Gb z409dER@NeEx6;j*A}<&(j33}Ks9{b`yUY}&-*q7%zsH%l4&}E)3aD?3idRHUPB zE0iu7L58iZvm~!QH<-UpA>0Utm(Oxmt-UeVH_T1p(`C!NWOsBXKDP2H|7EbqC;>hh z>9qcuKEA+E`csx<(s;LSnm=G4a^-9wb$(c`hQvcWKUT`hev3w~ULot7^V4$VvC~ry z#2@vZqKqZB7xhY;i^#L5Fic;>+jSKJbR_v?j` zeKS2XIZe#R6!#mpv6^grT*>*7sT~YZ{&y(wsd7}QuG;SSh^4&BiV)m*%@x$rbMEP1yr)*$L1_d?7sn)*Z1-5H*Je|3r=+E(7InZOe}=T? z)2_e0epjB5fv2{DaI%|s}29e1G`%aME+^sKTc?*qM(F0+> zSHS8%`}oIwYNmWbvyHXMxJiu;I(olKDvHc{Zq$DbgUwCRiA?{te*iiUu5KPFY6s`X z3+^ty3I&jzl!iM!p1V1nyD#n+Y*6E4U%sJ=#mrNSo?<`|r5fbPzh0}lFdk+P|gc9?i7o5}J#H3e@dQ@@PI+V}RDv{wCdqj+u+^?gap+vGVO})(H;=2y04;oZmDzUX|(x-@~2z+*gIpi z@L)UA0gn_5Mn0)8WV}hx+JWhVW4cCc&9z0c2I-w-VNX}dHkx>n zU#C4%%z`d);>^5lLpORQ+J5xEbR!zj_ak8hI(9>+9G{#2*<hF&_d)4G;76=gXFM)bCBG_;=*K z-3Bguy()IaO@s#g@gpW|m#=guKTh7U@oMsu6n#hcFq=)c{%Q)^(1klZ*dp79!b9}+ zkk{%oQ2(M6zDDbVI+_${HSTK5)y9?GQaqa6NVR{`&v`u#x{FtIsfMRA-?4ARPElqUU{BQe2}KYE7S5mI#fCAnzLFdpNZA z#u4~h=k+DItBTNv;0pDc^`Xa_MtoJ3T5GdsXfK8T;Xxn0-3jv|-b4u5r{Yj!&x@fe)WcG?Gx~Z077%Q7Wq72C0gc9%dS>d#npu`zKOG z7-T{pu%3MsiFnc%HnuvAfD{D3l<|m;2G;y}5!{=Etv$FrQAovA`x|P$IiMC>!WnlF zV*(PmBaqq85;ACK)S4fi9f;0jwBBz=2w-n-6XvlTgC^Qk;_kC(ta-y_1|{M!TjmH9 zzf<06&j)erU(6WG6&c|jiFEl5b+);#^jyH0pG$tk5;)JYL#(+e4G+TNRIhv)HFW7$Dcb(>>X^#l>4J*%(WMchSFdKNB9kKHlfjia@{f)${w<% za0Mv&#Rtw9uYr4fUOdr?Gk&$4NrTAxuW8-?(vid8zIno@v;CU@o)00y{xe0Dx7XUi)W{{LR{Z8|pn{C~f)6@Xh$g3t zN^&r%mR|!|ec6XC2g7P;M!}}JY2|+h2BckVIC=W4${Hc)-E~u>-BnYP9L+Pf6k;`ILdeaexbF_7V9{*hb1R01S+DrmpUqo+y~w85Tlgb zmA%+4;NtxcHRM`PB@RK=$poVY={x9A@6EIV$B%2);b2tX1rY`B;<9dJQJs=^79bU0h?^l3H4eB}{^e5)7`?q-8(J+vGJ~)+vr? zXm|RU-aJZD9``l4wi%3+R-~Gf3KQda(4_qS zoAZt}Xsrz>_+zO*1KH!r> zqK2O}vOcuv_M$bLem(cgk{$?$_9n1;qAPFxV^$lKCCQE=bU7J$!9u8WE4&|b%|Cag zf$%iMR?s4E`DVIZbg|w0zBMqpH9(!>Rnzy3RG*o8&W!Q^ZQLx1Yby}5`3AY`jyRry z+$6CJ56rka4Ns{|;InQ=LeS$(5b|alo;pglnsl2=xWLD*8wopvBMx(Qt1711+6FR3 zfzhoo$Q1C$0+C^Htu0O2eo*Zzs_ooS!B;ZTqLLQ&@-D#MofMmF=?(-3wOxV|-wwip z5jTb8O_24lrWE||i+}oky36%B&r&PeXg4C>>R{-Q&PX=06$zs~AJ&RP%4TshRgFs3+-sTzC|qwt;8gS;gGe-Ji*jSdsK0) zu43;&WI6iHaQ^Rj!$_g&G%jKgrIc!dMQB>7us3UM_J7{u_U4=Rlm86FJc-&q6-JsD zeby^tAUE5}E>V2bp;@Ir`?!=R(V^iZ^zKtv zuTr;u)wppd2|=p(#^XL%PBWXq)WByfzWlQ!LKe5atGMr=MRtUR@Nr1gOprr815p!o zA5}@h2K;nqOT7UihV&*F=NN#fbs{khAW6>l2~yR|CDA8!dkL;Ou+ABp#P($AAg?%Z z_IUX@zk|;pz{Ox{`z0E?DJp!*_@AA@L)2;0f4#w6Z)an;thGS^IG3bu9uc+o_s&p8 zykCyJ!9Bn1ruB|i(JtTPeC{x`LAb?m-rqYsE&`S~c0Zau-H^3t`3hGq_y$9F0t@9e zbIP1?6>D5WbY_aLEMLXjwBC7V5B-+zvn#Wx?zm(ot8i;X<2okoc$^K}Uqd>7zkfm# z3i{Jf@zcqe`OvqoJu6`vrm}B$;TxgVF+!VFuXgSGoQY3bn3c*R5+`j>vT9t6zSWSL zYCd1(evJly8@qPaL^epL#W}@@u*!khqEo{szZT$ifxAp!*aX!M5r; zAjk{CcCVF6mpxQBsgNZ_VP@Pfp|Ss_J-nzTlKjaw=gPP$XXrSoJLJareRdaaKddxG z@v4Ij+G2e1l*b0uxH14$JMS@4&#hzv=|3a|%v#0Oy|d?^7`6(#z|-o*hR^zTg9!F= zL2Vq}2=!aT5!ZCt&`awC<7qGkO@M*bq=UMlIn(~;Rvi6GGPZYhpb?b^)XEN?#GVEh zxIhsWHx7;6LzXBHxs8&vjpL$lATf`DfWLZ~wi*f;j)-08Q^4lIXt+;IJcdV)zfh)y zlzjs?o*lVk*?W1gC4X)dy&-z-L*(`RLEtV}M|v+UcE(+Qa^5JaUZXrt$|}q@>L$v2 zIi!Y+>Zm>f9v-2|NXX&-kRg`zoSg^7>QztYc&LN&xg;se_0?wf`^zb3+xW}3+3#Kt z?aAl-&xb94NMU0ht@JKK){o}@-zu9p7%kN3qVv=6v+X9iOE~lI-+`6U5j|G(d|T(! zHe5tc#ui=4civuy3EWYd3kQOm%A{j+|`q+PKp!dX{^gqJ@`r-fIIhe@Zg? z`%hom=9&U5-xKgTWL{$=JQWEiFIHE;4@SRV`1nCP`@0IiFl_mJFn%dSuxEYJzv+Ct zBfoF0)m>Q^TI9~fTW60vQvqc;gx+&1kvOrL{t=iDlYLTnN;jQ+mEQu%DQJ#r-1|E$XW+bi@4mbsfSiOTc`o1**3xT~WZAe zbAw)xKOf8;vB^BiOGVG@3Xi2UudfZl978uiZ0XSSQ(xLdGK^n9<1=&}W)oKwvJAAD zYiYC9Yo$*$+r+Lcs3syav)qsQfWa%y7iz!G|)@@auf7>>paXFG- z^M($EKT4R7I>d}m9>qCMENhWmktUF1I5X=Wd3*7}1X*#h02kbRC(lN`4lK{RtQU-4 zeL@m=YlKd1TWzv0u`C-ipT#1a{ez+gAEUL0m;W=hd}>X zLu!aM+Ns&RQ{6tBmt}*M!d4_v>`q49r1({8ZN)l&wFrkQ%B+=V!f`^t#bA+@%V+oN zeb`h_6N1!PmQ@t}LcAC|g{2KvoeIPf%*Nz0g#|q-g@uJroMSMd1)XSEeaQrMH;0^D zAtErDRbj;WdTuJdAnh+Ojn)$^i_VFf&Qp1x2$ZEi{%EwU{Ly_X&f0QY_^I(bophO= zBQ`Wiz~G}JS=D0hA($c= zAI8sd!CC&6?*7Xby%s#b@|P_KUOo6VoB>yq0CLurZ>z;EOSM5}!+at>o%7A^8Y`=I zy2g1E5e8DuAORM=g?jhM=I~aLJ!(H)-fM=Ab@{(G963$-iKmOJ2J<`%pZvcYxeXnu zM_n|_2=Hn7+A|V1?^{5pGgL8?CSY7V%IE)B zFB|ju4K}@kt59>jg?~_J_%VL`3X`V*aUklBluG#5R&kek&fH$fvFgWXB#3ym8dUd| zRQw;PIt5OD;Q0c~9G5RB890xJFjhXSRBYS(uMxm${Dmw)a=T|pX1|DVs zb;9(d*Hk8ccHa=VqEiMHdrv$7w zq09RkZxfV|CUYmZ02hs;=II`NUq*rI07n{4Gwv*DQE5!>r!=FZ9h_PgLE8b6JM=E! z$uK(}cc!(fH0H3WJl#nxb0{z2>zTPevVba5%`kXGFMaB6Nn~4ekW-pF;qx{7%=-;L zE4`kjLxry^V(w9wEpR;*DPvQhlsAV@OSqIyf@ zk5#spdfqao%5?Z~k-n33{_#`=XKRD-Y@_?sqGNRDX=Tm;shk$q z)r5M!qa;{KZS`6D!I|u*I&VL@O^P*Sb;*VCsaqFRCk{{P_<*+Er%~hYx<)PdudZ4? z+?dC*Pk6S4W#YA~>`^ACGmKhJwuNTl2de}Y>tjT%$u)aO%Dy2`Dm@EEqsj-SG{zgp zpz-{)5;=yePhf_bFJ8A^o$cIC`?kY*gqbo39%asH68+^aNnd<}D;Ty2$bMLnLg?hr z>%!@%RP-&YL+MB)yn|DELDh|<(2YW=P}}g&Uw~zxC?&|b)vmMfZ6QmWJhD`aQpX1C zZ?Hn(F4`gFosUmE@5yJCeOjmcDw8~zx1`_X$B!A~D*5J&E}&8dw;F3EQP|-Q36q^6 zh$n|-^x4Y;7elfsAMu0K*CR;#B4jP^211q*eEI)bOV{iek`AejQ#SB=|9pR(qQxF< zc13aX>E7X;u3YoV{MuKk&%pNI0 z-j^|CEkX<+ub6f6+a)5D%B-B0h6;OEx|?p-%kVxyTSooTXVIDjDX2ra*Eo2{`YrFP)5qryRJW!#WmVGXu8ePJH9A=bUbf8<&uQ5vN7&7wJ>;Fa(e9u zRAK86@%bakKQQ_A5iKMJgHxN&F~}I@AHU2;iA|Z4A+kd#*Euh7GKBdNu8?VKBka(oW1gnx1V77e0T#d%4IgJms8HbCN*2;6P zImK&#adm3`sA$alMC0Z&w?PT>SHT{B4X!(CNJ!aB@yZw;Nu1v~R2?V@MSP^02K4DKT485WKeoF$=(#FcH}yq zD}0FurR%ulSNZ&|-)y~IQNc>I>J5Y5J*f|;H9Txc{UZ(lw1!5Lv{lpA)Z6@6;gq2IBxGwk%c?!w0saFX{^A&3QrH;Jwyx2yVLCW^&SC5tqf<&GXxdPAu?|o%uaC7g zi=k!f^LIxx34uZs5s)7dfSCNB>EI5@fno60%T9bz^^Fq~UPwwOU#|KxHCcf^$87<-86jEGg z=U>7Em&lZB%rpijepeecStY(*7G0sLQzjj?_Bqr1n&`Xf{86N-dZHJl+A6{6xq|T! zNFq{TH5ypiK?m?{^Z>q%PbGrC>CiN19p>fcAwe32y@xl=^eU&y_+?>oij}AeiCNM? z_E4F*)Hhx;_e#?weN2TbMx_8Tt*T=+1E&e*@8hg*lKd~qO>?$}9iJ7&b;S7rl0y~U zlB3>IxKT?~-+`4XD|pQWJf*(7TYFsVtUE5Gx(DTp-)J3wtXruhh_t<%>XmFY1Z>vq zBeW|Ct0?4Vjrx2cCdc0)jzSP$Fq8c{WwWUxY9N0_NIH&xWQSx?h5e+ch2%`PIMZpZ zM1*aX%(u5zxv~(V6j(Yxjb9=4*+k%MedrC@q}4|CYS)&l%^0$9 z&KmZLn9%Sf?hkw(FvO>FVfpa&Yw~Nof40Ss^IUh9=+llE64Gq)#7zs&2+mHkj!@Pl z(0vkX>_Q0NW*x52D&HdV4yDJ0kaK4UkX|vTb{+Bp=@syg5bCu+m$sHHXLl@vPEEG~ zk_M^*gkMe727R{F#6!As9SW;zL2+pZk3q9l<$dU_^HR+t)zUqcI(T%q^hiZc;&V&s z6O)t59NMS2J&uCx4gB!~w`JVl9q}HmMBE-<-KDeD$W4J+__VNqzsdh-9N2tW(*qKN zj621HTdFf9E2@-U-{mG4zklxxwC)n=vsn2F`KCp=1@5H>?5o(U7Ty3y4;JHjH`TD5 zrrZWxtQ_NQP1W0+D|k+S0o2K&8S-6YPh7}&WHGxZzW>O2oy$!yUp3QDqiBri>{4IO zE09A@PQ()&x$9ncpC7bQ6?zG6nS zWf_tT?KpRB9jfykHMH{iZ%*yF%4YxY*+q*YwfK}^r|W1(3}NwFa!q-Bd4)OkhlT%j zd>Z%o1s+feU_tLwaDY_(DnJjg2aJvWNtEh^rG>DDY0oW(4$fS!)*qGG)uVI>V8%mGO#Ms7{XNRE&5uH?L1hTv1-^aaC{)1-NK$cw96Wi)ku59T zJI8ix;u0sS4<%=9*3-R6U(6x`1uYUEShb!tJ82-y?(Q^RZMb%mSKj^4#xlk9~Y)gz<&1kTkytk@zP-|l+ zJ8e(G-k?KcG*VpOF;|(3)nGvl?B7;@Mc(ee0nz1of<=f^K`fple3A|@0L6}ub$;xQ5k(#K#v#I z3i*3SiKnX{ff|{rxCgJWP{9efk%uJ}zn`5S>seJl=NOjF9G9n(-~jZ|QcEv4Th1a& zFei8DD}E)_$v(`tRYtdK3LO+iZ7_#l$`!$@#x#+%fihjCnADa(tL>k`3V^;77PYr} zQ8+Yx6P!eCOP|fQY&|u zGQ0uZNlt*b?@v`T)h%iZKR>zC^hs0Y@Gj2|ApM48w)`QcHP5cRsezu@8!NkU z$)8${58C*-K;N2en7ZzJiYv*P8?IGaPVgr_?-QeYM2jy7Ef-%LqN^fo#1R2`7hvahc2`K8vcnO`7=5#o-!Kzv27UEi(nADu-rFUvE&8?@=ihtE;3v@o?X z-keiF8cAepF5vA@elE89L6n1bIn}p#u(ZgHf#P+< zKcOZnZqS81n6)v8wJ}1gI672AtSqA3r+BJJ#`aY|a+%)x6N2jpmw#qi<(uX)47VRWlY6PRvy?`D9x%DLafQGdv&y-OtjgX|A<>Ys7U79$ zp>m{k;M`OK@SR0P0O2roD%>x=)3>0Qr7h{)F`|^B40qiEE_YO?P%PI&b?N%z2pHSq zaAt>A+rT!d-N^_@uD#Y3F7n^C-Oo zfcwD~$*HAD7HbIthKVtMGz07CyDl)q;K1J81~sl;E}t8FK3DzFUR|h}3p{8cbd@(T z)FXlJSuX&DY_q@cbqBBE%QP@Y5+$u{bgdfxW&ZgJGwzLEWYwJ#T@y{82?_{&5V= zEC-L8vIc?zBaRHs*lTYT&D0h6ek9)tZ|E}qDETCj>;-*|*&Z5cKHS*6sOaEy5W1o5o}dfT+8G8t-M)-zT)7+A9MR2aM!Qju6*TwF;5hg zI7#omla={)Z}Rhx#{{q>TocI}uw9B%pHPb|Aq7@TAERVc=Y&eTz7|R>(I{!G_eM8v zHkAv#a|16ILj7L+!4Yb9zfDMA+GLm-{(px?-n`5n@|t?wZTP)Kyju#xMDzs{vj%s% zM#ipw*5k-{m#B{K;JB0fk-wQK&*GHiLe|aih9Zt-ZJ`BH+FjKA#6%qfIdtA_A;j z$TP0Ls{jVOJeRz29y(Z7toSCX_zP79nsax@Na>6u!4}to$prV+CK{gK*KfzIY9;)0 z?HlQKSolLek@OZH+?fVuX&ppkxkw5w*{kWK*86USKhxn8H^~;5}x`{Y3RHV&ixc=ph zb~;@^YwZfg($9ZrJw9Ie~yeAxDoTAP7InV!KUKp$Cu@Du`RUz z-fsbLzLv@67eho@*!lzVlSko5Tr6zqPGc8Zwca5&p4q*lYRF{20-C(QiH3`dMW`F4 za^M1XmldRIAFzDCg6?G@Gb@XBZixy;UT)4O59^>{Rm8)9#!pHH>Kz!D-_+xpa)A{j z$;H)-NGzL#!~|O<D|Hq_%%rh+Bz zy9vx~I+-N{;sCrnK%iTFl1?92+y(m4;T2%B95uuEWdnsvtH6cl-CfVUcgKj_ExeNFfqUP(gpDC=03 zOp?F}JPq0iN3Wf9-`_p%NCO3zTAI%I@OV|_+VFI-&v6+Qc>+9r(T&c}OZXrAoSfA` zCy<4nMij0Cv$pBOF8{Gat3Rg-6#wH}XV^hyY}P3=#4d*i_jOA$R!cB^5evWb zACTfSwMhw{*&aTm=PXP{#q#1gL%(C-N5!8>iu^e+q=#z^0VADm^QW9j)Aq<4PM}m< zWP;+Lp(k;1;w8zW52gMOvN5PmEC?0mJS1HkxaZ3;6C4xiBwvjNr5K(a70~92Um$u9 zNL07w;bPU$d<`&9gzuX09PPsdf9sJXnw+oncyaxDA;oMp<@@au>dZ!K%FlxLJON`& zFrQ&^j!>vLctawSo^RwjTaa073a0@nfKbJt`&sp`7E6v*{=9aPRnb!Ov3*o!LG9=H zN&qB!k1lu5e5FR6E`haBL8LIrq3}wXuJ0x${lQwDA#GELDQ$Bz{7DL|8Y@H7k_&lF z%aEpzF9;iaIXw#7><#wHcLA4a}c8Yq5CVMr?J-GWz>6(11{W~(7fEhd>wsJV1 zJ?T8x3KL|2rk*#GE}_kX7R=_Pz`b;G-F2KfUd9(RmRkmp>O^~=2?ZvA2@ug@FHw-W zfJmUcsBF8Y@C-qr=<(>~D$?~BYw+wM@*>c0j_VdTmzY`n2U1F1mki9g{V039#*=ip zsY)G*slBC+SWgn{ofl_l?wu)itgkd^Un6#WEjqnoryB=G*b#nZ2jLp*OFHbE9hF)X zGpg!g;EkuKAD-gnH0Axv!tsdB^$elTkvL1^M!eLzECUOzXK5t?&b>+^D~^gAI)P;$ z&&19^syh*F`sTM>2EY0drw7pxZ|_X}L29u{S?34Gj@yTuP4$lgfada`0-M(kLiQb8 zHovUpk+}mNa@c;?)K4g3a?kL=F{qz3G)O5H&bJbIfV9u+r4%}E``@pds4EMAhQK02 zHyPy@zyNB+domayp7s2EPVB@9ZlnLXsB&;uM+IZ&sKjb|hwq#lXF~z|@0tlO41)D3f6t&oxp>iv*O36F%5kR+L+YaRw1_U)$qZ z_;vW+B9I>}=5!xk3O&;*^uMu7=m!wH&}RO{EIOp@!V-aHkWJ-s}5!=Nj@`D@BxMR-<(c1kZ z#=lH3{R2o%g-k%S`I=U2C8ORH*60KY!FRpo}DSjRiQ z2~w$ks5NkCHHd@OzpN>4)n&YkKI3qkA4x4{awDu-NMnc^@SH(+T8)VXrxTu3`Rg<0iN@#Q-_my27wr1g4!O zPDv+W)XVY)A`wV&-X(8ZdqKYa*WsF8+0xMEgDvPv9VZ{kxIaqblec?XQp}-lXpy+; z36Qi4lrHnjZefe$%PfurRd!GU`s&8~;mRnNiw8?|Wy({9Wm_pz?BOEJ?DeJoV8Azl ztggeXjYaYIs(t*S7c2%OK{^B;scL2X#}-5UNA(I#mgv3ZsB)`|%(BJa2aRvSp3+Q$ zawUq+x*@kY;#rFv(SnDPWp6?)sK>rv_hPyqhs=`(g|if=jPZ%7{zUw}E`jwH#GD@W zq)?L4$~s1lQaUn5!m7H!T4EnQnL4NwXTWoO;pJBKWtwD4PNwoH8*R5J&t$2&iT zBCOt2XQO1^Eng>>0kb#cSVX393u{T9;@~JAlLr@O6J@*t{pWc$ET)|lTb?fuj zcYnO76#bzTpo4;yT?vS<1}OhHq*g&2Z}dR2ws8E#F-W)e1zVC+Zco3VB>1SZ7Eg0d z)$a$Rt(t$K70LS`mMxj}G+sPhtogn}p1n_31Tf_Dr2Y?qY;`rpYDvxNzv=W)H|XK@ zbnYys2>5^BULf<LVt;2vZ}$nDAW1cax5o zU5mlLS;u{RfU03dyxN*f3S)h_)IG%#{g<#sBOOs8({`DL5edDV2-x0u8e$EOWMxGeDsGo@VT5bu0!yVpAWg$)XhYIe3J_op;gnQO~l}vdyRr~^!p(0P_?s2TnbJg-sWM>-+GQ$4O$dW1Fq>b6Q_r2APY&a!Bk=w)hsWU9HZHXxAG8@i@CzHk4l>z@dwreY+;2 zoOM3)*ogbtlD54c>9Y05Wa<2q_It&yFgHYcs44<2PnAQHadSk`GsAhGj55@L9=>^W zA&fO+Mb&Bn#9)HmkBe7qd&gNIHyLxN8mDApcJu9=foRj4GuToDVuZllrCDHam#@vPD7;T^otGb_yKMQ3)OotBA#?JIZLndg79+=kE- z)q?IL3wkR>nx}}2O{Dc1BePniS{e%x0q^mw5oYxgSJ=mh0V?Wc8^Q=(br|FMC2zEy zbp!yqP~>9Ll!&?p1n(75Rbrk)AnjB-^~;Rmj-iC|J&Z?epg`JmfgmOB5upWT&<9}F zf!TS6!=qJKrXfAe7v?;v+i{w$I-3|G>s$MKBkN094>bL^99-KC?D(CLxaPMy(+`wo zvoX=XlcH=m;jW$01I4^IrtsyF4+47C1j~o!VK?XZz}$pZ9o_v!*g5Sy-Va_RQs2zW zu+UnG18oJSh~%byN@b@=f3j9lCE8-yC`Osf!X%KUUeM4EXxoLRiPDeUp@>bsnyjh zt?I(c=%6(%)lCE8o>Bv0!PQ_?Z8*q;#*%wRb)0?+la2aWl!W%r;jS2RmouWrO&#>p zj9o_RSGfrbqwmq!fSg%#L(RVOQi;np!wG%RB$bztx}ztbD1IGbO34VpRP^KC%(7ffyp+sE4Xc2UT@&Hn_BP0xU&7LR~?+{ zihlE92=dCSoMJ^gohVN(w0icRGiiFfYBSA?W&h;yop$dBcdNo8w{fbMFVfJquPI=D z2DtB%A`N7jM#*BXG+43}l*HFz#qY?6^$0gvBI3qG@WB~T<`bx8#G4aCJma#P8vIn?POxxR)fZD zY}>Z2#+=x;t>=7y|Mk3@ceBo#IrqkO@BO*dEez=?>F$U=Yh)%YkC;=VMlz()b@CUV zMhcbA3~Ak}Yt-y~d3{m7ubZ3OS8eu(*abQ7@Md5IyY)Gt@Bl(j2q!&jr%2yf_{S2MZ0T8hjWFf(O_)&+V@6-&E{FI z!p1rCDd+G_hL`Qi{rE4xbC=PKW?E*Dwf*k{8T>Y^9R=t-tHNH3BC-lqkmVJ3p$}K8 z?v!V*JnyuyioHwG-@^+DGlNLdfWKAv1{1X*xIDk8 z`-XQ!1{V%W_g`_j4^YeYSEa@J9ax*Sykz^++d_s=e5A@O3vR`Gq3xFwq!V1PN*XQ5$RN_nR0SH7GgL^2sIl{d^U7-D-6l8;EISP$sbB6rw-{DIx~xb z5bw>kC356Guzr#$agq{%Q1B7_W1Q^pk}37`?byexb$885-B;cxHxygxlauv7hH{vM z9BbXdY^`p5Xnn}Z$CgFk;8=z^I|pVW0@NNC#_tebF=!K|2~-rFn5iz8vET!7NPX4y zTuW9t-I&lC9rYF^68b#w<%|(V`NCDyOnT=eRXdLuEk5T@%ZDnI3eo53zAE;@`4|sM z{n$CDwwV^f4zmg2sjmW8U5D50b&<03_p)<)5jtyFc92m1yks5qj%2dO- z{05=u-obJmbo2 zeCbB9mfh%BD=BJN>To=mC_4A{c$t;8+-Ow#kcEP2WM9755d3pl$*E(#1CuObPcNe@ zr-tbo^1}Xl>J$CM!*VlCe8Tsk!Pacc?6y(};BA;aR!$)UQ)vI_CtGKgPXuI*M%H1X*H2Op?I{12Pt>{xbH}kXS_l#oK{9P?#Q~V71kg+ ztd2s@r{#5mmz^W{yItePXH47qkE+N+ zBa>=Ow9fsS362OCrZzw=;O-VwK$2Ie89=c@+vyXnK~z5-w6Q{ig8IdDHFVQ{F}Ciw z!TpfA)N%2A6Lt0W>M}7_a*&?F={k5iLVng05ZZPj>@SbaJTy>+>dBJ`={1g?EQY8; z^#Gf*iW=x3aYB+YL_a_6c51~CMHh0a-9L}>>(dKZhPj)g%C$$F)r>Fbo-b#{c0OST zMWE>&7}0@9;FE`%%@Q0pxoI}b`C~rwEM0W_8M~1G!M^$VlD_^pTjj_u(Ji+RO_5yz zC)vSPrw!>lqWG&eIZUXgI?e0)5aV?u1rIWb;-;k&=EAY5A5(H}T2g39(+e@lPiDJu zWy)%vcP5MnO5x-N7}^eTdae}kHcDb_qUs{erCUDZMdj7U^lrdlB}`?G(%{`6mjRE4y_iy)#jZFuNt zhEwt4f=P9KxX(|9wil(X^P&QM0#y7TCX>=_}8Hj#DxtX=zxMwHoK_esVY~k8QN8=H}`z2ZrUiVqL#8 z!CH-YmZDJe^2~cuyRKE%XUQ;4h|YK?PA<#k@+NcVM8pN?DX2FTHS;Yj_Z6D22yOi8 zy#JoX{5I@<$-fTQgIWavf4}SnO2Xr&TxU4nXX$-`DQ>S zt-q(Zg;Ta`H%}0vRvXGmks4E?CXJ|yp6jMVkV%7nf#ggC@Z4Bri@i6^;lWxq$KE?4r=eS{r^a*D3C?U0`RF3QKwSVc>qqrN_wfPJjTo2 z+z#ZyTq8?Sfk0rR6IHS0&z)+njDFWg#MgXoBnT8>m|cyOk^r6Noii zp8kuo{L;{h4yptM;ycKcJ|Akqcw&0}R3E>#Iq{%2=G&oM>-4t|>-&VW+7m?SugYUW zP3a1!w8=9q$;mTc*qM(eQ(vF zO#;o`eAm?=Wg_#gofi3oZwOveJB>#Ge=BgN*!nr;`Lrf0Fz~9xH0p_6l9Hf#YULI< zB3DOK`OzJNVR*h(UGwer*Kg2lpwAFDzamOJZ$13?0`eD-&LP`e z9TZSpn(~VaU6ez^AeT$$zI+uEv8_Qzo5xT_->*v|fv`im`ZUBP6ITmQA>XWlyJeaM2@?CB^u-*Ru%&7=DYON1j{VEMD) zg>=kGh7$g(U{t-!GVHzlB*SiqtpOSHdykpjjj1b`NW!#(xn?bHX06GTKJ*9#FqX zf;c~ShcuN#R@Ul*RbpeYNeXC^wfOK76=#sik+-EDqg{N&kMzyn6cyZHH>rS$8cN;Nyq~-D$JK!sa3HX!WK!yFPXmCQIH3D)A$7DRFR!S*+Vrz zenf}Z;*fD*DP(ypSTjN!kgzPLw_*0Yy77?Rd7K$$ILU)rBKB)2`}~Qpuzz1Au{IyRfL^3MG=@*$1}~L61opu^ zk=izVU`EWKD6(EQe6>U>vHJ*|q9eDKa;+0J5%|Jv)Jzl*P+c^JFga!%*jk1-776zG z?cDQis!u)oV99La%6=OD&>q+dN)g53mBXMq$1%n_2=@%Ar=Jo*NF_s#pKXq|r=MiY z_;+$9e#Q`{xg}FqPp_)~$GxyyPoUKK8~wJ%m>Z6tMjj{Q4!YTnSEb11$eA5OQt=Z9f=eH>q&tus)tZ~ruXsZ8^Y{&l&3J@n^l=rw+Acia z%KvC%@ox=yOS&LDTClqYwDwGD{*4(qLY525Y;&gDZM+TDOUXD(GCPkH55j|UUXOHk z&n^c`anrv&Wa>V~DvYmd1?tm|VT>15!ggdY?4mFWS}bi6qCi2hOZ{cshW;z6TVTS_^m<-cI;IYl?~sZV;}#pHYF&{3pkZE z6+Bv+?-eCni_bVdZPh?6vIf1@;zB48o5FO41({VovfM$`o;kJjZ*=qCfvSmAciTV7QJ%_1L$_iiSinNl#2~VF6r! z!##H46`&B&@q%aY;X$e5YcK~pC76x7ByO#j#S=qR*`S$&B_XSZ-!bgnScSN-n@t4K z?8=`Pnfmz5Go*R*krL%qNGwVwX+)0j_=@3T;0p^Bw#NC(k9E_)CJ7ct1U-u)Ek9(c*N@qs< zOVcLwnlMrqy3bEARgz?>6%uk*z7+&@>nh(OXk&$~gI2%4nwVGb2iC98P(7b1<{4gJ zp^_|c<0;XcW2X1C8NlS<>6pQ&zxwEIfAq(a`7DWSf56r}Ud#Zaxu`vBVrG(z6EmLN zdW}H?#%(a_R@1EiJucBnKzh%Hcds=*OV+e77%5G>z9vb-@MCp!F- zdjV7s19Ng~eV>kTurei^xK`D%Xsz)k?;xLMY3Ly`!qk!UVSO8PRE96Y;vQO8N6O(X zafO^*={M1uquxPt>M~zRZ3aj>hmpG0ht9y;CZ+#it3s|vuY;JR=hnHfwdtSk1IyPb z#vFysFR7%jI7_9bf9*euD3mRRSx0K@5r=6fw{lqc z2eDU9z^x|4k)@>g2dfKWZ*?;0K2Mr$VNegYz#}X!VbV6XF6PG}-*xS|yK4~r9GA%os?QsH z`5}=F?Nt2!VWi-L;0Et>v?LRRp6y@UcTK!<)kA7OMsqrq!sRH+#u_7F@a((23QhmH z7^#k2$5<>UCUWlMm1RpU<#+pAZ9-nvYUmL&R=N?)TCEyd%27#TsTmC_+R;PYDWjAQ zJ9-+&dv}+U07n=88cXR--35(7YMl`W6{@571SCcg<3SKi5&q-L?bwQ^vMSX)nF>`& zRh_-rZ>VBRB^GBhi=(y|aLTRwt05Y29a8J94qSH3R5MVDjqv`Al}aY4-;%HWc5mZY0Tu%!l;28>$yt*40v8@4$lPg0G1(6e)4R-Zd^N{q@Pi6 z(!Pz2&@fZsDkB7x@tU;pQX1OMXl~4HZgf|%Uc{Dx*CCd5V{|=_662bs<0ik6JUtxK z@h(DQA_e1om*QuZ`DPOk(*0~G0Lm?w#)_LvCrA|=I6OZbFlVS2)HO54AE=eVCVWct zMkNB;IwgOkyoE2;?d1>HSp*nO)f@$@tQMt zv=~xccH^undJ+p|(hB+UM1SVsT`{smoOziLWuLQgAzT{s-frjBE@gX7#GH^bb>dX{ zGd@S{lM7NX|9$W8Byh$C+EF{WRP7Ki;4C1nLtyiKpYUs{tA{D2U0hw;fY(RKJqIpMbugUy3*%_XgI*;<2rY9N!PJsh|5db1&pc+c@cV3kCjr>IkUOR(z| z^;dtnpLpTv!mZDOBq`#*2Jj}h;}DV>c3qR>(!=-{EP2J9^VWx1nibgnDWt5hOyXdG ze*4()lxu;>1y6;^y?;#%Gf=KHkP)4#XHrHpTiA+bF0W$?~!BY713hfHWk{)+V5 z0=vBVY6w^bYc`&`Q|U9nt8OS3pSJ!Q9QI8@8G2zX1FE>$J|&l$Jc`w;R>@3xwLfi{tq)R>pR#!?~EvrJ;eFon!lR^vBnmwtf<_l{SorgsC9ZetTSDH77^1 zjUHb{Szc8jXZt(RDWl9__XYb!x28tmMs#EE%>}|_&#o#udD9@KT?p2nf7TRsmPmR+@POvBNtxscOD;3e%20t&o(HdGc>TC#fl?fNK~cou^tyaIyVWhq}0yl-nu;Dsp3Q zJp-A*NoVI$SWG~5eNjPtN%~v{EphTGBNvsHnTwq0z<7ZePpM6tbf2UjN&F;x3aM7! zzB(XGmC~X`#zZ-|-(;8GnoFGrbCn=yUpB%KEs#gCZ=0+)js619^FFg4WdZ(){`Cwk zxrdTPY(|)HdY($QVbD8%WO1A-qHpo0dbo@HOIj2Evfk}8}w9!2>7ZX-u%Y_l}AePDTvNrb85LlN4i zqsYq{KsfDoU@+;WXBNmu*d_Z{IBgi0pW4JTHTjAaZnQ{D@EI3Un!oOM7>WwSZ!nX~eIl{lh>v+5=BPMH z17I<}(5_BsrB2LoW6YZgYh{CXG%uh3V1!irSuFefHMDB8u1#?7`OYmieV(O%^+Cm- z#;g)?Zys6;zt`|xONw8rOMg(mwsN6&<9k*8in65heKBKQFjp*+5KKfxP>%)Qwa$f- zkCulzri?8eELAW^RKFnG!w=nnf7)0n*PEx;#`>O-r`fefyJ+ilWp=^`>o0p&JQ!g# zIcqOxes=B%+&5;4ns&{aD-YJeDT{IK-=Z>K!*`dCE)V`t=WUN|Bm@TQi-2vU`w@u1 zmW2}{bt2rNpw$kYs4ESGK&>>d)9tfyrryzgis? z`6CBiC}0bqzl(6n;$Qsa&))=F^6&o8Lv~r?jH7ypZ2Aqai)f{-b;1sQSVx}TL!~YL zl=1o-mNq3xNw1J-V(YVhzxj}1_ec8iPxpDF$mop7sxy>WQG5TAXdq~_2el+oZ}9iE zsEWlUqIjLiiwPymTbMZ;TNzOh9#PJUYE`Mjipq2auu|PFb5;dbud|^=#OCfKiRGQ~ zkUnZ;v-j58YaGNI(?%-6P7MmB5F{7ca@BL?^5)&bKsC)4>ma)%-r15yHsYf)`Us8Y zHRmk|57sjCibBy6@EXMREMGYRC~4n`*%C)wAmv;J}MADcT#5oPm z*{9k*^+0c|#_0D%BIrWSGUPoGET>C7xQpy`Zy+YUNzEs~VZCXp(iMIenD)Yi<*)mX zbGLs8@%YqCd;Z9S#Qz+|Iy)PqTmZwLrS@o^!S;GP`FlVEkw56DkPlR*#Z(EAfFfV{ zHLZUC;!g};;4r_?jeCk%#thEk6&aqQdJeSrO9Bk@K}bbLf|Q@LWd2z}m=Gw+8V`$CH}9|#^30nGqbbD~44ILOV{obH^qr`z4zo&IO+Chyz8-aA z6T}2H^GwI$Pdh~)D-iC-B1!Y9zH125x>A-(F72EYU+6WI+~0m#aoEQ^V6~HU*q|s@ zF9Y5Dt>Qm|D5^eZvDx)H?>KDa5CPD=hrSyVP-qPP%gfXbKIs?+9v?)RgoX%mo zqX|Nr4f;_b`}q}jXL~B?yOQ{+!x9d+YB{TFrp6;Zbs+u=OBD~(lla(V8;1-g5;F{$DnCi+H^2t0DO+h) za>5Q1_#aHFrOe;;%crr6sV=~h+=<>On@H5bh|Gg^pAzm2&G<+ z_Nd`W{PRwg)b~=P)EV(`r!|GqL!kckP#VM9L$Iu*MFoRzF?zD!+}A@?ugjRxw=|qMuXd`Y`U;Mlc2n4B;MiWU514$=|2zpCIMz> z83Hd?jByJi!y3Y6Nh`iM)vD{kd8)fcHeMF%wDYvA$AJYZf_Pr@(vJ_Im4(QI@1Mny z;V0_5kP86}cfL(u!deJ;%*N_bjed`Dhz4_UMHl|Bf_KI>xRxG=pE)s1GO)uJw>2`a zIM`DUEKm4HQaN7D7MOi+6^Uf&WoGE;!S9WnqXS;ZxL1mMqw}qRC!#J}7FC;<*H_7J z@I{ersz z^3Cl1V%BA3l4)c%(YnwmSgSC?v>f7uwL^$BOCG)OYrT%q%pW=5E9jh?M@Y>cCQR@& znW#^5R+typOccgK?@g599-IxY&%1Xkb{O5+(>QE*_A&#DU5|)g^=!9bjeJ&yBgmQt zmt`w!x>+%Xy74Su97H;#I(#~$1QC*#-RDu*Zk8F&Zc2Z-%L!9dkViBlXkYw9=i>P? z9;xR;(K>0;D%htqZQTw`xSczuny}W?*}eM*+{A(DTQAvrQ5wrex{xSwYNr@CdNaDE z4h4ni@I{}HXa5{f64&sK_!l7LHx!}p3~k$@URV{@;N*P35xCWUgO3n&#LVGeT|mwZ zhT?gMgqrN5t?+7(*9_6fn>J{1_4_2}P-~0tc23cAqc$Stcko`bd1N5N5HGlZN1)ep zvsmBbn9c6CPmO!{*ApC{-T_{3+_YHbsb! z#4o}9J(D@;-rcNyPM^F{Xh3s#^Dhf{WYDW~o2L1JS#>i(SrF?(1)^HxT9zyj)E(`d z_tGfA^-%(SAbg5152EIFK>IR`6A!(iOInfV6gp~#sz&Iq>ip+Pke6}H6Dy{;Rr{vK+iwp-X3hvIr z+c}t2i~>t>S{Gru%VsNaj%PR6Kj9Tt4R>}WYZ1>5=S@j<-!{nalCm^?!M6d6{O8~B z?dk-@L%X?ZWq;{SF#$e6=i;HK71&b2|n!m(r9Y3~$lQw<*t?mDYipcCKm`n`t zvR8akO<;e|jmArlo3+Y?@CBss`|f+QFdrpOT4Ezch3rXs9c-2f<=?68!bsK1@Y`5F z`_5T&@iWZwj+#-=zpt3$66OCB ze7yT{_fr%!CLjaWgsg)Df~JRz+Pu_%`m-@X`b71~E~q`;hNm^!*4`t1@xVgIO0KGC zYH%>=MjEZxOZ594FXe%|O2SNAVe;)m;n$v~^aByK9_Dd{iJPCIx!=YFZ&IUR+an2W z0n!8NA%v|3&t}E-X6XCSf0484zsPx*07T9UM(Qyz-H~pMI;LZ;94=O`*IM!`G2_!3 zhxB_l`NT!ZD)Vi!Ug;hWDk8s|&?Cf>5C2cYe{@-V(W4Z0ka!!vQodl;! z3{cF)%ELGsaVP40{{8%7LUf*DPhL5{qKE?fJ84k~gX6#;uG_~*?~NsCLzJ2eSOO2g zb;~ny-_$@Ch*7-z5WJm{LQxmA6)n_zL7BsdS@Y~ie@CkNJxnt>>rvx>d-!NzdL%P@W~VQIOZe}`uW4%kN9&&v zUmqNlcD1>>XfO}KCvRJfO`>+se(}QZw#q@F+7-P{VB%pKPSu3!C!@NA}`iQ8l}x#meUe9%VMsK1I^ z{#}$!OT$-xSAk%kE6WmuUD`9|Qcr*lqIX2L7M57jeF{hxPZM}j@3m${Gs&JshB$E- zw3=HAB}zncFFl`x!&w8%zVWm{TFt}$h*dXPkpp2Gk&!$~f{^jV|cmVjZ+N2lCsJGdAkiwsw?Uu|{Mfh%4N*tdh4%h}9#QC;UX?G#@h8+yp=-&K zv`$B#;qQxhF3aTde{((Gi$r-Rc0aTDy{8Uy2n;(EN0XT&&xwd?eE0ux@H3=tl39pC zy!M65{>mu3A;P&MevjI2mWsyRm$A9`dtY|_f*9I>Kr3X^b3P>d8Ay`ex(e3W`j3e= zOWKrc6Otu&scFVA0sApJ&o*hr9rX`acmfPuX~$rM<0+(^rExGp1gc0BG5}WCcS=QO zm90}DSHr7~38Bw#sF^UQ>?x;Z`YQvM3Kn&D9DU8blAgiI1T z^CXY<9cKgy?-$BYU*Pk(|Ey(PTAC8EtbOKnX@I^bPdzWRZ(a*LeZZ-usL%&k&j_s~ zT#OAo(aehsd8q)p_0!X$e|qpsYmD0lnUDId{OZKKEEwV8_Gg4(Jnk8}0b`x{H-Dz z9QA-Q<82y0VQZY#0+tUSh35x-0@v6Km-t@yzRqV5mXK29axfw+Uwe3S3rspb{#m#B zERS&Ne$QMq%eassJ0}oS9r4}8vwY?VkFE%VRfE-|_&JQDF8GIEd~N#bX_+VZEr@L2 z*M>!KGlF!+Zp~@61@^j!5Mc?`(VX;VxU`bqBI>Cw&~*5=OM|3#%=n_3pUoQ9RhXhh zAruMiA3(lShc$DQsk6)i16R0M)P$BdX3GPVg{*)`q0D3aPZyg2H#lr)seYd_Veqe+ z_GfXK5+9yl**};)!ox7v4mp&0G;)75@6Qnb2^&(TM2Nmtn?3Ld6df?6qt1+Hqki5v zz|u)?J|-IHpLh^E?ufh|!kAF3n43cOf5_<$6Xvs);31%om-5kXuX@A1XNG|2=SB>6-#kY05Y<(N+!;fs*XJoQ= zyzDxpu|gSFHgF;v+iEwd_&%yFqhiYEf5SyAK^v)#)x<6h38E0_p!j*0+?ra+0ple_ z=UeuZR)$K&sCK7cXJ#JP{sZ1DBY)J0Vx@iGNJS^EOrJ?k0bI};g+orbI24}w_HlYD zr!davs$rd}TyaxMCN1fPY_CL}mV7CzliOhB2+QIE)xfV)7s-jWOr+KRFh}p>qmcBb z%k1-hv=S$G#zQJ~QXhSvXL{;@bPypI9C#x%UqZQ*HD(K~(Imh0%;Lli1wA3`s2V7? zQ8%3jrL#zuKozenvU^6Kli36}$suM15etUS$kH1=)jKGq9S>n?O2HaTcaySw4C8qJ zz0PP)2g46JnDvC}(1-i=aeSXcn-dkA8KW;FN*8Bp*+oOv*cvByNF=daSz~1Yud6-( zhne+5@BL|bZN77fjsK6*O{5c+92!?8Ljs`)ypOK<{B`IIo&!7jip&JG(X$gH^&+2mz$JSevcJ z&mtlZ!Efc&U+jfK#P^)N#aYL9pS)E@=XlFR-=%jWh?8~QqqXO+`_u4I>G4E`4dlgi z=MdGd#oE-{ra@iI9{=aLuN&b4Z%y4VCRV?r#1vlAGwrkCYcA*InRA2_os$zmss!Jo z3$Mqmzm|lt=%BU)&hfux&Xb>UA}nMT-<+nMf(TAU8Q>(IPx;UVA8l4@)2x;&^I(*p&WFF^ZX7# z|1&2A7=0{9&~4CsZ$2ldsM8vmuaz>>BBiV)12DRIgU8{RV0`!6OK644)HbbBqk2yg zdQ|Cxh#`hl?<wt-iNW^L9;mY7vy?ghoL|kz>jG zK!h>~I&xcWqWFy}w33}=9NOam0~-mxZ_@Lma<(RZYXX-_Dz*%n9p7)u54J@)g2~m==y7b~R ziV#nLF6xUOj`g0b)FzaLj&TzaLYtTHBAq#JXFDR;rax zD9BD#Iz47jx1#YkU+4%|(LmpG24-5yIkih^G_L~$h&XT@*RJv4B{vr%#$zJ$BUj!v z|9@*PSD1#fKsoDv@F_AgQfQsuitsuD^%`62B?j+F>^nmpNqBqwS)KjxOS&+yVPUTJ z$vq21Y@2yjf)RI#U8!pj+rH}2Lob&^E$iyO+}3p=)dz#%I$<2+EM{3ZeAS+N`^t55 z@mMDDQkgt2=;YHwClCgZNhj*qVQB`$Yq`kOwM@h z?;Fm}NME*l65fUFGB7NXv7d717)TPdOT0@>Dpgs7-Nb2IYB^&e)7j9MVif(@$NQ%gAb=H{X|E3>S z&$TX+lGQ0k8uo*Qhe9VguW1*rxN7WJ3eCaOaC}uFT+1gj)1SUdLqkR02PNel$vyW) z0@Fr&TV}QzVkfDsd3QGXdDbaAPa}yMVrdev-#{Od=Nng%VE2N}@g+$yn!t6~q9e0^ zP+i6eN->QN&mKwo$x_4-bWu4XgtLcb&j}7yeyi-(IxTf21Kleu7u~j+fv$3zTW{CL z5RTs;BB5JcTTHcx17;5j_91GLp4oLub3Tf3`hdD);XRDoC>wO&bsTYR=1|+qb(vv)idIV(h@8 z=z$FHRQRt1zcAb`**2gr8r;-+*2a}agL42M?3c{H<6hp9Z4f8&;b6t1u5Th=l7IP_L}~bQ1~`5+YCr{N`8pD*3|RY+d$^ zO7=`3t%jdp&8kD2&_DjNfhzKK%~S;E*z`^h_hpv7Ya~`0OH7a48*W6k)@wN`V;vFTCGSY8wza2{39O$=Ef}80voI=ogi4Q-*l~K?LRl5G|QwPYc5HChR zi(#aZ{C+2Re}GM$9?J_2@UcLz?;7}fB@~mLGAUVG|2{)z=3{u7=U(cs8=lgII=#gK z++}u|C6?}X7$1}EJPXVe&=@90oGj7Wq)287syZ4RI>Q7KP{v>ixo-v$_!qaeqO0p{Io%{syCky#Hebw3MEz*bA%jRiWRgeK)Yr$;BE0T zXN01t04MOVmnn={Gos0s&=HWxfn|plp(ATV(+wl)PQWfYk@`Ph`(cMWY;>(q$>pfo zdM^ZqI7m*vPN!R$Yq#g&P?#jla>&L){VI|)%rDAM$GyW#ez>=pFyxYoT0%1U^Q{|- zaJE2ErK}SHQG3Htg6dW7+O?(ALgK+cVpd;sU`iasLN*Pv-wcbx`?!Qqaw;n?@8zzf zfeRhT9PSTtj#E`9Jx`FL+it^|V9g!~8)>F709NRAYmu;@N+hwoRWNJ_Pm1+w()6zI z0k1Kzvc+}ll=I3uQB+2F4xuu+R_W09IM~Vt6mOkllyffw(^uB5O0R}4E`A~ZY=+J8 zF+Cp{t{IUnKZyxDI`cu^%Lg0@W*u&vP6B`J-T~g{JHc7*8Cn9b7v)sW*bz7r8ZXwI zS75sHMFnQ`U82l~LpKaXn#8J^P`+gG*DI+j(TWr&&R6~QSVF$?@iB~rXL~zY{kyli zkKZ0ES3Mbh1%q-2T|u7Ayw(03KYd`Cma1Vj`Z{Ti`_5NJU#8I_Tph(}T(Tcp&pO;>b( zO|PP1n_Y}|b4#}=@yhsn{z`x6wyh78QzAW?X#*9Mk&W(;`*;{*UTa1&jJFAKqg6u; zcvkQJ*EAF&%Rkkv#1!~6g&7u<q=YRr|~=s|GtLo$9STB`3GUWb2V*+hRT8{BY9|CEybs&2m$^ zr@jb))UjPRtUt33vIi~WippIKeVu)$UD@Mzb(DfnzW|2ui8fZ?d0j+>s1K$dT&DXo zZ$yG>ER8SmGXay$flRT>rU%S4^V5l8_e}bGKtNgz-xm~}047#eh}6Q-aehzK)Zb5i z5~_UeeFhaHkZg3-ehiI?%#Qn*Ng7YR8SvjTq5Ym1h#b$HuAyivU6!gWy$||%9#>ZC zWxy`dw;B5ik5kMaVWNxFNW|JDBbBgkPQ#*u-W-t%S~?TfZ+by&BddUNV%kwi!zDU2 z#B0&4K{UlH1>waeFyq$}_y$?DhC%X)lWh8n$al(O9!7(Sx%BjYFy$>u@5uKqH*o1C z>ocS6V=Z8S0X?GIj;p4gqR!AJ_j5=O=Bj)hB(-UiVg3&SadCzulRS}ehj)C?ca6eTOf`pl+y7n& zosw8my)P<7sveX?tKR)Rr)fYxRD9&`XHM)&l=&vD9my2$`7x`Nk4aPmSuVnE-OAFJ zN@#|hHGccmKVhV3n+A+Wjb?z44PshoOWh4(E}URAgvl!O#HJK>>d_%d1-5>;w>P}nDmA^fKe(eKaXu6z2Nq1>7@`HGzbKBq2a9?! z75W#rPyJRXGFdF(#iHg!9`}rR!o&!gWesmV2uLAPC!{?lsbF>rZ)IkBWH_|LmV#>D z_fbtc2j0eXe-lWYfUVARrvr0R_=CX$Zp72rcOI2i!}EN^B;S(rLMhtg7pzlyVMo5j zjz782G9Zm>_NG2+WZ$IXLsu3u=Yb5ru+HM2YKmeSI47815(U#tPi}$kX9ajlnxyC* zqrlvcr2%QK4n3Ol7&=BlJ!FW72r!IZfAsDLx2heuk4k=pc|DJZy@9!YTS*B#sAVqB zZe-|dDE)%LkMtR5rwA-T98qqocLvLwxOS^`l|69%LTuI0t9g6;iP5rW<{Fpwt4Q-B zvOWEK>snLGG_Z*8ZlH02ykX?Ow_R`P{k(f{*_uj(GrQ%3r+w2`Hl5Tfa?pPLYGU!b zk^U?28@!_CrU|B@J~(TR1Xssvwo8B45-b#a>WAz#?jEYp+hSvBQi8E`QT&&^3M}aJ zk$ftl59<;-g`;0#Rnr#Ja1CN)Bm8+&dXoNt;y1L%)UBM!DMFI4)5&_s{X0116TJSvb)n3*$o2e~EzyuLlE<%Lhzv-n0K8drkll z@IT0&Za{SwS}%EpsdH}-u}R;ZBVVs9wR8Ds0v8GjJa>oU(1A5iuzx=8-d+*Z@uM)|swQvD2 zDtT->Nqn7wx;$t8U8N1b-;y&G7o(+43bUC);s42CZc%^?Hn?gTcz!WdAvs!};=O6e zDM3~#)0i&)SJ?&GB7g>7Q$}FP`)h|gnr+ZuI{S*j5dYa#o_SRTa0-S8NJa2A4l`3F zeywLCdVdjL2a&`&WS>Os$x7piMVk{mba(}ir)Vy6@vOYFVHqE42n>^?d@}c{yt#OP zTp`pRXX(^CG%LHY1v=17v*je;8z3dn$WI-Oa(vhrn|l>p**b+qeQ8V`yVrI$r@5S`+M!sOV>r+HA-0z%npye2 zjn_3~Q-MG#u&$|Ixd>Q`1wXXr>kEM5SjKLc{^ldhlBbEbJIt|W!U|%-W~-yhP<`gn zic6@VfYwqar&olkAaeR&-}zCDR^4JsQ{oLUY4}+>Dw<7c3Z8SgoZqJ87}v9Ckexti z?gwSy!yk2}SU|V>8R#@iJJGlpKkLRZ7f%y2{3krB4JZG1sk(0SCr@`L+SSm~qU*_w zzeKi9zsY2y_+kN1y{M%{U>ziEBC@~4dZdn-nZOIvQE}fj?zODz_b!O^>%Vm0Ia^n%+N1PT&oLb z0bZK{J|&TgW1`9!h^{{}OewZ_e!C)LZ`+0Wh}m3!kaU=U#P!6ESi=oF1_$C=zfefv zP3!C^gxpl!rD`kPr*`^#b_{CefJXyBVqSXw3&}KdV?LC6UYm@EUdpYO6^GUJN#oCn zrPuc9(Um^!t#<}o%Opi(_#WV{gIq*LDhw61tCsthB19_sUJ=2_f z*+C^JPH4uZJ|vu58Os(tt%YTa9BFbc%Knk_2g{Zu=Yd&qtzH7(&AlvL!JNiaV7=jS z86%|vM*3^(MfyUYYtG>6aoUTir@tc4t~chcxBksY#<>00$I=hIO$^3M^-gBB^MKP=bfrdVU=zpPNTgZFzKjLLVM z3ciaR{zjldy|iS7PS3R&Eq!hTJb3AR36jqmOBHF8UD3GFE6V&>tMlgzB^&f?!h44D z`tTm-BkMNQlga=TalP0q1lRb<$;7;?@Q>9RYLgz%(s607lAjn#D+YWn53P6fSJUCM zJ?G{;b5L#Cl%>p0q7L+}SRh=;zFJK=eFc%bcI~-tmkI>c>{!)M+36H&V8x(@0>>E0 zFx*PJYR15ZfeK-Yp^7+WGOTqLv19qjBK~NB%)>a-W+mSE(pOVmgqF0Rfww^Rzm$kJ zF43wo33AA%oQI0xp>~pMM_)0VS*tP1$E!+e-5pi;s-IxYB5I%d@fI5xeef9emi^hX zOq*nJHV7njxhDo58n|Dhnd9@T=BXrV`$)2#Q6{A^_+7g_kYj8iO%SYY@7ml-$*37B;)Ub7VuqZUK|LpX)cSgwf$oh>D-LTQeSqHo1w)GEA_e&vyTYW!8t z>$NmowDhoZ?&gcs=2y}98~_C_VE4OaGqIj6B7*YUzmQr?3q6XFkXm~yVR*xWi>LR5 zuT~n4bKN@rF_k7*XwdCc6_?Ayc#Grt$~J;UmIcaeRbdsr83A4EkULhPCNy|Gp4v>~ zU=@?d{GXAc)qe-!WYL*iS4#Dmkj<@eWUcU%cr+uHIwkE(4I)2}o&CwdTWqHA_b;OP zTj{$7QQPXv-S*fJhuSGWp{WV^|ERj>;J&*qQ1o#cr?JyCYHT}cY}V%-pamkK)&q26y>mP06ihzcLo(eX2Jfj&^YJh56C{jCD)k@D?y5A2l= zm7}gcNZi9*DfXm7+YqHs)0&_?n39SfcQC5F`*pWDb6~EQlWrvt*|u(HEnWvV>@+g2 z=gf|geU)s;qr^zBy26}M%(<|mf@wXrk?HPECJF%>MoM!Zw@uWMyuJ&GeG_xsdpRSH zm2xX{T!Iytam2>IGL$xG?h;ER3yV{n;pB&2OIBCplmlNY-05GV#V$R5ZSl4`&kOvz zpSgef5nINN-DUPG{@5>)DQ%S$^=4^X?l1GOA%r*nzxZCf2m(OTQDq9`P(oEkLLEsV zNf6Q?cJg4-GG7Zv)Dn=|hp(Ki__XkbhqnK5uPt_*8$1y2s2o11GvV*W>vGVLpE}LR z-X)=y#6l0~psOl769?DwFuD_yr%4c!Dw>A`ej^ks5FMhWhre-iF4NNEj_A=bTL3d~ zKs2Y_gX=QEYD>N6coe;1fAlEefDOs&7Q4vfz|r6ivZ+})rcCC<;E56vqHLPMA2I{k zmWgGJtUy^r@L#y%1M+!fsU;Dg)e(NZ2h?4VZ52t&bSPxR zaqK@Wj*PE3R&V<)$h8$uh%&$9Qo;+_3Va_aK)=w1NTjdU95^cAeM_eX)$f{+edU}dAI+}7vxHd_9WOh*_ttMJf&rd4vrg)RH>~D-edMD* zfJCY(w%dq&z<&GL^c{W|&9J#Rw6qWZPFJ2A>NxS-ZdR(*6@Jc;s4zB-c}B_XE-|Yn zw`88EB8T2N7kn4osm^}*3nH#&kyQ}e13nq&^73V@L#fnnSAP&bf!!YmVG$SNL_pn0 z7Wz_4&=XjRg~L6LJvIjltSbe1V$aH<0lKIz@Ay05c0k^REG;mfLE05xS}g8*8YR|U zYl`lPS*}AtTxpZ3yA>NT2S8s3P_~FLDo4RUd_rNjZmeV@i3&|~P^u))4Bl=MFi+l~ z?jNWjm>~7`X}jby?8kPx*Y*~Rxn3Rr9;r^f`0tC!VCv;pO(P#~7Cm(3-gpDI3EQbL zgI_=&n#afJ<@=~oo4CVAZz7lNiuMRITz(g(&P$otDEmODvOGC|vZTw~SCmJY))Vxa z^WzqjrI6GynMfUU>n+%@ z`Isx^_mqjdST!uuc02JI+;CCO^SD6;8D&MK@{7#vm zAR&Hw7YZO!ICgg;Q`6tcVrM5Q;F1*L7RFoC-+iz=-5me;>o)zm*FDPR1YUa;W;TX0 zgy$zw$GYE)HVBeueQZ=6U5e?)xNFq^D2$-@*uXKn_^-G9C{2`5%FXpHRKW(@RG4@J>R1IjLzY%w0OYWRUY{EaN}35}`m-y~9z$2-ReXZ@j&{eR>qJ4`?i zY-!GC4=l3V4AhDWDMhlv{UlO7OwmBlkzld{FJ$2=f2{28B9qcT-Bvw-SH7f9Jutfg zK8R@_;H)2>c~alj6-HQq(x=|GFf*Mnu7l%da#_To*xu$TqbfLmRoJhMP7*aOF^#(K z4EHRdH8F$-INeK&Wjh(+OL$4XYhP`<83h;QZO~|rR#r0S^Pltnkv+{QP?rEU}i$7305(x zUqq>CNo;jysc)IjqH1b6&wBAJVrbbi66U|E!90r(F`F?Ouou&y6?mwExGpIr*HvO5 zext1nVZ~M&@oPNp51NZK)$xV0x?ijl>gX5TKGA4)-i04*o@0tvv0R(hl!T#&Hvz&~ z4T_?K7cWi-!2ayuQy9hfujwDZ_e}|JQ=$pFfMzI#+(|HmXKJ)%NnHV3}VLJ zV`YQFE8Ja2;TDVg4y%zti1JiB;J%uXS$B}zOln@zaI@)^ebrUc9RFn06}RP{b^S!_ z-jOxl{GNb~*)PZqZ=~fjaBhXQkE?0N1`>c^5j8&E)wB8y{sGCGrtq(JQQ0YWkWE`M z7MSJqzx%2Dmk-#xTVzBb4N2v)-n&-k>VmSnL>`;8v3Vp}!mp*L*r(?4HHkc5RdOP+ z#}yDcAYidp@CKVeMRFl&6%j5<@98`DrVQNxiYUVE6^h@OtPdhl^zn@wV^X@*V{G0^7=65UD zqEobBzO*H)n!4y%QsoorCR+tuV#z!W*+OCu*w-A-*8XkTnokf{T@J*jb#Nz|!S2I? z$8aaGa)GLZHT^XHTBCL|`!%EdvGn&Ec){HVUD@4z@Wz8>)Y=hA1T*5epP6$mbXK`| z=L52xk*ObFe&X~%>{sV%g~;%OQt>s~^Eu7by`?9aeUwYAkR3Uyy{~AO^!V+ceY-#F zFav~|ZO0&4d>3okUDyB4P*&alcrT^K?sAd0-$0;<`MU!w40(QVOM7t`Ed{_TBQCGIPnny0O!>arK06WIe$?V!OC7$I z?~8FS{Fa#54kGlv+uCtSprr-Aft;neKPo-1C}-29l}rB~iWNtLx&C-coG6cXrRS~Rc!~GAh)O<%(YR6Ix}#CT$c8S79sUQPRp0w zbbcbF=`T+tpv$|X0T=P{3sZ-rc_-d%j>{mZ+X<}shX4Mq9AORhGO&g@L`a?%P7VUa z2uhn-uYONddN=dXukVG95oLW*U&sH#IGgG-(aA_PnCEPeZ>|NEhEfEV>UdpOv8r~; zp6hYG%I0m7Z-Pnb_MFMRu7rhgOaAu`aGkzdsDA?Lp5s}dX`6-eWHIM2|5W{=t$T)? z%^IUwO@=xw=S3Xz?P)>HNXJudq6{#vQseXn@XXK9LTk7rBLZL97eNNk74TK%4&w?u z%DxI+f}N~iTDp`zJx{=51jAsE!(fR2ibf7EC12`5G9))FE=$Y%aY+{8NSw+;RN7%C z&KCUOSUl8GKwv9S-mZRZmvy|9+fDI!;>9rGZFV0$7oK+kIuBg>?Ku1nB(Uo1uP{&EyB*m? zz4W-})I$4%6ah1-({{RtOz*|THg|bKk8`ixT8o#u44TyK^jj>kLK>x!RbdIo9KW)z zfy?UL@pp7Z%FKy>hbiIP`X4>_uphAP6m|djB4><0T$2BEdvb<>MVCjpdS=THI6frw z=aR4OO5r6l ziK+juDxv>vu`9*K9piTVmORH7I<@aHb5N|lyKXA|%+M29BnQEq&loW1QVHdmg`z&? zHs8Z!GsCG1-C4C`n?=De+w7aOD~|sNeEhgYDdF*fJHeKe)6OHhGR>>!gMl3_4h zi&kdrOxh7$i1yJU#N$N2%EZ9*R+{#?&iCZD3&WO|cCB+AoHiCbAP(<-Jn+AvGban& zpq4x_wBqosz(yuR=;Q-&_$97-bu>X}A+00}%_I>(a zw=OLEhgvs?`I{{Cfy1euq9^yb(w(L(lZy@x=#quTk$KC^*hu%lgiP52%H-uUWf^8q=ODm?mNZu>cVn`P29b?T$6XgPTl#6$5eyWg)!eXl&B(H_d(XUx`W8SN+Q?Chy<%uASd z>PO)1Jj1UtW@zV)ZD^LJo?j1ki&<%iK1V1-zykxid3&R2Kwhq=0qNAEo!$iN#^lXVVr!dL^(S7JIP5g1T#@SiA&f zh$^gc3%jjOZ?_hoIUOlTlaJpfra3}n>;c%HS)B_-wD=;tg9uZDTG`yA-||UCW0^B! z&BDUJ2FsKnBuT|jjt&!>48Lbg?_o>*R&-Bt)5tN?-Mmzc=5 zpKVA-)wNW0OjP~GZEr-oAm?JlCRlVL)|U0FJNb$-e%0^Xp|&J`kMI9HaN6B;e`(SH za4*t##aATbFurofzq$sMerO+gOK5!X7@<2QkasxDbv*||>V{JQR^|8tvCb=egDt$8 z7f^a}FmEEJKv8JcH5JlW=6g+77GT<%f0t;(SGMGSjyLX|)S^REl1o{QkJaF?cDNYZ z6;$r--_`PX7a6^%Fp;GRW_+uWSUnn8-GvS${x2MRgS7W(HEzB-E0h>{`8bG2p*g!3 z#NC|c7P*k^2}k?X#65}7mMGP3-x`z*`v8BP zWiMtStJN4czVU9#@9)yLiR>=gKwzBx8=+6^=Rhm$=()#G#pGg>$#YZm9?AR;%d&kg z9n%N|OE1Jc!H`1ZM?d}$ZBolh7xE9rZT`werKV=BvrIXWZO!&3qWP?2orGH6@{RP+ z)(JmiOtR1d#5DgLo@wfJ1YDFDooOrWmeJb78a$x!S+Gj2Gp(174TWL?cHRFVFMmEa zZG^6=Z__4;VOH&HM;Pne6Dja6#V}(f`Ug}Y-{RI)k1=+Wg{UhM+m0;lj^i!t1id-x za(rtjj5Rc2y4HwLZ$}ZT@3jgvBXlvGP`#hDuHq0iCAzd&7U=p!GF=_V*t=+g)DTi_ zm&b{hW>w7ENvcU%s%lp&ey>;3o+j061fH?3FgH&A(c4@ZVveJHOtr$f8j)X|$^es3 zk1)aH#D?VN%|pHvBicHn>c=LGbzM1Fh46yFEU=x^&l^);1h$?aA_?Fb-8%8P~|;D+{g3zCub-#wTqogP3k z?q}b&i?rL^=49sCY7|JGQyI5)hfMtw3DXV4n~JTsBc#klguq0Neo83fq|G$DDyVvs zh!d}%@=)@PlnX`3EE7E=-F6uL)skHp{i!E zb3bLf5bwsFf?h}R8lCUjkF62-9WN{HP$yzN&S`Lq- zWA7M=*^W2!)Z>w?s}2Fn6sBNKlN`fqC;hb^1rK=w{`xb2zTAXA&&Phyio(wj{M*)yMn97&F2+|zvHYvUBVW8+AxOn7wBDM zl*yurHmE?d5(FV6c|{Lr#~GCMdLZIMc1r|RYq(RHfU_o29Xa8N!w}0F+df{nK5M0g zb?Mz5Ct)AGvZYL4$k+xB0pujCXULe~BsC)J>jpflvHiiM`ZPj8ce42s*d{;967s3c zXb7`&-V*adbvzZZ1^s{s{xDyR`Oj%hwcjgYpnC(DF@xbFYE`YWG;Ujw*7gr(WP3bW znVphrd-l*(lLwUI?=tO#7A26^yFruQe@%9-QXa}Ndd61OL*cEhdi%JT)1E+hOx@7~X?!8O7J=y1M0=Ct@E#p#mF=CnauUh8 zvCc7$cg0x$P7SP6o!y&MJWZLnr|j61Si50Vo8JhbK(#xfky<-VYO{U)-S6`A2G+GR zfVVE5>~WKZgcbE;^mNV0{H**Y<>9KS%4Ce$Ip@CU*q;xGdX{E|@-M)XZ_5WW-Epz` z=Le#sC0nAs1E$MjO4Yyid$IBBaiU8$CILU6kPl;C$-nls9&754^eJE3!QDDyzlL@b ztnb)pIMozlhP9{?8(m#(zskRPFJ!Wo`nNIXSzLf_AZg*sGE4ET_k&3%!%dkV4*Z-7 zJ_2kR@1N|KI_sYks2(REmjMx=-Cpe=)uFs7NWPJcGtZYYeij1B%rru4Qf2zMy*Vl( zy>!`-BF#l1qj#K2MokqJ+NYyJ8C7*^`{UfS3Bqfapd;dyb@7!4rm&8c0 z46pqFm_yW-H@MXM+c}ic-0v<+@4dMo-Z}2z~-jBiH zF`|;!pXP{?h95PSsr%U-ZJ^+)uh8ICA%1NDJrk@hsae8oEP0X)&CTISKymf-OKLmB z1}sZ-Z>NomXy*!VKi#a$B%Yb(#mU%J)ITt9s(eHU(KWn5wIXm!oS*g_B$aHg(!{UJiR#_(eq;5AQ`IddX( zG!xfzB-3WwZ`u9^|M=FpE_UL&UfNOTm3j`57>)H8&1nAoJK*NQT&Bk<5ci|D^dlro zvw!x9ChRQA#*$(pmW(%~b?mn1tAr$8u_2F!eXQxb34M&8RO;^abfaNL>OJfVYh_B` zj1#R}#3=i$2(1JR5*OugWPHOsKbeU4hVIYE@uzUu%Dg={BWccxaU7Mxe^etJPubd~ z9?=skk%0R5dTJBYZ2&dm!0cyVEY?ou2)EHDsBv)2xPC+bD00Zpn^f9=s#>fxrdM9P zz^*&yTTdHO&fO`u?>Y6Y0Dbt0Hf%i}-n@?Xim^hV(bGgIq7Lt*Pzjx%hBAPrV(y5;ch{Y&}lZ&cm?$o?>& zL|5jU+T!1bn8{>kP?M{=*%|aY?y`#yUX)oUtWod%ShkeYNf>JxVVO}*8svg+Hl*L8 zT-&DiCHE;fTlcM4+5B_N2gYp z=NG997-8NB-DtVkXeXtV?V3YpsS+Z&@juS#P?Ln{CQH(vK6jW$LAPBp8yS2~b`49^)e(?zfy&$SV=fSfhpPx9W=TtJUoVL6+6-+}5A~6w7l23E*?dHnn4KV>IFq`(R zx^(^_bP;oMEgBE`_17799ZU+dBxX68UC6-}?o~&!s`c2FF07{B6KnI1{9X_TuZSLy zp#cKhYwCFLg)s@ z^*Q0*LB%rhO)J1gRTE5e*1K{(KRpZPWK%?q4`|sD%8WnL({;sGJ8!IYU-6euq|?jkS=MbD>$!vg#}`GE;cYe-MvsLsI2P(N8lO1poMRx9oEIuHYc@<>wiwm zxn#hG^2gW2#oq>)xM*~Svhu=(*5uOA*eod@(Ly&sBjimF_xet>?fK+cL-yx~UU<4E zA++WViIsN0aLn%tLIeHLfmGgM@@9?rD)^V_N^4pPH*2PB)s1m&j+%FpfoG<|Dcwrz zeba%|`a0y+RYt9218LLtCl_KDj6-9`7s>4Rw?ih%=uKVM*PcXyXz~hOM>($)X%tLX zj>K3g;ta}-@;*Qm28RD?=f2nx_5BmzY;TDv?SoR{B+T3si(aosuLm4cDK`oT!;*t+$^HT7uwENuJOGjH+j+sBrWKQzPT={~+| zjq;;V$*$T4hyFzLd!l!}(s&%jDcMbBfu&L+6j6PZE#oE2S09C&K4Xgr5(3$EprToe z@RAd71f^eiLplxL+}AW-W7|fZ|3)&}aB2C3lkZ$~G9w5aZ0J+h-%J4JR^>v&cv?1Ncbpalkg z78jQ=)++q=AtM|>1J`8wc7zSiTvC2elT_Su5_JtrP>f|0r^+zLy7ZEvbTqsDt;FT> z!1kD>Jkv^d>;bUMfyemsj-Fe~sbyTVN@8}ciU1ejyKlO(Wc)uknocF7-x{cZcJqi74ZHlFo1vzRG_N~lZmIo;aqO1FMv9Icm*suTY3f3LI2I9PM9)3Qb9`}p-29=Sx2JW!} zRw{KJ=7cfv1n;Cx#;=KUDwP3<_bO_B>$M+qwt$2NYJ!UUc#!{TWL|G9F=Pdkq8nJ) zR@#}rcod(QGH+(Pg>=6BHqpKgP(y$He%~c-Ih#5-e`#%UcWHg>KK$`6uNk4cBqlQ(<~F&2wyc9G~~gmjj28amQRcjpQBC6Adko*Pfk2Ggn#m9O|=l2g`fOc zgxq;0z@Igw(Sl7jg)gpm80ik%4|DIVP&*J|*{(J`H8p+ocV{Gkvz5^A+eZCPx5gSX zLDE>o(`Hd(b1VZIU7S_avO1{p6P4v0Uzg@+ zT@(A$fj^xE=)OF6KYAb5bdg>{>A!+R77_k1`iKG)Glaz+_C@H?T)@eEU=L_=`ae!4 z1P9Q=8|>SJ42Bp62Rf=w#l7_DWCphYeW3kX$4d~Qxm+ZS0wXj>h>4P|0nH9NWJ!*} z1GEi&ZzR9C*@_)l6yk4!;Ne`A}J3!hcK=RmgL~okw(OcZ^BxiWzJc zal)AZyUQZ?;XWmZRewG|NJ4beo;sR}X-YU&%;M(K;8ca3+Mw#Ko!V^3`1rhC>PIZ| z9{g`rjWhnykqvr)OnfScG1T8~yfTcEynCtuxmM7kbcA_T?O)kDF-Wv1{{K@lZ9iW! z?=tL{e^LSWY(Y)?@j}6*_u~qfS*FyK&LbfMW|s5YCzMO#MYeSb?20r}pj8Cn#x5{W zk`GSgYG%md>cdg77J*D=@gr09zkYV5@>)BubctP&`pVv}LNv-nSfw?^ey6bWd|+Hz z9T-4&j%#?BWkFQfhD&=;orCIn%^UePgmg>X2|yAj?xQf8 z@)b$EVEfuVOT2KItni`wjlB3%<>L^*0fS2ix(S6`xf3=>0EWKsomD1~NI&Hqma`3a#v}Nx8 zWaRR(@t|>PJLR##iKVvBu9azAcG{YY`M|&Grg`JMvAp!+>CjFf5^&H6pIhbXmdv!# zrDkbMVLImofUb6DINEJ}NKUOV-DJD_ic+OIU~}D{kbV380pLhvGHdxq7hV}M8tzg} zl|!ZMR#VbOzlcD(fa-=Wb`Ig}^3wr*sUtOarA3OglxONJ6-Ou=A6*;glb>J`Zs~7e z>A40L*UJnQ6WmZI_l7()m4{UTHiRQ8(6rNO8_X=`3BsKf5FyRXS^>v`)2Gyi(TX z!kMLA#+Taf*teg2auf7B@4=(zmUn8|+pHB8ul49ZHPi2LK0pU}>@=b|cgY%qnG9{L zmQ%^ZJMxyzB57z0c(qanYGC@!*qOc;; z@k z&bq1~dbeP`axk!)^K8<)E)eL%Ktv4?Mkd@}8c18|?|*$fNv7XRo^A)4c5zg?OID_N2%OIkvX}m4%>qRsIt3kGUv2W3X2`>#ebwSJn`wffL5aw)XXaF!>^tiF|$n{T>L*=rgY4|u}JWw3hD}5rEUYn z;;{^d5)A0p5Uzn6n&0-Y{WtjDUKgl-6jPHlei?@YlzZpY30$&(e&IbOyrp=S`y^WR zMGJYkEu@SN5qy`8Mbz0NN!lu<@LYUA+Kdz}`G=%KF}IPU*!kM9mqqp(?+#f5(welc6Q?0Q0&Ay!JOzcWci+!K#dfv%^bv@^5?q9gCvYOT0 z8yb2C{;!uQ4yLGs4tSYwxc_;XlJjBz12bKR#I4tK#Q(5(1(qEhskQug_>1O}Foz>! z^9g2N=)xHK+nx_s>xl-A`XB90N%^rx=e$tsmi^kQ={26LfL(x&TYzn#gzij+Mlm+Q zp_wW(iY4q%^l+gbNekDqFJq^7Z^2GzU;-co|$pR%U*Qb!bt<#RMdPrmnL zihslQwf5E#;8ox8A8mr1kGh3x5COB94lQ0Rh|n*>NiSL>QMm$qGsBr;^_wj;tNs%G znLABm$>#4FAf+aiB~$PTXEJYhm(4=~aHd8OKKqL3d0lwYyfUu=$?Q+U&`Iyt3db@~ z)Sz>&1E)6Ce@^HsGxhLxOcniLx`jQnEtT6upmuKli0DPD+^NpfrAebiER>nx)aLlX zVX{m0g^`$)tn&4-DzEwc!X~$$nYBi{;^v^A!oS7~fXv$J{9wj$u)Mr(kEuJSeaD5RyLA2?2U7yxN@=2U16^CBgB>-d6gBF=d0^s!foy&S2PQC()dV8Z^~sF&Gs zs;ds>ajd<+)L6Nv@8*)g(PCD;4m9j}tX|339CBUmOOu<99+%rXfu(%k-@23k9n9g_ zxPK{dyuYRW4TUw>gz_>2!9i^ek1J98+nE7#d0l(vvWBX3qlyAr5q2;AdNz(O? z0xs1?uPG?^SwfXr0Cau<2dqhMdYvUKlNcqH#WLV7G`}H9W}1iokqt-)*%(5(HSJ5; z@5ODwFJJ&KhfLt*V5m=r4JQvPX%1W50)2^5I7JZ-EGh3`@v30m6I77> zV4m-(@onX{izV!T(N$Suz2NC8A1ySeE-z{o)i8%Bix)fyGJ2l6^X{^U(Y{_NN$`_34DTo?<| zk^&(%?7IsIVt=FN>-e|t?+%8Fq~^||%I_j)QdkThbZQ$K0F$$}U?Gob0ZV_yredlS z#wt}7XEHMJG05jroAYb?)>KgZJ_GqOaM-9_L39bvvy(V1*Va+*cmI9vTn?97fusW8 zGMU6?XJv2?5$g;;n` z0H&S-DN{3kK|dC^{Vyxe%*t(8$*SW!dhQi^Tnp3r^9Lb%9F;(Mun@OsHuj$3qiF7s zJfIG-BKXup<@2EnJBo%U1k^`O`!QEU+z*=LjUvYbrPvs6%r>;40l!--Ny~_2Q}kL1 zy69%sJ`Du_QZoSLq^_^w4B`Up>ujAy^Qu}(i)%4SJ;ZGxV`W{qGER9lbo0pB9`h4> z)D&5T&+R5eqXxtYl^H6iWq5gIdV#;VzT|h782t6&RR5pJIn4HHa>~I|sc9a8N|)MG z_lP+LC{9H@T=^pi_ll!1gXZ!odgk~Wb}m0E@w<7fBw zHG`a0D)Q#47rN%jF<^x%<}RJ%?X3mrZg&@Z9eXDI%7+qdyi@uv%$UA!a7Vn0M-u65 zLQT>%eglqK<1A&N;lFP_sM53QX%_;!8cy|+i(f~5?TIAQZ)nz@D%nW9v2iT))pbG` z<-s#)N&`^Z+F8{|gf&zTyXz2rR-4wOb|nNqVCN~%fX>NV(K-bdYVuE)b98q*xooG~ zL0$61f^H8Zcf>A6?HqvyY2hX&-g2k=Mgt}@fT+q3g}W*oQWn$FJqN9I#4ZkUvd28Y zRMz%#44q*fvvnj^Mu<*_a78QQGkvbo>$j>34TSN^MbItev=3R8|7^}uyw9CdhQrIv zYhSLQpt77fAD5Q~sYSA*AU+!+U+V*BH?W}jrc6}o+1x@Kk@tRMhOSw|f$|xB>;tr1 z)^EaJR@O0^QLFXxX+8iM$d zOx#_7`~~sA$adz6-ZGB4^eoN%`M{Pa&_a0l*R#lneKT~dw%_mB>+|aE16;jbz4&{n zbS4Yy!7iWmfS!*H9hpivw=dMZ5hl!w1!&jAI7CRuN4K2wJx$5tC-R@+bcjA+Xj-Z& z60P>d8_&__J8cQ~;Qk4dlDP5Vz)k|(!Lb|4KnLGO*TAcX))H|p$C2giE_nw#!(Kul zWxB_R!SSC2h6Yt#TPYSP*^xrdzXb-7nf?>ceV6^jt=@Vcm zn|1PhvRLZdt$kHpM75nQUHpw{&ya&zW;FBu;cC=KfU+@NNCQSmCl*W$-TxIjb^jAO z)2TZR8g41Q$G>xHS5Tzm(y4h$SBv>ql_B;BUKG1yPGkh-kgdt#p{)oOCg9x4Ljk4M-sll1UA@OhA{&-=2K ze;4?Fh0f%L|AfvnYxtKDKK}xu(Z3Mw@9sA>aLGPof7d{wFIo6Bvs_jIKSCO zWw^1N*77%@Yu)op9q2_jXkipjM~Po(AI=ywN_+2Fxh(*KsP1Gd>kUaA#qz6dmQg3X z!Q0oJCz$a`-XK0g9`9|A@5QIGeY)8_o7?j}u=#=CiN96oIz38K<#pKMbTPR9OG#z& zT0?!g4BttTdePMADg%^Gd)ro0ng5hd$}h(Y`(nQDzO0o!zkGHUb>~L4TT2Nn>^n(+ zVSPqY;y~p$n)0xE0DU}ia?WU9`Y)aSgxDl{BvJV|dVlK<#gbEb#zVB02BDA@)!OKz zOwnN(ChlWfYVUcnViSnIwu-QjHr~#oP(8~+b5&ec52dQg|!YPn*3J3@gZK01se(T15xSM6M*Js*W7f zxgn^3H9H4mqVRRaVrrM0sD`B#`b1EyECZ28XG_MhB}K%;V6%nuR*wy(_#iB73G*EH z<`QcvPYBOF-P+tqiGuBx+h)VuQgNfmTcX@W2jx&ehI1fC)HIY}Qcy=$;`R_FoPc)W z7F*VTfbEHNVdp$3-gK5X?{+i%3(p9CWx3dymjzIVd~~C={ajztsl|TTkXs#I-wGJV z!f7DMuK&f+jiUedLlK#(w0t!P>N&u{e;um@h+{L99ws27^QrcjOYUx&=1S{~DCHvX zK%A)}#DMTcBrj-fpj-;wkM3?23H~3ZQ`Y#Q`F~EQKP~gClKP_?o73Y}=NHObpxycv z?U3jY={>sT1Tm)6WG6(S;ETV%gsqDfol-0+6~@o6d#Jen%y1IukayLFppZ({-|k_< z(9w9xLkuuaLlAm`V85vG9W)cj)3hJvu+bmex;dGC4Qcaax*SQ>UXZO0SdV#xn9DG_CsT7SC_|HQH3PCG>zQ}Gqf{L-EiADU41+caeyNsCXdup&bm zs9EAau||S#qir#Rr zX71)!raP;R=*y6``tdUPFCo#IKWek+Y5QM2eOcj0Z7okK9!ey4@5f=<%unPW2i5-vYnI;w-62|pJQ#J3Jdwr66%tND>&sh6)gDo&ahG? z6Fj7%n#^|bgU+&@%B|w}tP$kFeNgSu=v6S$QNBuV#?`V1H(rTI8YeiY_7` zHv^;6hai{uzAG-3M0ovXn(I_c&Daa}6^$WT;E$I-wuS)8x-3i>d7I@Z)@eSqW=)cm z`ZmV_a>fapIj&vhh2qk8s)f=oR10z5?j{`!sdMvTsXWC`@SQIOBp%iq3zquUEAB4s z{3l8u_;jN`@AGMe#3B2Nu@UP=ZNJ;6ew{NUVacwAl5t4wH}5nsMl7m31k*4bPKQGI z4M?3#pjj{m^_=5HXu~3q9OF3BC)BaC#dL+U>Y}myYu=WatkL4!c}I&_$%kNmQBx2L zJ%Yj`+LH-fNfem%gMV`+Ei*2nUE;hr;gV58Br)gDLaug_u+@|fro@8fnEdKp5EHxw ziDPG=zdb5~Q{radeuyiCg`RFbn_@r-oM@%YupK7sa3=?xggFvusfHj`g60eNpOZYZ zEJI3977<{Yfu;EYy$yJk>3_X(<9W=aV{<4JrVNgK=dO{)^vbLvgKfTn@X~@EnCNn$ z*bwx4XXH6h2WrV&58YIt5T8K zdY;TQrwgNE{iDP+W9LHdT;oK8C#jp;DKas2k)kSp;2ey(IjINw96Iwr$r607o65N0 zcu2ornQ0BxeeIGp+0PTo6mY^Y>4HWJ998h=GUHG@tabiuOcs{9VZZTC&@|@yuuifc ziKV6H(x@EOt&QEz-?K()u0Y59kW9sy*;5D{tvqSi#sg~j2BdFVyQL9vEwAx{3E9aB zaEp$wnCgcJ@oI)#C>%SHelms5M>WbDpvLV;+iB)pjhb#1O^U=g;y%!c)i0J^-GMt}EJCs$JgU0$ z?@D6|)!cI-{Ch!Z@ZkM?2V%78Lmqodm`{LY4yOI>5q)GY`JjjXq<{8IOyfomqyUB&iniZT+#}-fTZh1vwqjP0eU6#uz7k2U8`TwCMKH6f?1E)1r+03q`o_{$U&J?+de9DZQjB#+kA)@vi6WP z#ttaI0s}93hm`!8d9FybBDEu~GS;Dn1&&-c&{QtpHcGR7+ z(1}b6sad%N4PZ=Zd=IDTV8P=#fgsW+^mrBwp>HHXZW_7Z`0Z%$0O{VBOK0z2g7CA} zC#sc4ay(-W57ekCCcP$O*l08PUAjP#K?9v}c{Zj-yRaa}D>BD4o#FblMkpZ5kQNtP z_AeRpmzDsv6C*hu65ATSA6kn-o2T-cgsRG=e+%(g+MivG?A7q6;zOS1_~!!j*4~VB zP}ljl1n>d{IZSjt4!KkP2XDRyL}8vCQ*%1Hov<8IB&yfgqV%%bV>H~65&hYL3(D#5 zeh^0NgcAq5akF_-kePBJX3hLJBWK<;~pNxcxqi|$Q@lW z{zmC%YK`+^le_9rS;@}*-qq7|NL_)QcY-!VK;BVhmEyN|py)pNf*!m3qo&}Hy+KE(tIT2rirZV5ss9@y0gtay=%WbUhUsT&Ye1;NT zqzgHlWN+=n7FG2iV@sXMSGI<{FH72%U#`0I7B$!=w&*M}L77>RudT-be!!>}gWoGy zo;fdGL{e%hP5d0SH7{yWN?k|4EjT%0EO(#8%AG&Kl$er*vVA*g{I5;kt3 z1jWBd_q|6Y&88!X_w|+zYSqj)LpK30Bo|FpUkTB~`<_;D=VOEj`r#w`4|%`%`scJW zGHQcR_OckBQ-s3uf+fCre#Kn7WacBm{h*I6mEiP{dEe<9p0FOQ9CIj=x9Ut~%JUAR zh-Kob(iS+*E8Q`0N{GNW_pW7LzIoRrx{9+Jo5+ zy(bjDVzc-tbd7$ipGV1&Hm3c>qN(M#aPYqOBPo>M%F^unpBc<0I_a1U7NX{m+^J-N zqVGN@fv2juf#p|E&gzG|P%^rGUXlE>Dli|oSnf`{k5j#Wjokx&RiKhvwEZ zAZBvahd)|}*^pMABxT4Gzxzd+-(+1rqp4DedW5M&PE0Js`YtNoWOQ{rKD_aYX0Z5= zNIfbNG*N zlc0Wxwck5qq?G-8f3ZCdPr<6dw-<(%zj3^+NTp&A(HOsg`hkn#e&*To03z{LchO3k z8BolGf|T3)|M2vcL2dod*SNbDm*Q^0y|}x(YjJld?(XjHP#g*rcXx`r6CD2e{+^lV zMc!m`XOi5{J-d6(*ZNQ8COb)A1lC9UuK;@^u}PZK%E}UlXo_FE%+HaZQaMhRLkADSrUVKSp(mj zUltc@#s;;HLHR4AKnrzPD>vMK+NqCb34 z2ZzSf;p7=s=`d_~_4^)~n|;qorl`riN&?9;1-RE_Ex)}aT7H|B-KwxXt>HRLEch2V zRbhR#=fhYbDGSPCp`Q)tr7yff&A-*U^q8xIdKhqgIu~|uT2&XUqDNnv7=Q%?a4Aan zbmZl0E5tstJ^D%)ULp%N%-~@?`y>vuM!$Klj$%Z+p=+kSfnxyecebtv(xhgvUK&8({HM13M$7T`Y zGvH>`_hw7oH(-BbhU*5OioO8KTeN#k{uH4%#9;?6XF3H%TMsc4Rd66fLdCR*WlN;e zi7ue&2V>Udh$h*i1C$oJ&>S1Z`Y9P`>P;Tg3e@Q!VJI-(+Ef=#ptN*pA;bLKsDiuq ze)MOpt)L)XC$_tyK!ka?sjQ0^eI$kfV@;H5KgQjZyWvuW2gt z62QV>AU3h1vbdr`!R(jC$!uT-%x7KsnmgojKE!d`pQz+ya6*wVlPqL!DX3_0a@u{U z^s>6=)O`MU-}zwx&tN_>!6}I^5k)LBWxVK%B8ks5HnqZ*qd#Z_*5WZH$K&kDxpFGV9JKUk*O3S#jzF@QF zrlj^cTc9~t2wp@`vY*SxG{3jg1HM!+Z(8w+f6$5f{@6dhGYi9SOxw(g)0c#SGmp!{!ON^oA33JhH~ca``XyuSvOXZj?zb(Q zd1t}xU(fZ-N7&FMcwPeU5brSY315or+AD3HH?Fo zr9X9<(jxUF^cBh?jbuU^a5;Zyq0m%g~}jLywpg~edW+;sh&`m~B^bH@c> zP4IMw6yD}BfkTGV8PpGlu_d+Iy|(g`Hg;4+!G#UHSxU(jQqd~%gkx{t)#M+N&GauM zjq#`{;^iX9Q~@yIqKz1dMuDBv8h?zIX*L%227fjY4&cOnI;M{|4jF5`9fkXkZ zDIn-50&SndkuT4QFWCuE2h;ca5D&j7zpTT`%r3f#$u8aGkjx51?75R?Y9vJI8pnL> zVva0?AgTuUNPDj{M*2Aa8ArIRxwGSDcjo6S2q7VCn0}$FIWVyW-{}D)m8?;}XOOc7 zqc9v9q@35nfY(Nw^G#m@{_2shImNAXr-m+ddvQv?yXX9{-L`}1N-+bZKX=9W>t6*k zC%AM_B|!S3!}F!XzadcB&1TVIa^jgV=oy}pUo@C3tA!F>^=Y1Z3Nr6`8mQzvSN7UL z>*Hul(tYCdXZrlUvOC7GV_eyS4tP}YLe*r$?B1{rZj1i&3lj-YP~8f?h1m*Dd4+^% zo7$3|L94{`wNql7dPQmVJB&MDV#+m8{fd~p!5cLcj^@|jLO}nSpER2*qbzEtxz7MX zOI>o1x@J#X1{rv@XReX9R<6-7iw26Cfi?=3cy7V_)ktPZQn(ax^U2C1lkpqOnNu;< zET*wf8%5Hj@%UOiEo{rrq)TSfuDo~w0UMNlB=50h{1X_6-F*wkpTO37!0ZPI@=hV_ z%i24&6tvKrgN_eVmqaVESeeZvYqg|L$Sp?QLGzWx8}O)fhu*)%KFdo8S;uS2rdHx3 z>25_{2hX-D0BQFe{HTDaxC>Ga|5}1-e2Ht`8MFtsE*JMvKp}(0b0rt!>uQ2+xXZaG zl(fLDeX`H6pwF)}H|wte9FgScJHeK)5qz5>I`3q!`Xeezq#*#PnG#NfTPn7=&^M~ zc;GFQG^E|3yZo+}m4R71WX2YgkvpOe>O@i)?&IhAccwCQjURe*>3(_*EqD9DGzj7o zL+af^$uyiw%{f{7WV?nG+G{*={nTbY&`3In<`9Agmgey?P&G^kNY!Z7dZH+IZxW2d z2#st`p{u55-SNkCC&W+(exfD=icOPeYE(wE-ZEj`QcF8!C{hFA#xOt3Mx+1Z8gR&t zGW;$_`7(B`oY3xii{&q^aWBKw_sVMg3}b6RA2N@WFc<}9k{;;)NlYIKevF6p%10J6 zF!zzx+$$6K?ybNaB^2YSyjeN=SXA!$sH)xlI;InO{*vMLz1}>!3B!Yk_7&46@b~cm zyyRF88Ys?i`nun=?Inlz zo$fgb6|Qf*rC@4BNR}8kxGw@`)q`H5n)S*nMW;75m0-0G_->3@uc+2FVEVG&4)eT_ zPxo~z+B>oeamgm9t_{%Ci!5(^>-Cd!31!xjkk8#0=T`NOlHdD<$xobG%oXB}^cFH^ z*=;0!)cMwrMv0~#$u93=AGg=C!a|Qy=nhON&EMyY=Xg<0Dd4*BMVS zGoJ@_;Q_J0^RNA{6ivEek*k2j%`P*IE32xuFOOY=4dY#W4#)gSl=rN*maNO~Z7mA$ zX*iNAD?FWb1+?9X6SE*SYmR{VHa&N6T%Qh{Vyro@-OByL%BO+=Aj_xnSEstiZcWN> zr?3p=^oh=_=2`})XJM^QG&%rwiISI9=XwmM=Xzn+t#VN2wG|;-VZrQ$69k;Fi@p)! z^=m764ucY2+Dv2T5pdt zpmrTO3uaUveWk2GTdU?=wM&nBVZmdE+?=g*YTUrcqn4H*8Eb3UWe@vv(iB)r@7+{# z?@``nUR9iw4zc1V6^mk%^@2YK=9cuWL?gZ>x`8~>0g(9uhCrq&+ENrbNu@G*x_NTuKZbEcJzPNEwbYQOl7 zf`??!m^9F<<67V>1XiKx0}l2v0Bp!^f6{OdUe1U4`}(6yo&)F;Z{5Ub9oT1l^9h=v zgzH_GR1P;ODYizY*l)kY?_PM+7A9UyH2U-$^`uNHv`5iI2paQwf@Qxw44=k8(`$Y zO{G7wo?w|OpXC$ms^d^Y!I>K%4`@zGyUi75!tYGTBpAH z!>RLaA!)ktD>na5gVu15>ca8MKB+vXkQ5Yj=GR`@jG-6KqLqr{mb_MmESN_UEwV9= zhaYUM+jeAAdVzNk&FPvaJ5*JNlvbAmmz0&FOY=bRNaM=skf+IHGiaND*(B_W0EQi( zYHy8f3iL%jYo{yddH}hP3fl=GMMxGHf}c#2S7}ibo<5f%vJJ#&GJrrIIp$9ve?LSSu^yjX1R?)Fi6cr2)#&u_EHNto|-3V_ume8x+-P zx-!DqaX_l6mq*xaDYaWa!rqTbqf)!A(Eot*uD@e#rT0qo>Af2ajc&n0XE({Pbj#Tn z&_uFP{Tia4C)^h51)fSR&Yw!v2zDS)>FTr#@q;zrsQnN0UvQ4qXDN@DL6b0ESv5^+ zd`f1rogi);@F%Lz=~$rA`VJ#XX=0d)s;CY_sO~#CJ;arm056Dfw%v`>rwF_O$YysQ zdCATRS7#%k#g+95)bwOYcnoFLn*|2`B%KYdd7qs>Purk7 zI4%lK5t5z{7jxvMm>bQrDRgUA=Bh^wwP_iwh-en}nR#{jrHV%8pHKTbQ|BuPH$p>76SD~bL=+-O|t_N*$u_2Tp7 zg7c@z;_s4e^ooE5P{4X(ATFB|2MWnR-N3g||3zD3*N@Z`>d_*>zBTD-c418y)pOe~ zQnn=odp`R+T=G*Vg|fU@`ud(NF(++7%Cr)EkxO_?sx^-MT9OOxo1%Pd+`)&%PGENE z$?SpE+|Q)Wa)nD33GD;^M6XNn2Zwl?`eCfMw>&VS!7Voo>0QFBx)GSp>R9Ryj>Vp& zBZAqWtJjE4eON1xT&%_}_d72f=2iXZy&!dc&~Q2)*x%KiSDdlp)3^!SxMoG8UQyFF zU)0WosqrNsE^bUA7#bAlQbB=^#0C`T2#?RLApb|kK|XS?-zvmWF*|b-P5J8b(n<1( z@T~)s`E)c_>fuw0D+x#)Dwy3k+#nCCi^z}5#;|w{WxWGvn@h{7Z4M`6ba?c0;?Gg8 z*;-c$9JZI+?67z=U!8*8Cxsl7-X1BQ+S`8A-pX^_NM=g?QXmKHM5Qu);Q!i+J8BYx z14Vo+8yHhOGhi#VsE=|QD}@!FYpMZMK3%Ziqr07VmEtjaSG%z$rmbYe84yUWEFwI3 zj}^o@iYFAr z3brBs#*ZJ>$PyZ+!Sm5NnDbH-0wcP(vLpKMSXjb{)UTWgcY6e0?`lO6+nO(oWj6E( zFG6`JReaPDsf5-g*7YP)IXLGSA6G%wxKY-`G#7cCrid{~qr#I_Q zg>U$rvx=M}7{=s|v!)${cX+b^WzN27#AlMq(no8~p&?pFRG+Q@8dU|fZwZn1AAwQA zioXi5lk^|0<@n>-ZUdKHfA9wlcSLR?EHsT+SCFtN`@LetK2QKwl4k3oi9|Dtf{`b8 zIUAtc4IK$GGI6#1UO7G<8Ssz2ZGJk=oyL0E#1%M`y7KCp+`%?x@KMj`c7p40jVZ{W zEPiuoHmm11K+{IoKwJB6fK~}a8ut;?NArqCVU;CEVWqqx;ids?A{05O9eQvn+3pw( zGhXd#MO(w4ypWLJb?mPmU4CWJ%^tBsZuyzMrV~2db(OHH)BSDur>#aIuLkcM+g3cQ zpPZxv^eN)dLvB;*VDzQBQa~INTp#@mY+G_ww{NANaMW88&f`{5jqn)n@c4w;vnORl zd@t`nkK|Cew>QNWcFB{?gEkJ&D|f(99k-MR^l3F{e=hp*lj?mS;w!(D0C5qFS{&@_ zWO~62L|RYI=3KO3!DGWDdZH7fLw(sT+}?w9(}Qn^!dgOLZZNk!5cyf5W z(iD3>BQX(S9#t9~z;5hIVu~*2-u^4gQa|RJ=S&$C_Hv;2b?HRT8yW1q1!r`Ppmdl= zXJ2h!Hx$>at-B+%*d@Z2K~+}1GP__~GOdKIYXB`=?aX|Of%|RDrK-p)&jy6y#H=^R zB|#6yujPptKP$RkovFFkCE*s%9{QHwm()d{*nkP?`+AXk$qS}=emm&Dh!Dpj_vBr< zLl;1||H6SNOxDiH&>M23^-y%hyaRsFl40aHdWeNLozxBmcCR>cO(CJTuWr$Zht^G3 zNwH>p!DAg#09pfAi{^qS>WNn)QUK>kMEtWK-t5AK?MOcDLCQ7kKgEt|9L>&eYd~7Z zgVRx}FrkE*D$@6tz50`!<2s-~Ur)#!kL`HY3#fi5?+-I+&*I62E_NF9=iz0n=smrt?1y;}tLuILf^ z)$}3y)ur&W6<|bDu)y4y9YmdCQ*Bi#KPp5XWr!x|LC&q{Kpz;munk|ygsRVn893*V zKWCpSOWqSC3M~WhdR|tFz&d;oaBE-sv^?^JPpwl_oW0w>0D>B<_obO)U2(Jg3yqV1 zN4GKY-d$J{?5jWXsi3fwpx8ir>NsG`%|YB zmjU}2Dvm^g6160XnI_ppqeKvQfk6H&LhdBJ14gUKV8VvUr4Mm2TgD>ZY)*)$ahEY)zS>^VXV=ri&-l@OEYg)e|Df$Vr|c`T`g-fV0K;J!WQ;?)7k@9 zSM_ns+UI;b{qzZMfn>I?vh$YrMf>ZsoQi58Ejp05qMtvW?l|k{jbAQ7ZFub;Q801U z!p|N_e~jw{KH^`cYCJ2{5%J9ctLxLDa5{7O6`wO7>jxfkn}=I3-YcQIJa0pgnRdv_ z#YX3Mfuii$Tok_23)0DgPOzZ87Vr+59txtijZIQ)+0_gd5bGYXU~5JTOys@%gZbT% zOaT<=XgR&xW>6dMXC6#yLZI+tsk^4=C?6HG@-B{E4(5ou4aY?UwO_`B)-H22h<|)H zNR8Bwvs2jz!>{}PF&+dxmAXVOFLt*fg02ON$gAm$lRi<>leZ>hbvRaZ?d09yi;Jnc zBV&b`ZN-nwA0vQVBVWVcD#~q+O_eaQqpB&e@S683T@r|w$!_tcZ|NIlw7--%5MEb3TcdY-3<8?!ox5C<0;$)Qu(h&5VlP$i7%^Cw+Lzp`~ z;30PM0kw4)Gc3eYbu1)P21Q5=pH@JO`?(9^N~}M~=8~0`t~WSE?=rePTkiv0ZJU?V z>0Rwg2HtdrS68_tuEb{EnkRTK+(m*I;T|O~eg!Y#W}%M%(+S@HrxPezv)`(4W8vm#X3-Kjgnwb8KFj5X->b1woLVWQG2gYJ5VNV>27&C$5on-lsl0-J|$CVQRqs;@I zvpDl(XBXY^hhoo_Khf#OVa#$do?@D$ZoI{JCeefJOjvN=xZB49(+=4GN!0vSfukXd z99#MJ$5!|s&6i&b#2j4CsLnmSY62T|C6D>uZ6EIQZ&j1a;zZ@CE{a3rw3kQS4)u20 zOIj8uYrr?@E8F%T0_(o`o#__Vl$)7j-bYZ+4KMDIK{OSBey6#7;bA( z-O<>5{U^4LX?Y0J)6aU#T?-&N^So6xU|T`w`Guxs<%-@1bcZCJ8(r*UMcxlO^Rf|P zq>vn)sozwB*mJsb6;ls%^B_g^F2#lVLZkL(hExeq%^^{Qk5UTy|BC2J^ERCI%#6|w zKTlJg-8pq1$hQYHr6>N9UFqh0}hIGkX?M}^K70iTx&gO;;c_~y^@4aEu z(JZQ0;Th-^Ywu2w%i-5$ip?-vw$X)qop{!!u@~h++m9|N@0J<4QN&qE03ZKqbtzea zGB|E=W4GS)R{E6p%b&cKUF(Hq1>`kl1$N)~co^&Xd18yoNCy^cAbP{p?uf@s@jtSz zFxu-%iC3&4Ako_`qzYWARHvoocnScr?nj{|mwpUQm3Y7Ka%g0Y|5uwn?rvV#DjLrT z@NtoD7**v9k#pJarXcJ#{@? zw@lc1LZ{7B(2P(lC!yN+qc9YCG9$efjf55&XDf34kLZ>I5#5bk%CIHVxW+KJ;|aK1 z!eGAqaT%t-*6-KQP?E6F=3?1y5)Z$kfrC|nD$Bj~5^byp!_K1Lna$oxgzjLnRp!M7 zXpivz@Pme?nMq_xhN8TuY->DIpV@~_M;_mjZ6G+9=!!6hPRzTBy9kK>BdP$W*#Q&k zQ8_9Hr7wIcE&wCYeSTV~tS}vOqfx4qy5-(p>8rBz`mjxBs1GoXiuP>}#l24{!;vn~ z!I8DjOCzkOzM&8_Km~E!dCxKKaC=NL;U_Aqv>gzs<8XGgN|lrTOXAXJLM4sDr6{K# zyEJp-I2~t}mEGo%CkG06+EJt?U9F4{7pGZi04 zJeOQk-+|fF`k3|77*~qbd_|Mvur7+No4|4P&}7*bicpjZJ1|Mmm4bXKh7aw7&tZMu z6wNjBC_kum3Xv(eY>eCjqK@8z^`lo@J=^yx2&QxWW?R}PK(;ja8OhJ}H5C*5e3w4i zooNnnjh%)tngyDIpEH<2xD{S=r4FW@Ru$-g0%vNroUJBk+rq9jlY7eX?j;fbnB|G4 zRwY&ZZWdX2Q%|O;&qVj4@f1Ec-p44oo23;>GOyx*(=Ci1f)j^3&OME3RPr)D<>r_N zAl<_<$fLHMrW(I;haCi4(7r-xyNYdx+(kN4%{A-&qpTh8p*^?qRATD;sKfDuv#G03 zvIVN(_MgvW5IIgze4JCTHOS=MbzqM>s085G7`#FDPmOQ=3-yVj=x^I{kKpT2GJCu5 zJL6jfVBPMfI1;=nn9JP-Hr80zBl#;hUU9{<2B8LV1 ze#Qwx{!NhP>F<_+A*afg(MSK0TLsRdWAcne4j^&FgwKEAeRCUZx7W78|8f6O)y<&QsHOPuow}wWXAssj zlqCXWLek!$B&+7n;TB552=8({U0;NWxmQZVQpi}#qG75cnoTHAo>1F*I~XT|%>Ysp zUc#U;fAFmP;s4+}&ECjDy>B`YM$%z09P}+KG!h%G7EjH;gU_3ca)%iDv&@-1e1V@)lnYf0!W%cD&kzCO3mQ@gS@z2i@Bu7gRX@^e02 zhS5ze3H5A~ph1UMV!1_H+%GWLQ{LEJI4?)o+T;q`3+3lKRD;sm&N;tyKX_vq)5T&K z#kXYi8^u|P_V>Gq3)A_jy%G<-OKD^KDGtbg6-)53; zzsTvtI1Y`?Z#8R6V`+N8?$jKpjc#rJ?pgdLhx?@BO;=_=T3a*Sv*JLep1Wkb8QXK? zn8!()*RW}Oi5j1O@;JMN1r*#7@$V^0N4!KsRi7E1zxP9+SbZ=evId&k$(!JR9lTx1 zyh7f4;Ad20{q`*Fn80}yf8fKSsn5WE{Hxk{Z=;%hN{{QtsT{05B4ZY5vWnyZyQFCi5IN#2%!wU73L!)vR#|zxSM*pp!URgn4ISrTpdltIlHRW6 z)L-m#!=MfLz-#s8aUb)+Coy26tT~DdBHOH|w*9|<0GQyoLtbSU*5!YJS1D;32bTU) zqVM<<4SqJ5(?DmI{2Pn?N=(_AipA7p{_ocB)f|67r~#8TRTG_6Q!kYgk>wb9b=5$P z4XTBDL2EhE^YzliVoy#=9X~Il3>U};`$X!50L@Wc))9T7s}!HM7$`$D+M6l{td(A` z+H0R?>M&Rf(ICi_{I2XtBP(CbcvInDX9Yw(m4o#9F{rl(0)NSnPS*hIE>aFsuOX!3 zo>3Mxk;eI4I&qxZS9;{ZyhAH=X0rk83y&M*x?8KX;bJ_e*n@G*sV2l=gB(`v>Y*a6 zg%TNKwWy*y%@Y_9vhGRS@M0+PG~}0OkN2t$rDajgLIImqlAMp&&K<^UNLud9Mw_Kj z)2_{oZm(6601Zai^m}#xj(AM?4Zrit8O$+G5YSW-}bAyelJIa={&D5)+)M&R)JJ!dO%?yM3 z{wzZ|H0iiH&Qrp*bvm!=#9;tXbhjXkC;n#fkB0x&882wP-YJpbsEBjy?q8sVw7jLByuHg5(Xa1vG$_|5g*xEd{ z3jZI}k>&~tr4-2}s?gc3(wqLFfXJ&{2Ff8nbgF1`&&Rm9x`@==z%~%&Z-AYY{)F(S zS})h)k{Y9SeOhIckEkPbSDi_=S%bA3;_VIg-xBy{V}vaQ7V!vg1F2Q=rdmz+Ptgqg zk{C@`>+V+?n{GOHK_|A}UD?2V4nKq&+R7G>6hzyV8H1$O7o%$SNcv{MjO<76oE)d- zDK@t|C--Gp}|$5bD0qYM8BFL-nV^bw!M zXU@ZdZ3$-bKZ-GxPDf^5X&>dOh@Ogy#g6HqK6CHwq*>kEA6H`Sw0CAEojA14mNqcP z;=V<8fY_g;O7Z^4K|eD6E~~9wNe)!OhNQEE0P~ifbQBG0pS8w{t}k$lv!lP4Ba)@!1&^$qrEQ(K zo1uii5?>IC_m{te#*p0^Tio}RH$tj8f{=E1CQCl_q>`PbOE;%x(Waovn82D89Qax5 z7$;p7{>~VQe`&<|lkLzk}r2nUuWk zHwIsiC}tTv0X{PyMh318A750R^ye%3@biBvwc(t~=Y zF!`auKnhSU3{0YhpA^k8hFaUE=S5V#daTg>$PFLQjr4FON|Llooik4xwt81 z!A`K8))hXw4r5vR-in-f;Nzl|I@ih|30|9Rw#=!2?8DWihL>8}JYK<2I6nH? zMkCz~+vtP%(YXZu_gE!(gOJK+w(|$fz81;F52A#pofy2yG<$52 zX*4jNZvZ6l5<~nfL37kpf;*|Kz4=K!C?)B)@6RCeM@5oa3T;b31oryrj*`eJ7LaNw z?P0j9vSBvW(aXB@R>{EWDCBep1_%eUFcw0UVR&XTNF7Bm6h0@*geLhB;A7R6_1D*E zCzlNoRKjx;(m?@14+4%atoBVXDPmr^wE#ntkz0ObEgg00d}8lpFszx6tYrV#cM+WK z1YJj=q!skdU=rjOBT#$IMKEqI)Fw>s!P>T|cjY|uUa3s^-q41od8#ch%9?`G1a`GF z6W%|L8G}@I$%z4+<55kwpbe zLBG{&MzHw@^&*!wl+tCu zZz_?n6X$Kzex2l@hz?tF(=q)~hLjwpgbohwgjzlrQ|MH_)sBrCES3<@M<&z;?JLDz zc&NIuE}2Iy7N`L8nQ?lVvkx}+!ii+d>*_A5FtDC z$a;yOoBNv>)f=@)9BlYYv6H2dp@fsh+{M!%d$445YJkU@ZHnv%v@&i2kKHy3pPZ`I zu29=xfBIZMV8haN7q_KUpSZC!)m`Q{I&<6%v$HQ#g*YE^2U5Oa)QE?V=r0@mtmX&g zB)0p1dll7XjwH`&^Z!&I&fEFNQEXdr&BK}ZPl8^1Mf=i>dp_zIn#H)#JX5m{M;SmA zn@F#GQh((D$>Qis)twqO_aB=>z0$O34LodCK^{$t}1BIn;T6D((U{N>o6e`P5ele?Ik z2eCg!J+mh^RwtuJH&XY9>1yxVWC_&(U0z$u`d5r<6{7PDp%`I>mfpS$qgK_+PbwP; zh#h<9!H>f#Y+?EM$~n1)(i_R-G_Me~4U^$ekPY|2;LEudeNi2?s6#wJOoHy|isB7# zbN!ae><0nJU^8X+c-xEF9sbiMhs55(GFdsSy5dAc7_$99T zN$?wy71f>*fex5mFH~xY{OF1^R!5`+E8}cPdEwjQ z#n5T~z2E0jaZf5AK>ey-JB!-S;8(30kDt{q)R2`G3ZcDh(o~Z*av^AQaIC*n-aCL*=>yU?xit*@J*_f$TNn`Dp2oZ>Bt(^Zy_d*)kBT+xQ1O}V2Xwe z_0J7mVSuS5^OrYF0Yt|qY7r!O;;S^%>$#-Wtd^obTFD_-EDn?n{=_h<%m>Y<+~@&K zU!Jughs4psyFgyBlIg*apF&_*q8(fN4yScyrQ;J7D4q-Q>C2GUW;dg^M_DpsjFV$1 zvcE2M&ys;KKb9j%;>IM=nSlMU&1f9DHmwQny~Ti|-8rh?IWbME{@-qeX7FX*)W&LsFou-Y z?&vlwrF%0gRwa*%Eo5J|i0%3m^q-qDTWv~^bhQN^_DMq>`i1RajR0AoaCOh5z4d6u zhC5nEZ4->!pm51>KGm(#s2GiJ_UcrT;TqFz!}rJ0IVfII;v*SS_V_Wu@G;_+JM&vK z#9EIENE{`v43QE3xr_feJxOqGuD5klYfdi{V7<^UI zPLmxu_FhgVS~FYIJ^X0Znf2c-ELQbHX7UE_mEJlUOauKFjtHC5mXjpnIjkN`?*6qh z&^yo8I_sczPRs@U3T^pyhR`?HRBlJjqo#0>_2(F5{h18v8?5tf137<$18_4TiwWR- zMdUq%`_@*j`g6yEo=}{3RphI~M}yQ$gF7$BDg!A?#?Nh!KxeO%oO_LWG|0yp_7i7` zWHV?2f@t+`mL3gVB8L(q8|=0w-%YzRpJQS(WHn1ohCi!(zU&|C-C?PG?*V8rlx`B2 zU4u{qSeV)mP=Dr=_gqt-DloYy9?DYaN&`RO%-|ZEXR8;BlTlnxp1uW$ZGB@g4>7+n z7&H++s^ru>U2KdCOIpkNwyxaBWjq!nQt_OvV2k1`I*cWLZadt^u4Xp^YS6seB~`na zQcnE+cYYuwDjW+Y_bj`DhWV$e0Aq)NS%qhX#Bg7cg!t1Qr;C%x5Ajoao*i~rw z*rys|?`=Fkg#gw$Hbj{pga$O#end`N@yQdsJ(ambr)(RNpiOv^8<10+^Clxdr$M_e ziaKp3VxSMp)@$?QxYq`!ONyiu*MG2FWse^JL{Zp5<1VCcqvmho>#5iwovE0X`XzVONMcIN^i^m=0tN-jL%*0O3ld0p|SO?yFOf zS5POWg5D)pf);;XmIlW(3)~A-WP)T9|7Q%pLo10@E)6Ezfe*&iu9*!C_AA0#7H82L zt%$X0cAQNii&hF}6~f*_3KYtK1iSpJ+A9D{+d1x{tl!vs&?6%v*|Sh7D(6?oxFhn> z<#(8S@~6QkUDHUPZ=ka5Eta&bSt^mJ5)ak!+jm{MLISvhg?g8NZWe}JQ^jvm)RCYhP@iaog)1>}zwB6>)daqs`pHaYH;{u%pe8;PX_d^wGtwX@6(y z8w1OslY;+3{N3XQaUgNHxn;xA(ioNuNI-Fp#g9xlU@3oJZu;+61u_n!uvzVD|qz(N>?l)+TZ=QB?Xl6Pg!XERQ2 zt6W1K&&gosU8o8RT(&!{o6yjpC4YSuNsJ{d8>YKfDcPOq$`Q&{UuwE^;mLHFKOg3y z+X$@_+BdMZ6XySjl~LFKa@5g0sJCFh&idIX{q7Tk9itbAvwqd=q+6_;7isbt5@{-F zz8}etI(5~o(3h8c>gNsjmk((RNL(7uk=jx?setdNS4@IRKb_PlXVrzSwKdnB6nHdR ziIkJpU(3%MUxc(e3Rhl;&N|=iYNo7C3|aNAP?Ws3ptPF0*{VTmKh0b(dy3vH!*qy3 zssl#nuV}n;Reb=d8>h){^%SR&nZg3TKW4}yS8Rd}=6?r2<*6aPph|NNT%KY}2umH< zW{K5BGr^FXLYQBf*Z8azA@vI>1FVcS&ucrS3F~DUKT?S>j){f25m@gl;r}H5=p^!9 zs|_8kDi|XG1Z{wkkXL7o)SRJ!ND{YlBn8wJ#M>@08BOBcX$(b@QrYrUli|Gj3TpO$ zKvSs?!X7H8cB?6)Y?IMj^SvEJ0V6Q&fhIY%3nJJJO8VaLEFXRTUBNRaArPMh#=i?5 z3Cpi_Qj4$KkAX1jEfrQR6%6C9wvZ8D!D85E$)aSbJQ_(XqQ>&J&iW$q=KxwH<2_Iz zhs51^##Jp=-qPQZ?LOq&o5)Bt;tPKCZ;8E=9>-cGC4k010{~7EgoJzdYsPdpjAV|N zn}V+{haI4BDD|qUkzG3cN2OQJY5>I5f|Fw6o1WNKnH5Czt{|?g2Bsq0vEgJ6NlRW* zXx~5Y266H)m4cjU=PfbBc7HHYjDReBtAE;~*Ld)rf-@9tC9}FWn8lQkGcJvctIG2G zJi=cpc%DTBa5?f*(SiQW^+f#iaQyVa{rB4Pww1}w3m~{lV|=1wy@8^hfekuFB;=Lh>8&)rNKT?sC&i}COAHtxqpw!dXRq8rRHs;$%beQ6x)@g)=4?Pj%!?MU&TqH<&t6J@fUhI-AIZH+M zWk%lQ1s0EAc+Et?TWW8LT-Wq%xp6XbZ5SII-=o%% z&7ZHIX~?+R6zSh9ju~D8c|hLvTEy-7Esph;@3@iGQ{dzK1@aYDnp4JgmW9=#&CD5R zl-ijQu!E*VaLQL!<|#JSWHTp>RNE2U)y8dG<_n+4Q&cN_E^>aT9B}GiXt@<_5350| zZ#?Nwrc2iZBzk^^#pogR$;|ZyG(v)=aj+xx*(3_jJ>K;^g7e{cEurY}VMpHJYQXpm za#ShTi>Wr3#V^tDYBD3NQf_E3R4JznZN$vskgi6^Viv3@6(>hRRZv-*LL+NN`!9tV z9F0zI7LL(n34iYoAFaY?uU^m8#R;RwXNfwr_~|*|y-@Qu8h$~v;7>SWOV5@QEpfQh7vZ%`<4%35=8BV1+k{sb0b5O- zW&a#(g|K8Epu5aakw0-5xWu%anbF^eFlUeiew2xWQ{(zzH6CI*#SYCi`>r>S{wtJ$ zb%c`!4;dhPpwG{bh-_WF5a$Pkd`zaM>R(9RC<7$XM}s~tQoc8Q9!}wX@u{SxMW~}5eQ7QTNhlM@^sdEY%BAPESeE_vH2#kDI z1WXb1o&3EM7jR$(W(0Y?XfYy}J!2OxYnY(?vzoD0S_zro13&gipK1Ty{LuR@=fkYS zfWRBXf_?spZ`t{;JUJ{si$}Rn`QjZs?-t4$;=SY)Fr6!1dTjaNyY3mzs-2B1aFwm( zK9`y8hH27=&UMZdWMQA(!?$zlt=3Y_c}}IA?WR0mFI81lgd{-MNnPo>9xzt(UDbiB zc3UH{dIiK8EM*rqMYn<6svCtDMUcq8ic~FmqDc3f05CSH%0IT4*;>VMfDl0>xuic~$-lu(fgjp!!NCYy^E=uoZqw=`Pj z-uCL8^qj2tYZ2f|yK_aY#XMQE3+CNTgYv$Sy|p0zJU{6lxVN6}O1pH*prJoB7}-Yy zzJcZ1=mYFL7ygL&?H7vxAi2#60jj;(dR>!DcE&wFua z3vbfpB~qk>pc9^~oHETpLkNgt{)WS$Q@I+J>#$@w3|`J`I&qeYi()FyG0UH}iGbRh zm-}!u@%5r&d-Ug+UyCp)>1i%}$mtQ2=>ywfhARa5M&_AQO0bI8tXESRkb;-LWzLtM zrI^%ASZj&%Dh|>Ol^cW2f1!8npR9P|a^wmNOwU1;LCRCh5MJp~y=BS)3*7RMgs&+?Y6>`kz{+I0#dJ?;=Nv=ubTj`U#?9PGIe&-cXxO9yYroM{&5@CpjyD#`<1nxITtq{H7KoeCf!zF;gHUb>b4ByQxcn+m_)TQ zYJU4T1&Bp>{Hcfkj3jKI)#Nni4477h>hvj%)t`<1ry)AWPi&rzo!qV;NZjA5%mmUC z7Hp+E8|$V&3ZoEjR>yje)?qcBb40JLh>G}rKu#0|I)^}}V7MNss4Sa1tk;YWh+fMm z;_78CfOMNxuR~q%_*zt@7gXDk2+!?artPkwv``*nXM|2<9p{fR*#3yY9S(1Z9|?l(@&;E8n1SeE$OOqn}Lk zUr}4P=AQLsnJr}D=Y?+Yydv_UoN-m8)17qr%)U^O6T_*9(1z*_c*H?o}LNb}X+ zbQt}JR!_8DN&?2ddUiUDPV#o;blourf$RK`B@!Wf=SnuW}+cQqf}R z$BoI4y|G8My`CxCiUCQ@C#jvx*E2OgQV9(w)_XD8e!A_uE*emffhoQO|@;s=oG(O(O)Bag2it*FPkDSvPY)N6IO+aW!h5tUKpXZ%X0Q$ z5;0eQrJwNMr_s5InLc;KSs=dMq0e4O+hkt@k>QJcQXn$?gvz~WGUT_XNN?{v#uND-`s9O(bM_7B026t+1W8jE-&w6}C3!Lo``wSRS?`;t( zKZYMZ<2M+jfPSEo&mWWmur2)?jV@gEf-=m>Z+|dZ3&R%~9R7_@I&j}-I7q+C(C2;o z{tb@d&!cY8mcgE`SLug?eWzB(jaFZrKr+dv9Kl_W2TGEhX1%X-QTcKQ)X(TqTy)Dx z@!gmm@;0w&q26JBo$&C-KuAIO&I%p$t%|fp6@dlGC7LA@9kzo7_cJ#Tgy4e>#z{+~Mx3Dr~1j+!w**Z`%3qq8*YUus90I zf3j&?4WefM-p!YtiKIyTp{ng)Qy8xLKr3!XW>iN8b;)z|nma9G6EsRY@+#jTA~s>A zcNeDpuSJACWMAB^9kzbuJCF{Z=g}T5&90b^zXO1ArghmI>z@%rzmskVS$S-7i}z73 zk{d zNU;|(#4|-J6sIzw0^!;{I6*7GN%gznEu*NXXQoge2QY|q!+`&mKtdqLGP5KyTEzH- zATeNDbQUfvI-ON*H*fvBsueXwA<;!v3O}g&lCPMv;+laDA3s?ydFS&)MdMlj2mkKp ziRp9}2B-rp@xnt98@B>V*l0ESp|MEZ@qJbtFwlk>*NPZ&)4;%tL1a>`x|dE?U^`=0 z;=>Huo;9NB$NKNfhHDBDMRZ?Ll<0bH@(2r^;TMq}an?jpP82I)c^c+rAav0xBGL*H zrcNoawnxwMF9R_Q_*%`yEEtxk86!dM)y`N_X!Oq1r_{WIGm+y~M?ExMmJy^7>`TDW+XdhJl3 zTupaIzqoPLkKbrZx^pIiTFbSmWj~d0jOPmSvmz*J3X5r&gxHN~^r3&wMPO28k%blY zX9NTxJ~10)>A8u%F>C3xg>OW@+njCy^R%rQE;HM;nko+^yubYzv*bTDooG>1@G@S2?Um`f_mpoy(`8-*qf) zqDG>5(8v1tx+l2T6&CxI^E)gXPY_I1eAZN;`@F?0rwtpeOV`H;eV-yGr`-uXMi{a9 zw8WG9^T@mv@OHRLyWIU0RI#%_3;8hVPRH0le%ApcuRmt{Ej*lqP9{IVEx4}Z7 z)#3=A|0AyCQ)U2W=Leat#02H974ToWlQ!uH&Ev?p1d^h27~3*3jha-GQiH1XuyFQ@ zICJ5}rJK**S6WWAt~_y6qhk?}w$%!M1inVi1f?fW9wBIlOH`NuD={uaRud!E;jLPe zfR*Yge?APTX&~Re<_jL{GUmvM@o?XQB z06wlplGWIf%{W*?@;{Ke{e_#c4~fo2R(&yucg)p8fMfeoPv!KLww!hv_!=GCmg=T+ z@KP^j(fWyIe#hLn@!;8lSI#Kppv?)2U*jjfca zFd3_uiLIpisYZu}F1H1>6_F#CmB@%g7ws%kt0AW+B1Nb|3r1t-PT4FRs&ack*4%hN zHdHfNlSoWbxr6*ep(aty>FZDd`rGj-T2s4HOjUXh`H!=2@lw-SGzTI8@VvaD7QNR> zf#<{SDul)d&DJ8+Hdah4QUdcHTJHO|3@?fGV;#I z@B4-kt`H_qA0hcV7#&#))?+Lr+XXnh@sJ#^ree^&N(%r|KINz7X|xB^N77xL5>Jnu`8yVS z1TCr~_6$z=uQ#cr0l+c~67BNSpm;{PQ?89b&SaxzRbme`i*-<+tHpcN$Cr|eispgH zf8XS-NEjHQn|p4G79OsYf^Q2zQ~4q#~9Q*r5-Yv-8AHiZ&WPf-q0jcXW1zA#iD&DRi;AArCd-y>&!d)Y(JsEmB^4zx zsBX=vBx@XTT-C9^0Tzwqd$8#Us|B5RW9zQ*=TIJQg^0V%f3an)qYEoVR!PzHVnW1C zw$X*DQ0M>ibD;k7b66$)^K;;r5!yTMMr)+%lR5A6%5dE-1wn)3=*z!Y~WewuR#*IWJ;!H;VTWOg`jJ`#Lh{WP}8BzZFDa2AWF=ZLpv#b!X4i zch(-#>#)eHVG9M%FV%6JTB%_um3?~DioR_%%rk;vSUg!b1-N9}%J1w1g9TgV{?bA^ z*;ZTvEfFRV@=pXdfO?vaq#-;$!f?MLC%cAl{$rnH#N^C?BICP%I{)snr^z$=^!>o?37XZ5 z=u$i`z~ZRbF#eAqqb`De&k5+?pFsnHj60aTfgd?r4WU}8GGj@xy?WZNN=g4<ZmjuUvKSIMh|0%KcBYCq_`t6cRPr1FI_$o#qI+ z9Ext~wF;)AXYp@#jbAFh?XiB|MysBfiwjvBPI9^1A|$UMt}T)1K6mQH{`;gMzbUyH zDYYobV!Sc-15xMU?+^J<9QcI?nIE7_>a{+khm98(z6})DE3K6NsGqSORs8_X<*|PK zODbF<^sU)+K_u;!G)VR+6x81m2z z7oyi(YC0ud*W^l}H`6BZ9 zDeqSsudGUdA2ZD%NqQB(_K5f6-;3{~&nJrH2($?)Tr8nbzQZlf)B!k`MzN-aprk(= zv*2_;vsi-DL-Ln^Msz@o<-hdQKRw1lkjCN1VP$(n^^T`MHx)0F`7UwLw_rJggl_eJ8$9DfWhJ+5*4(^3e5Or zjx8y^!ZR8B#wrBtWu>z6s(c`znhOch)=dQUsoh40jyxb2L2ixJa`qquyleU9)9T!Ol=6{L|tm-elR#KuJ^4;tINivvhA;$hm zGMbFsUKs0jC%qJt&Dn=lwg0>@YKP9TBZXUH`|d2kOt9`2qh^|uQ%fSGAFrV|D`sO@ zE#EF?koz%nFqB%u^AnK{VR^IgH__c5qc&&^-%5%8xowfjmvptLVB})6fr3naIt{8f zqZ6CEJPxW?qIwDN+5K&T`K1p0l31Ex&oudJj|I?UQ2o=T*i7`Gz{(oYOg%q#a>>TVf zz>F~gIowOZRemd>zizJf6J{6U_`0f)@hqOAM7;1J2C!qC%aSE2L6!=Ft#7FBzX2Od zR_RgnCh%YP_Q$?{uNG^UJ{~4(l*Ki-w$a>ge5q|fP<>r~fI7rKukucSYIAVc$3Lw4 z&snRP$j$F?wpq1dvS1U(2*gEQSGu7~CvTMP>Zb7aJD&?2ReoHVfed zlHm;EgK!FNX!MI4Q%L5~p|483cs-V#)djwTgi&CSxTS+rUG7fop4R0@R{QPS%G}Gy z%Tv!{XI27pF|3lRDdGBtfSmT2wKheps7yYdMxH#xmn48B0D6H-K=xOTR ziXq^NXJuVrtH_nKn_Re? zcu~__BR}6!VR#1FQ3L|9ZtU?Kqnm%-d*nj)x12&blI4ibt$xWQG@gpQVw>=4mY0XM zTCs2>H5l*!H(FFMrA9O+u&B|S`I^Du4<1D2 z48Joy5&HeV=kPy__0mf|Aq*IYTDr=M?KZ-zIHTNSE~mL`~&p&^%GK2kx0jR|>?AEJgai6cA2E`y zB&23b9j`_DaReJP#U^R=jN;isn3`I~q3Ple+JU$MsM_XBeWSDM`kac1?G@x}!%Di~ z%mHgL6}DH!IVJiGNUoT{(0;cp*N=0}Zl$gNpL;^1orH;Gpi?NaO+H+-F`a=<>Enlb z1;uYkPZVNwm!K7me#uuH7IguUsgf*-92(_<81JA8z&|m!CjM!&-xJoRPH&Pb!^@=* zMvW2Tc~@$ZD#e^BrM8c8ayWsTl(j1IW|sXLwS+0D=m7g95fN??^XCkpe)fvahNb{_ z^NVY`5y7@v6$sJi%jw{o&|QRn!bpN5xWW8?@(BuxpuVaIGx+x6?Mg~?UD~4l6?HD+ ze8Vhbr|!R>rHJysA@9>b%osV{P#pPT7iS-h8Vgla0FuE1*b~ayArJ8IHOd|Ha@kN^ zXmWl6d)AtO{z2M87BVt*cQz^T7xTVHiz%s^p7Yt$GZP>F(m?^>^p42fm5tWW6<#E7 zPO+YkFuAN5I`4e+y?O$$mxgGuN&aV{;8byjH;DoQr1OVehA284xz=YF+qlL>>io}m z(5oyHQnkn5ka%6w2cz9BXS$}qg{^!^Bu{u|jlW8s1Vc0>^6%)bgln~4jDGQ(94M6V)nLJCe7_F5HLnxLk?f^@fWiQ)GPw2ihy! ze*tjX5e-{YQP+N>d_#ODbEpTEkN zryB6(iMYwOTv|Cn;O`xR>yp%^a$58WhiXjxy)XU$6ch(pmBu$keLfExt2zhSXJKs zWjS~~W;IyPa9thv(=^t6g}l1?>gNngZ1#NcJm>UDJ3=yPY4H?Kum}N?wL7MhJhI7X)zj8XOYw<|9`+M_0{68`E}c!BI_=jn z%EVY$yzO;Y%h}rHxdWuW_7fINrazAmOWB2d;St)MVYN93?zlwJ9}#f7{?2Q#>EcfS zIvUEqc4wBIQSQ-^pt7Kv2L6Jhkz37QM~%1N%ay9fRlK$=^6e&U(QSG^X;`R~^|ew6 zFilgs_IGn1{`8M>JGl|7VAu&49n2a`l)?0a-!8E3+BS_xCoXrR)>q_Em_MwiaJqHu z(&kZB4+$3_^p!x?QEp)>f6l8t#!e;O zl5YaK=j#M5;Ff??Ay14ge?2x`S4jk=f;&!~s7J%7gG32G9ee3)eDvD^YdbV(#VmJ; z+Hhdcld(9j{HSS0HOj{0sn)JzyC<2GN?{cnuqkw8sd>P1)P(Bi4)RiXbwomUONblr z2hFdsz6$qz%dReVM4oik#C>O9KqbENZYHfW0(wsVc(JPM_&)^6V^ZVRs90EcdPQ!u zR8DC5k+H5_3K4;Y;ozO*)${-9YKQ<`&CZfAEIMGP$in6;ObNY3`BvyXT2!?#RSFCL zPo@wY@)DQtt(+h)&&DcSvMoXGD_Q%k_(JlEbYRJxZq?-_S-qe4NDa#S(wjRE6y9q55`& z!SR-qx*%Xg;Hu+IzQSbyV>S@|)dbcfq4(o2?7u~VZ|g)@(FvKfM+oZDV^WnAS!Kq> zA2q(f`j$zAahk}*VU|cO^AR)SR9uPz^f4P58y3HAyKi<&;#I4muu+<%n)t|Abvdh) zWNmgR{@0KEf%2c=-_&|jLmA0V)*`wj4o2mMo#qwSjwdsgN#=(_{x{jumHJt}u%hky zI@Rb1G!F98(_kpOGPAsugsr2S(_fek2C?QXFNgFe;$dv_5jAWY6A-=M8PaY|g_+_i z6~4S16dP6xDT{NH8jFr&ruX>$)e`Sp&w=yCMgMBm321kh)a|yqR>c>M2hhtt^R5ya z&Mf-APbyyPv6XOkwnn67@%+n#@!H7%laVBemnRVKt!9R~wycF#&p!rsK7 zHc92M`Z8`LHZF}OSKz=0CLr){lFZ9Ibeq3AMvbdu^4FOdeR`@>7XkB;tGT~8P0d$A zaStv;b#LTW!S||NLN8_S-GmZ1(94#A@C{*6zxwXD{0R^>u&mu^`B1^2pXa3#1vU^YO zB>^ART>|~0VIlH2&X!b(#WcU+V$@24tFmV@@`$jqRst4u2m@+bDia8zv1k>c6U(%K ziTR~jCL~E3Ok?14Rgg##waA%>N?4@!Ge*UaVrNY&Ki8Wt);*y=h_n9Jp&novc$WWX z87TQ@8AxCb4rkpJ|5qf{MR5kK!Mprno24?7_T4j$W%jO9ev5Igd&BOrhBWT+lJji1 z*CyO~aoBZh`!OB8#fi6YE)kxg%rWWmzp~<&^t(3hn))(Jn=x0J9K6w2K6}yVC0D_( zo|6f0$RP@O^1R-fq~!qH|EkPbQGg==S|~D+3W6xw^0e&h3O(F*PYiD!BZ9M_jU)UY zv!UOHRMUBtlLu7OyU8tu;rPNQg8B-LTc?!H!~Jc;TG&hg$-t=>e8}@Jue6AsnCPQ!Uyn7 zv9QaI?_&>#Y|`aM%xlN!ok|!$@2mC;iV8-80D9l05}@~6MI8wI>qDE1$@-Vk+`K_K zwXx)al$hC~N-KCuyp7=Q+G$^WMAWh;#C#ruB+BL4HUNuj5mL$Qpc2Gt4xUnL_umJH zzG_STh)*^vf=zMNc~A|v8qqD=N?B^WP7)w@JdicFDhl-P6cJINuFIid%9)v z=&cgd2^3XIj2_2ipd9{jR|%3)`N8U00hN0w-_DQTbN?WOR$rycB%GD>H`MN33M1twBbT;N6H)e3OPDQnlQeA@HmjNY@!s?;F#S&#*qY*hT((k9`W%=(aPDXlpj-ZZ}O4 zP2ZV2)m?SS#819=U4voO-8+v-9yORbd3ZHOhtvdBnj!KdwO$K*wB}*t-dgQIwC$}= z34(tw%hUcL+W7y2X!}Zr&QX1;oI-B!n5!SS1}W`pxn zb@XnuxE@*FCD*)i;LAP`N)9vWnX|H z6sIlP9{lC+S}|^w@c&@#^bA)jlkT4xt{+16O=Ig>7cO&B1O;Plsci?Yy63v9 z-e^o=&tUh6H29-Rf6m`mhg=QW>c4N3PZ>fp$P99tpJ&onxu3ScrCOSTiHYPOA6Ahd zi6tP30sK2=A=%AiHd!Mv;L#C`D-g2BeD?+08H`IA25gvJ^gQn8GJA~JXM&>qZRSDM% zX1z%|>DraC8zlZ>4YHfrL364!1gSohFC!H~Vh`rQPM5?%!A{>QmKa(l@^pk;qHB-E zghce54|KWHzufU948?CKAm|jB)$s|_omZNf9l0%el+we_jE4Q*DP2NunrqX#J|kXv z!q?v9uK@$q`)W=HabmMOAV=V|$VRl2ySG#$F1fW_wA!$_wN&2}QX@YoeyNTAa`mgo zf&jrk^px6x?=Dpcv9#gGRu0*cu`ST14bA%dRY~YXZz-Fn65&47IurJj95cs>zzmT~ z?+{YI?`&R%`>4sf;O%Wx;TmLpP+E7&NWokH+q#h zc1)t2#AWtt?4PMNuDcjFL)MuwV~&2k<2w%MXn?ht1ZlB*{~M>qLYN7Ny}snqg#_3J zi}aA&hb(a8O7PI~Fq*-ksW@hl2)nQaMdMjqQ@}b#Wp32(reb=q+tlsrO~oA_U}NF8 zWOKC!rjPv0GN{SHr@-XDpF4rW zlNK)RdOo`J;y~8V6TtaEOC+l0q=`biUcU3ZMjAyowJh^Vg~qcjE00t~Co19}qh0F3 zBq_d)*IEyYc|nJnh(caNspvKx74cxJ)XndW?8G&$dJZS31rb@WsczNq*va zV8W(YP||-42|9j~DSM*p(er+QYR2sZdMDC(eUIQ=pRvGjd}nrJwuSWqX>(w6r z^IgX6(0ApVuZuqQr&{&Ud)DkFK&cldLoU%T+gq%)KO1w3^b zuq?Q@A>d|IUJ*H7l0CmZ991KWfR9c;h~CaYF^0|i&|gIe7*A^ zCQn@6fp<1Ck{xz2(@Ncje_fw|*RlVMuEAI1n@1R}=u6e0#+?GGlAr67DYK6)gEQt5)|ul{^$ zmf3EiZMND&S(B56{_O9iPgupR;*zK}Ku>T3IMQ>1YhlR->|rH)U-RE63!T8?)(DL_ zJxk=&k~jDN4BXRka`O8Hk(|K}$`R|yhp0ThFI?Eg!gqWrpzTi-@6R^BU6^2`W5#Kd zLQjRE!-9KIpjK+7 zD9=sx@*ktQbFuT??f)Z-?-(iY)+E~FIA77%Y1Z2v4)Dfa5Cxwp9%0ouqYyxX)`d|+ zPj6ZMkFcX|f0Ie;&t%rx07@8H_<2Q|-~bczNm~S&%@VocJu&S}blLG;)~rbee|CVX z8drz%O6zaG%3|W<1Ls?#%pYTZy}KOZ=5O)JP(;7I7TL)*ee2}}E^>6$ODr&>gfS+B2qM9HaD_GhN^iOSwJoa*HVE^U!FyGo1|x`rFZwAQV1 ztCeFJ-tGFSD4tbICwvnHtixkV%sEYHkbZH4xLQGYRv-$tP5Hy-GnrdUrRsTSGnik% zsDSMB6!15oB?R6r1hdLG9AIgybMg~Gi;${Z_E4c@l%)*kgbi}NlKi90X|&Kc5xjZ? zu?6zVmj7GNi8x-3y^T{bwdAx=qZOnZm#w}bz60zAjNZOoj}5Lyg5S7G5ZeW-{Gp&D zoDDOc7RzdhCo^~)_=F`)hcM+vfUvFTOu%n@Ga%ph=7O^Hb6YO%E85vxi|r9z^PR8D zQBJ*U<=-O}k5x;W?c;hA^w~|A@3JZZ-Y?(|o`DFM&2xV2wkzvB5?gbLTPIwh;Q6K2MH5zZRp zgOGeXD?~3L>I!%Y6LaTdW{sJ0j!ArJf4~UzR1oR-1VxkY`&zZUm1=+XHwhoj0UFgf zLjDY4k=B5h5&dvodY8JiveZn)g!#tanh3Dk#lwwUe0F6;q8?IYK1v^Q=N5A1{jEL@ zLRxz~7UJvi@T~ZKAGaP7MLbV)o?E0Q;-6%~=4)qF6*_f8@^ygqt2he%gp|m5xs7xl z4NKUh(qN%6YS^|u0#w&u^(wFmB)0muz850tHC9}4BWU`3Z7Z4?nJUQN?R#uZv&zYs!QKuKiHk5qd)Ai6<2`Ls)FX%>$pZy_!k98)m0|WC=$9{`Fx+OY@&;b z8SLEor5g|8>?8%7$Ukx+8txI-rUp-L{d7vgycdpo=)zfOSjvsAhU3tj32I&aO(wu+ z2@dlgAxj5^0YR8I^?NT`tU))QpzSuwKEU91n~@+g1b1z*!I*@@m8N4Bt|*Bwi53X8 zbr!p(CYV#CC8Lrs-qlE;7W^sMGA2}*<_Ztx4SMH6bMh2&t3i-PnuPia?(?(3MzvU7 zk_7|-5@zKhEcm@F*`B#ZN#=s@_+*KhHjg%ZOo;2OXfEYT)d}VK%WA^tr9TzN|MEcu zo-49p(=HDhBWYemmaG>6MwP9903uW+#u&IcH`N4~QeZJ>7HQZG5`3QIQ3$PY2pVTp z&T;hlrcRCR`?jnI;&>!9vHc*%meq`eKWpO9EJxCEuD7L#0T2Ik0rOFINxKbsl} z2o5_fpBH_5u!2Zp&Uqnk53e14tVq2Ip66P+c26U+>li8fR(p>b^|+ncln}mCq6A6f z0{fwm6kv(CvuJ&)M$n*;5_kZ*U9pv!waf%Ryr6+p1dgyYv$rs}z>#^g6+Q4EO?h)k ze}-p7x<$IMakZz(yScsrYt&2x!(-m*GE?TYEj##NDQ?p`BR!Kwx}-6)px3$lp@ZG> zvQn_IN|px%Edfc_6;il_Oi9AJxt0cgp_=`@C)c$K0qpzC`-dl^G}6Gblv%p4k}SyE z#AHpMA|m|2^lC{LM$r9;1DO}F~7{aR=ROtjh?Y3 zdMCsQ{H*4+if=X#w*R6Nsn%RWZl^*o;J@nSZykVNT%!Lw!+Jo)sM%yVzAg_J$V%7lqSCj59X+2fCnOOt$UWHi4xA#y$2_ zOX(55rqVgM(?_Vh>drThEaG7Ynk&#!>NM8@w<3&CF;VH)Xh=IUNgk z6ORa+&K^t2KYC3j)AcrKW-xVb)-Qn?1yLmpb;V&Wk`jJ%{_l+bdAe|ZO=C->95t=s zUA$vQ20-%3=QB+c|JDO{%D2h0e;zo0SBJ>ofbbkT(E^VD98j*^x&37qa|34&lepS? zjr=+yD(vHphzkHhzZikD*f`>$FeepW2_U+&HATkCnp4GT2*)p2L6`ysA`sqS!?8v& zK%{5br99=Wo3EdfyO>W&Cto>yB3~dLILub4F3rL69d4j)SLlxYa;NSx>=JgEICLNG z*nOZ5w^t%(NT$gerCR*mnmo0a-R9h-HlV$9a1D4VptO4U(coKBpwlPJm9Zz=6hkYh zZ5vC)eE)RU(q@uHssRj5?(p1`7A8>Sm8+tV>k|B&Ky@fJ;4ijsJ^SU-YnVNLsTb|v zdjY8&7jfhxD_Ji=Q`5n)791C-;%swHfkiLgncw^6YsG_NdjG^??o1|8=zC^ul9c~4 zs!yeU`6oSyH|@bn7^3+b)Z({<)cfH1kD*KdRsoBr>VzN~HJQ2=*;kg4g8r+)(m)K# zVE-7|$sLJXdqq4MBV0ryn}T=g9{8ydfzYJxW(3!dSv z=z0BZSCqv;b4SQcCv`z_SR=U;DBUJ-kRI6Cua3#b%KmNb8>0vwc)S+iAWL(w#d0-J z%>?(qeVufVL2g^)-FV1aw>*^#KXQVQ^%0;bdp^5h_W;8l!g5yrTIIw9<&-UZdJ6aJ z6h!~fwPS?wBQoYKyhre3e&bfYyde!EPUlEI;(PR@VI{KvJl`2DHhpDnI#9&bKrNZlVf6a5=7|FP8>mI68?S7>soFiqU1g8i zV8&)`s97fkTkk_Ci?mn`sQ^B_!Ny$0_WO2L#enX%ZpXP|RqD3jkkucd^v4x~fc2EYFs?+Fnf<3Pr;~26|!2Czwg6~L}O9^9j!t_{yg}^JQ z#5}Kx)4>ZUUGPErpD=`1^F~5@Z&*|zSap)ER0aiaQV8tm+i5`BHF)_b#t$}=4DWbL z%y>;ur0b=av2zQpzEAS86k*pMKt7*{)|50jbFD!@u~ociwLH*MFBSvgWyTUxE~i- zb(d>;O)1cz33CyD`R}z|4saWD6u4a#=wTONg)dVs-G$vL$|tax+M9?wtCpFGl+Tt8yv0b!-2p)DTDrAu#vE^DI3F=Aa~zm(nc&xKa@5K z7$;bx5o&)ah4T%$iPSHq9sR+mU+40nN{mFdR~gzCU3n`I1m$dA<;l|(#LLK?sNj=S z+*<5#C8du^U1x}1k2Rx86SYC?K?mBCc*d=}nR&HC&*)ArtH7kQxz3u>Vb3WQnWL*B zPUYEjI5bhNe-lOQpM^#^r#5z=YNE9_jGHi;T-Ja=(2~w!QqP&ft(ljHL6|rx!hyGi zGy0bh4XrX`(9aLZi@djNlPMHjjeUP?G_3<)A)cdb&N2D$A6nqQF7z+Jz-h_3WQBye zv}~s~I{XtDxCrq;{=soAWyGxDhv%Y5pepUvyDoeYWN<_1{1?iQA-c<(HM zTUIsX60M$nO52NX+%J%iWNh!<=u+W73QS8#p2?^Ih?Y6=f7%<)SE`bkp>>bADPI~4 z9l1WcI}N2@nDm|Da$r29Q}Vrnw`LeNP|HZ}5<>cANZpEDx*lcx3U z^CG90dQ1Mo_l4R=&#^@f$8QSN=G+Y`AI2N2-3#Ic3-qA|eGE&Mi{_`XHh;`D;4Smq zx1f%9XHR@M7M=)?(5aUbN^aJid6@Jf)duZ*h`{8T zw0jujfqo-I<6WiD-Z}QmY~h^TDCB|8dp9)IQk8ge8~#`aF-JSu^jcHBRYFctmpX9p zQnI_Q7^98IGcVIx{Eov*1O?(ZM@`M+nP1x2@~ELa_n7oJZf*K9eYWGloC-2Fy)@v0 zAoDww_dioTy6jk8YDnMWCPBebMtzP|(wgv1bC6)&3Yhm}-KwnSF#dq}Val(iD9PnI zZrOZ{`^A=;>zRdQYq*UCZj(BPu0lTWt2ogo4%pah!cTkcDsC`e1H1?TyXdcwYeIVN zeC`l?9b{umque{DB~*E;=Q9iQV>TFw1%+g2CcyvJl)KNq2~1-r>JWPnEeJ|6Dgy@n0$879mA$klXeIE;g!GdL29?PnIs(<9hHV8|>T+VQVkHTbEL8>p~c z8;CftvwrrZYb?*&Bzn?kP=+t7XRoY z-_lm1fAkUlR-@SuQ#~Ye+Xy}eIF9VDQIC6?5y7_}s%S+1H=IFGF|nf&_BW0liW1^L zZtiYKOa4TLd;O|}#<|-Ydk)Mh4OM^YiiKv!WYHyh1vJS&-aA!I5joSEp?d+R|o=U{9CJd|W*D z*Weh$;4ipN1rPSCw?GLlVmt{*!E6bP6Z%uKnzWK`8&pIOrTtHUT@CO?aW6&zhl1!y z$X)YHx<2PU_HtVb1xW(L6exxpE^uA@^uDKAIVj})Gm`CIvoV0b5#$Qil=^y#UGug} ztwUjR;*P?tgN=70!Zo_0W%{-qd1$Jb56)QJ%@{a)&xAUL<@8Ob>JuMQb88y8l|cBI z8+!(WmHsj7N?VUzNGL~gsF${tmUxmCSDFN#;+T1V!$_W5NooXmO~z=-Gu-SEM%S#N za`&t*3~!X5FGZ9yCWoeIan3iY_#=*_V|?KvB-Tz1G}N>q5d~G+8)Olo6CUlqWeTEy z?($O&-m0Doo>$C7J;Mt&p>;cPX7^!bWk9o_^N>zoy1MtSaFe2Z6!5EZ@*|a~72jhS zrM+%7vsHJ9KegA=57fgnUWgXG+fy%Qs-EdC3t^T#ajRm2rl8K$#6p$jQ~bVsj>2#~ zeAh&OeM~&k4n-T&`$xKR>cG}bA$SfC-c^_{6=xtg#g+X{0Tmge33D*m!?aBne+GIT zC?B6Was;PJpA2EAk2rpj{`i*u#6PxdEA5i$XhS5SS!nV+;<;zU7>6#qv|7HH_PM&la5 zajJauy{0sw{YfjzR*Buj?W?2q`4P7$nXXl*26eJxm0jZrOOIkLiwk@bv78ZUyDgxWl zQ?PCMf|?p!5pykxE-6|yf!T9~DV zo~s`WdFX;;Am(maj>!<(^}t$T+fv%Fxb-(nw_nx?Xd4>YEnBH|*}Db~Gr99(C2R79Z1gg5#7Pa_FA|+=lfRPu897U{3DbsR zMww2*9rHcB;T*3Yc8pvi010lecU4XUYeZapMdo8iCO`>-C4sx42_(4(+X~Ht_M?a2 zCYi5%XhG=FD{*W#l{$%#-KT}VUSYBhgmK)8f_U-(^kC@KGmk?)L6HTb9OvPRsZSRZ z);->Rd&ispaW8um`X#LG)W0EV2m}1-)Zba;G1_A4gdy4IEEzLretE}C1+sh#c4Izm*8vpKd3?eB!xwmG zV`T2-1hUCRE@}Yx0;5gYa1~Kr@4NPoGx}eX%?!BZ@m$M*dxR?QbrWCuihxpL4<6-I zp*wxjL*9)2&EC59@VbcGCau?~5vNok)#4p-K0a_HWbUTN%)(xwg>dNWXO4v#!M$+F zKyVa}=^1QZylnn}5e|+r_!#8yhjy+elx_lDiJb=)R{teaHHJ=Wrc$% zZ(-O|qFa5m&Vsc*2Q9x6nBHAlmMq8v%6sD>=U4-_2OgOtuQuin__7_Xw|`mlhziX} zgZ7zF!oAUp^QDKD0(>XYqSyki$N9xysCXxLsK4BnZ;{!6%qR?W^ecX$sdx04y&C>B zJ0EM=7phP3n9(I$OZJ#$+Dt&EzH*BT`1_HIMasaFk`+SiYBqKh}*Y?N6WwDdizmT?(*x(-=NFzQVAskmyT*kfY9jBdO6 ze#@LROX#>&TBYCi;-?H+ z#kQDy3EFqhYQX8O%~|S9%3sOFbk(AO^Z=8?g=*DGGlV%k<9vQlv7Nb`FY*+LzV@n;^FCcFuuhLG_To6$hNPhgMh)#6c$6COs zdkh?(;D{rqY_e7}F909;qp3PNGOnf4lo+Q0$}{uGxkiPO2dR$!j-v|-iHinFsEKp3 zh7zfj>U|NKZdv02QyS-Vsa+rK7$xnDZq&wM9Pu0THMdf2^=&XB_R zt>aYqd3$-gMNE+ZHwF-7Pqc(XxEdIC~i`6t(^^Pi;L5mhe8yVE@SB@@S*OXTCy zIMk$4pIA^l#>`#kJ_L^|C_r(V8Tb0glGE~{e7i6HdJ9PXMbIq7g#9U|BYm;UWeHC zJ3l^`#^P!k8?cah)UR?GQfSA-rvV!+3lddb0gmjdp8<9|1*y5f#sTDPstx{^!D?6< zflWv)uhLjoS+xRwqZ#VrY9l!K@xmOtNwt&?@oT$K;$@N-3S&;tuQ0V!eU(D%WrQU? z77s;(u?qMIjIA?#QVkPSq&L&bMC-0G>q{;Um~MV){@BAuN&LJ!Cww?1(<^cKjmEJZ z&U5_J*%%N96JOEPQ3i9HH~iU8n**lFJzWBPXvQ&t9(1-4OjXp(9q2%#lS}Vq z{nEd1uZY`me=K2jiU3l|6H33KB){b)`hup~`-Sw%hNx{&$v7t$HS&(H?A=f`-R7Oc z4ZQH}tuZ#`Db14JiP}iaD6z~!{5;p0yl zFe{?3{UqwBJXQLBLqWpRKITs$4qpRZ>KGc5?C6IJZBO^AJh{OBJ9uMk`#aOW$!>-{^_N8kHKvQ-gM(JLa*qFOO_=L5 z!TIsb%(Rj|NKf{&6!TH^_E6*I2=#$%ACc2~pDDfT`}G+Vpy~-8pKqT) zxP$57LH2lK@Aj|-O@zZ&Cn!!Oa3F{Sp6U~;e0fci>Z3i+D~gH8L2P=DkJtrT^T*u? z#GKe4nNc26A?z+i1=j8!bJC!9+qoqFUd8W^D>AYd&;>4IZXIjvfTRgt=5FafRRt1! zAxFEtth4#__9l&f_X-m@qme0@VSl*>P%Q*QyC9JD^uQ-tiinA07Yok6ZvQ~}3gKEQd=?#v6rhKzOo$^+z}<*1go+~}+YC*=zxJ=Sj@=&5sWU%_6;JYO6?Qc5 z$sW3!T*nTwNip#vD!*(?(4bb(43muAakNVU1YPmkY=*U3f#k6<$Nr|Ntvp8ayrZPo zsyH~Fth=Y|1Y<{77%4vn*GB<%N^ex{DL~-EfZ0>_6FwGc-sti`Lvp6Rfw7WZilGbq zw~12Hp``s`vA-n-63G$&#WJyfv5bVZTi{>CluNcY&|P5my{w~LQopUmYB3T!p2xT& zRr>~mvrhRI54TJHr<`FgE@-htvd9?+UWm~AP5C^L`>309=RP!bNXb}vK=eB0a+JrY z5giRuw8C);K@NlJONsX=OgGC}0|~cG!~I`8>b=-(Pz6Z%t<;5)jOn7zf2<+q=?I_CQH{sxya_)5mehIXc*Jno$v>Cc8)f-)fq5B z9K3L>}6%5wc{#7Vsl{BJ1LS ztWjesyuAc9tEQtD{|GW`xLEVUUYOk=CVOttrq7Xffb z>OAz?68l)-mKOVXac8WB)AyQ9;bnv|{%RY!2a==ERzrR$B-vRkSrT@}w^e`%NS%5A z(fnrnauJSo%I*+&P@_egEC>pNk_8aspaG}AfSWajtC>2gHIc#@Rg#nAb|FM#y}9eJ z`c*hx++ValeeGrvABs@yS@>Aw9a*m_dZ@p-DvUq&85g!rl~zlsPzr~vd}sB3=rs$; zG~S*nY4T=JaERJ)PU~!vR%(0_q?FmRgX1Dqd(tN#9{!uOJN6>BsagC2Y1-}Cz}6*& zDE&H9;%KHYt;lC}ZO~hBj>(tYYL(@?AY+uI@ZMaPY_T;9rv4v&ZJ`3@VnYf2ICFbf zr1r?kAarc2MQt-Y1ryTxIkWg5zSLQ?4O;Uq#9_-Y?{)2>wC}!zS-sAP!_+3xKi!S* zFYDK@H1teLMxKKiNTPJv;hQ_wEjoflT{w`|HF=y=ZWenv=CT&vGC1~C#BEl`=(G$( zH<(JH)!m1=+>$92A8}*mFM7FZ3hvwNespwh$_ebCmsQEIDQc0&&l||JI1ws5%IvuHoS5PwRI>PY~KC{NVlClyJQiHw1h*E;7z0rQwk|NBPnY7Bj z4cgvfkcm7%onpl{{Rbnm&NUvln$dv(O_*^$ZS3NIq!_75VeLH7zzwwjBFj)kBpQ;l z=Ez`Ih_mHMiB%+8O*^(J9g3DE1*a$&)8&cGmG|b%{MQd36?AeWc?JKYoCt2RWMg%mNJ?J3~W81_cO@+mdaH(Nw6OMY*YQqp?2r@{)Y`p97^F+ud!zA$S zFGS>ii=&cuN3epy_~5%!+?5aw*d&E(V9r(AJ7N0Ls(~J?5N-VW;n6rY+|b@P_)BX4 zHd7{0GGq~iMdO@MUIj1}uHow)w`8UOxFGWYg8JxDkH^}7^WO~1QrJPM8aZF*>3OO!1UJzc@>6qmW zZuWTVo%JLAx-VRBFOqo=Z=s@^siMB3Jp`nQFHBbnM%FfUMD*C27AZ?q_4DZxm3nEx zSeA{dN2Kl&c$nSJz~02YT5SWnP74RAGZo1N0)?UA-VDxv5?7->VQB&% z((^KJd-6mtUil?X2whkY+X7&M0!t76BJ_At%vynbX2?(16 zZE*P`4oO@f9&4Vb6NvY%K4dh^(`qA5mh;gggn-{jSNI)abYyw%-xKkbvtYRb7~shL z6kXIf5p|7v-n?gCL=Q)m7EFqnCH!-B2PaKoi}r$6+kVg(k)lfFe{#OxBdu$j3^ocD zb9S>w_fV!4rm6RN`;U8$+9n1rk>N3uEC;_%g=GZwq5FG2BlOL*8n+%yga5d@0#mVj^p~hI;nHLG{ z>5z1ht!un-@E~xF#!EqF;Kos%O6PPHXU&#)dI^_ydLR9vkld+0L@mhU^x;iW-Yb3O z7UsRV&ms&=EMV@7LoilMC|?@fqY{<=%!^#O4Tv9ia#CjO;1~wQdz>l)u8Ep9+*U! z<00|I2$Y-PUSTQ%(NVBH)Vprf6 zB7TONj2$7W^>j4v>w83|n5NG;^T2W9R`Hx&41hdr%o_-_WJ}4NMlKhz^~(Zh25$MQ6$+X` z3J2s)1cD|u*gMjMGaxr)f@^m39s)_cYea>rkdZ(Qo>^5cpoqRn2fhpDtpNMB5#3+r z3R5Zq3B2o}^}v9E&18aWsw8N;e|(~Eer!qLd;_}Ni_mXCN61p;*Dm_GdVPW6)b$3UPF2uIf8`p1ZL$$GqP+-$Pj*?-5hCZF9*=uBT)J}YOX_lqPG zcg`<~{4pqe-W**xP65@{>Iji>G^%{#cp)Xm+!Cq>ayJI$tMrZ`2&RKBnh)LnR(l=% z*>-80rB**ZX-eA*wb3&@LmtROw~=i`mTI(E0Oo+S=g9G9Vdg^DkbDCp=C^~$x@rGo z9+mfn3l`XDKHkcG7iv_wJo3oaY#w=6xBM-Wm;41pJSreywb8*xttXc;gTb%mdtZe7 z4ruuyUG;U84K#4gzn5UaLrCqZ~KlKo?)fcT8h24$!W3g@?O4(&NqMRQ>U{#nnefkrx8%C`M8Bulrm>>qD3O?ZvGY zuMl_9O4^;w@CQY|K>44D(%;MzWmV_+`z)ti>KIm#w*bxW16?HSA1(6iBDMj4{w^D# zxChE5Hfz5|OZwiR-3ATZfG-Hcp9KcM$n?1Q4K;-5B;q$ACj}j$-K{~gow9#G9UCb@ z=YfiS$nrm^p#M;uCtZAxi!r8WEzp|ilwzGiF$>vbtsA(aR-QR(qO$G912ZiaWd!4L z%}<8TZ?BfZPI;nMO3vv?d`iH-v1OxrHAv+*_5~P(MWQ&jPWX?A$CwH3VY>WPO_zcNEe({>UkME5K zCrR~O_L;{LbJy&^S`bT%B#TM&h+GLSSXZlVslQz}UuIq?t?J%6$;STG`_YTqjn1u0 z^NiiE%B}sjveKrg#FtJ(j_jh5t)iLxCVpl&_t>CoiWxi`L@!D`A+vkziq_(~roi_s zm=3b99g{}Cg;5S&opPrA4jKBM>}c=he&LIdv}57;n$#^}-nlKIz) zq#`NSf=r*R?#ZNVEa=*= z?pTtXdP!owomV`1Sw?HycG2%05)SlCBbG8fvOV?QO-Bdk!~NqydZsa<_}h>ZnX1)a zUX4*830c}cwQq61ndfYwu@cY2^(cv6jmc9dDatRBav5UMsvk*At3y3_9XU1UiOdJ& zRNc4#?+)DaPkHIqSUzdmJ`(!f6GykX=ewymtDfvm21;M6tFn@4k( z_-)2eY<3cunPARJwKhnrCNQWInT+J$o5dJ8)2 zOjF?+EUkAS-M42*wu;ktNR8r}cAU%N?eixPpWv~}!E5L(Kwsfr@QRL_pkYw7E7kvdf@EfxWC{Z*Mu06M6wPl6+JgH1 zKN=>BgN%NlbXI_)Wp;*NF7F^AvA~f}d|yAI#T#~jpK)QCYyYYve`kv(HYoSU!n6Kz z)k!@yD90zLf=pyeE$OrfAx?f)`z6K^wC`Y$wF*$Yzws$~dRwB!EU&q@(*2?4ycljT z91LTV=;({hd8HZFHDq>%fC&i6K3won)u4e5yYC_+sJ99O2k0)3E|tjeO1ldvR}Lnk zk%#B=e2WZA>yJw_ds}7QDR_`-9%cRU{z+-{IFli+d*a&*-6Dr@NcUFH=1E`*RSDBl zdKg_f(-Be#18ukSJDC-?$sv)oEspqn2m)7sz74T9%HsOlK(u@IxQBX}#$fZm+hTCK0XoZ6bE-9hX{l$!mo8TpNEor^agMuhh zMZJaFQR;gYg`}o1>uM%^HAK@G>vHKgyDD6m z62CeNS2Ty@50ux#k8UQ=d)13BuDR)}3euM}D?hwPrRAW|Df z62r-NpI9jS1-4I{in|BW(e8yRs6k}k3~a?L#~t<5P6u>Et+syzkd@+?jc<`x-Tx2~ z8~iq-4#=$lc#|Q(f7NExK7uBppf?bk4PGVf$m~s`CN&~Fw>NrkGdVETubTc z(Df3G3s=tXEAAagL8ULbwI3*Om#zt4+w(77x|<7UzrLs62jn7_ji9Rho9}S$guCn4 z?-y}#1Wp4B!yjQJ?VWutHXIBm%>nN^CTqFB61^{PmSaQ9+|n; z1Hg{v-OMkOoL{?4HVBI=!KLW%rgk%t|AH-{gX{>cN^#nXU2wS(FT*mIL|217GOH=i zx3I1LwZC4`XZ^9Qwz(tg;Y;q)Plg|KZN!|x|aP%=zA zWk0m+hwuJ#nWV~%+7_OD(9?$v9T4&JM^hC@2zHvC)?Yx9PyL7Im#+q3+>3?553(phJb#(O1x$a{8R+l!r}D0|h!WeA!9^CG~}0 z`aa9se`HhrnSqBdJQ1?Hu^roo)g>9*mliwRe@YUMw`nc?SrS{|#8RR%o@611g%4sH za5S<#nf**s`e_qp1y25|Zc3BS3SHL=;^-h)fT4KYgP($NyH46^AF#<8{iEFOxs*#4 zoMvHdt1w^0&;;h!YFxAygQo|0N4cs1zSjeZbPSof2u?Dtjw*t=DZ&TtfCED!e}KEe2n5SPY$ zc=$rt^0}`6JAp%MEhoCR=I|n{nWU**@Muk+ULU1op|5g|Ww<%{#gY!m1w_&P_`R^1dwvNGcv8qbM*>}oVOZGjj_qj zG>gW=;3t_vPP?xWZR1HyTeH5BkdEhW+hj4tPjRCD3b$wbF8*AW7kc<`&euleI+y4W zpK0E2l1SyLeKKzbKV=RET|SI|sL$w_QOl>NE7EDRij^49rMUWkB~V^nKB97@}quXsY3_Cu=kJ^9T=*OvD2LIc=2 zgNHjxozia&X=_PUo*;8Xx5`C%UG?W$nLTd{IcM7v#N=kO_N(;pt0ttl(7)g{6@R!1 zbUnXTAN9(wJ(@j1>Rwo!NfQXHbf9BsWw7EuVp4#>$EsK=pNWF!7m0@7b^HrI$q}3F~B7&A)WQG_*x#G?}B&izck8NB}$cuaY&xCZM5! z-RhybYZS2~Yib;ao%=P?kF4#Ia;p{f>8R?|-Z4;?jwtOY;W{h!j1ygrj6rS3X#5&D zJyeL@6xDD;YJWDuXf-d5@N+TRxwW9UDDj6i!s+ftu)dL=^13c9FmXfrl6)>M+`cwU z?NE>B(nC;VBQh13h`0Ni6^9yDJ!Ix&?V0T}Bh7d6BeTr1|5Q@@1+>9uL7APG;KjUq z5v?!gQBNEos$@;=DwjlVuCM#|6!wM<@BI%KI{P0w%%Rppmdy;@#UK4oTuCerKh$P9 z88~o2yE_+D$R&)XrIRWU1@~w>Wy>Fu=odBmK&!I|)Z9WRL?NQq(GZucP}f&C^L0>r z%GAoC?8g}+!5~`)ZW+oOM}pV4A~_goD)@p8nxK%b9YlJ^zW?M4j@F}JB?hW26~Gb| z5Z>mo0oBI8PVC%5uPN@ji|+7mEe!LCkpsSdn1@e44P1!cv=a;;VKiv%Jau({$w%-k z=RdrEfM(*jF4$p)@!b>=>xs%EEuh2j<(7M3D9}yOth59lPF%-%;#|J2>Gkvrs2{Mf zHTD_Mx8W&@VB8}tgqxVDHMU*@%UA86F?Zklz%4lNb98hMIrPn*WscjqU($Kw5>f(* zl{U6q75+Bj8}^k)oUkF!b0@2pI%P89^tu{@89DyJu5rM^FLk^_nkRK=x<+!nX_WNe z6#kth9zASJFuscJqssvtwEb*@m&&CYmQ| zQ?*@ny~@T0OZN7y-F=eRh_4SD=|8eV&M&FN!)U&)$xcWtaCbo5uV`Q_HCJjU>A>Pov#D$nqcp7(9h;K!QX7Fv2@imS;9?@g7B|I!73hvLy% zpf6%$eUbMBXWrY&E%OYdH8rZ>S|p`p$(1@~`H7ak#%;aLT2a(yYA;gJbxK*+=7B7~ z>wK~sw1x2e$a-_7Px|>Yq;f1AnTh^HQ&UHEwWg?=Zp~iVtEEWqPr;m>wTxTo-(wNV zrGmXOj=3wIUz8yyIv3Zv(3ib+ib&-X@V_NVmKwwV{?IVZyTQk_x=%j9nVO>==$5!4 zpp;_oSHk?QlJIqqHY&^7_FxNnprg*J!!PoYPm6Ee{!iG;Wi{Lc-1HRk#i-}>ZMH~N zUF_r5vDv+WfhWmG?cM0BaC&1}KquPptI93p=Lqlb*c$62hI=aeXpGKeE=-u@gg z2rdmcyeFB=BsmuKZ8`IQZMs|Q*-yht6$cU4+nP@>oBPBNY(>y{T*dCS)b zeNZgqpNoUD|KX#%_b0g={g8dr9TNECR|TXiSS>yLV96}J*;KuHoUfg#+f=*C6)?J$ z`3i)vQO2kiRKDvE`=@8ttb_X3m;D}QhpoIt!firM1mA}D^K>eh-&HG)6K58@)U`2; z@PO|c^h+mmpbA=T?3FH>9Ie!=Eb|IRLC|?AT2s_zhBg*J;+Hj-kl?p;RmP-inQ20H z7ab(iA@G-owPq!x`!~2FRVjaB{-I89;4D5Yz+#*%TfgVkp{^3 zIQnO{tw;^6JG)?Uz;-bTK}uwv9I2qWp8EPCX@xDMl#ScUSSK&faD=>@G_Bx5(!x%S zWF(4PY0%bVWkkyb3%+-2XaGk5QO2vW4h?K+)FT zfw?k@jSePB{ubX%&D+2gg|x^dZ{Tim9deS72_*#lHyA>TpA5-oEy5vQ=T>J8(r~zf(@pT`2)gnQ z;5qTz8P%z64e()>@Dsn+C~aKp5@O`aCNt6-ha4Km3btn##g zL>XQhJnFe`bEO+DVda>jiI*z_7(_DiGt*c2md-ct#@|%8WsL=6Xb*6QzwNWfQ71hp zU}c!jU3Nu1A~J5Wcf~BXuV#XRm6J6@l!q|+_8frYdMB<>D{704Pwi}xGVi`}%&(h0 zB~mRoZd|&H+S|b0T&rc>v5~^h>y)7enHvNY9jURH%>}I%moC`aJ2>X1@UDxVI+>C8 zOb~HgH%a0?5#xJ$cR(u5WV_dSD7YBT$oI>G^mX@lBzN6;osQ&_AYB*JliJ{`{)6Ax ziy~H!3kVp^rzVy8q5)L4thMxvm(iYnuY!alcu`Q8;nl;AzpOATJyPdWkF+i3=CsFa zeJD2lNiH6LbNV{9!+KPoH_QTk)dwnwkH&EMrQ~k;VW7E}fF&)*b!olj(UHk{GY8;{ zCRug=m8dkyZI*6UbGi4zU;v*ZoK)U}+bH$lj?DXBBelqKNs zS!7ZDwW^3~D_`3CV30!Fc9AJBRQOkx22pZN&oH^MaXju11QRp5u{4c075eRL%;;~O zs)}kPq$@i6H*xf~c9eISGX=2=Wc>Zkm?N>N)}LeG#h$`cA|+R$Xw0O-W}%gLT6&=R zH`)C_B@;>`Oj9cht#^Utzxsc{8V@}$&D1S4ae)m$$8Kt=a0XvCP)+ehnFrU3AL2Z* zKc z<}L$`|J1Ag{+0dP9%sNpm;3ppF~zgaQq$6pHnT+%TY8V`QqA#N=Akc@-2ho`TdT{f z4VCX8U#S%}MYWPv!tY5Zp|`wtTxxe|j*h2JF`5w}T~#5s)}0l|v?U3%ln?rE92S^m zYt!v31xHOwdH|-`MFH`&zfLDyWr;F7o;jHD8?{&-<&J|j-`!c$Y_r(nXAK7R2Wam9 zUd!yw5$SdTL4UjFdvmoe+8y)_sPQm%)gj7`nv}Il&~GW(J8FqNLE=}iU47ov61M!x zN)(a{n8GG7#U)IkaTFl&#yHuE8 zG6#qy0@pRCu~S<;3a@!AZ3-zWVrv{1em#b^Tm<}9=LMfI%5sojFu}mUV8ML8*Qv)2 zk&u%uLVz7-qJwFGUX^rnv37J2adEM5RWz};&=WCpwRX2KU>5yu;pD<3YUgOGZ1UaJ zg;`WpLYax%gpHG($DEUq!@|sjk>i_%86$v${Tm~TIp?=;Je({n-vB&JF7~DqesFDy zi;tf^SA3hj)mG>#DoH*`q#gLukZkH(l6#bBY*>Aiaj7%>(j`{J#pw4oGyGP>>P6CY z95f0qdil4Pep`N<-P1a+-A9{STemBZ`csCMSIx^!%Z5HRz*kpC&x!gZ$RYW2UORE? zvxpCzzWnu#0P@byAzNYr|Ml|T60->Ia}{3y^-LA=J-39-jgq!D`VvFFCQ=Ln*99Wd;~9w9?Ua8zLxw-N7+!L> zxk`tAE4qv1 zA(C+nHP|G4{)jzQj?30;7jJ2MhXDp`9cmxckFlGE-(B-9@%112_bA=O#_}&@Zq&K& z&T8|P-~45wo+7d5|6Dg=qko{6hU@CjK z*l3jceF-^qA$cB%b}|AZ_@jwn4kMS9`pI_TEJCw)et98EqgEtCti! z%gI+G`C9lBW9F#0;J}lD6=4{kD%W}xBx9pAGx@Cal@2cN~+ckc9g@aEjV zIF<|^I)I}yIEoVm8147>3TtTvaxy~LCJtVfdx5~sKn7cR1&q76TTpigMkqZg!u!I0 zFOa!ePA_C=#CI53YdN2o>fLE%C;2;VBUp$MxmpZXv+Jb|oZKU2tj(WXD(;NCDRUf_ zbMRD?l$?;RqE53Xc4n*xHliYSCI@;()FQN>)V~Y2kg{7ZrXQ9wTgZ91WspEt_%q*} zMHG;$m53H?B|ex;rx*0q_7)bs3AWNsL4n}Tf=xwkRLBhq@=&b)3H=rgo;9Rt{FK*w zJ!lbofRIG!`_qUDP8>%(m@e2$K~N^(M;yT%!39Mi)!VFDm+dOy^5d0c02RU-y$g6X za@Q=0%#}MLoV`1$z=?nur|D_-|Ovf*YdH4G)vUgQNg{~8Ab1@r! zXXDGfA%k6pAw&u_z{HUrvwj|1rF!L_QEDX)d3EM+vX!p?a*vj6%Aisv#)6ag3RWRf$CU6#jWEyY!PLi{W~7wQ5Q z4_PtX8*q(QFFruot70m@89BES_!3^=n7?P1=e=5n9>~f z0*zCZqmz2B=qX!?FB@T{8uS9Q6s~zeV?JSQ3g1jDj88vZRJ>g8%1y|JojH@YUN8Mv zv}lvkPLIPY)nqLr(;`#|i&bsRlw~c$F-u&rk+#nhi&CfHp8xC~&2>(SA(ps~fKMCo z?OwPc8(jFaTPBzQ$D%D~ZX7r;F;-UR>)b{qCc4I*9IA@`bOGg!8=u z50wmWzB)P8V&`Q;5~NM=Mp0xxXg(oletMzuYZ)vx!R;g&j~lpR&1yPABXi6Xy_uk!DTG%86u;NEkFd!@(GVNUXhHs-SW! zauJ%M|idm5Ox5qC72et)R(7kGtBeRPgJt~-aUL-cI)Um#j5wwFH^DAJuRR~N!Ye| z3M*O^n|-n{0rs1v1W|rKt|Cij(n9xz`>vax;tN3mqVN{ID56CHzP(oX+#;H>0hVb6 zuk`Ht3ZYJ#%qcr(u{!AADdFcckbCWhU0GE>-j3b*4n9eGgkzGQvEqYSPjHZ*-6Ue*?=`C7G;Jd0ytsrzF5WT*Wlee!kzY|J7PFy18-&KApVy$QBNw|PuD`Kopc!O0xnbXYhmE;5}V zN=!8dCejeb9APJq>3$xa7WFe58sch-exVEhXCkO7C#aJHYYeL7=83tdVw`Re&8tYK zHSQkNt8P6p@GRaeJlsf}!sh~^oOUEE37p=l>`WXTO82_Qz359m)nV>@E(q7xO*$Rg zxxzi*F!>X&3%;mxS)1X%NMsQq$L@s}rE)1lm4%YE?Fj-8Zxh4pJJL6wL?POcVjd_hXD^kpFX&(F3s-!>mUxLh zOjF`69+nrXQBJDOAa4_CFQiA27!FNjW!`xa#@BdK9Id`?sY2EbicXl5!(>#dhE2ZP z*IoI)ls-kF!z@v8Gu=OF)7;$TVhK_~qTrQAqimFEFGQlW0l3v}1vvFYv32)gy!WJR zzzz&&?vx1inKYf+)BM-sYqkwQdDNc2V<7&G3=n%ky1~>}kNh~c?2pWZ|K|FH`ON#H8q7Rj6{O0T)TKns6TmX%uzD-(9 z=u(-)&MNotjm3OY3y*soq(Hl#rj5ldQo{6?i&`3vqqLMUiMH_%=g&WR9Qcx(omFi3 zD?$rza+9@*VXE&5o3Ix{k&p*6cax(g*8|_fsK0x7L@gd?sD25=nlgc7) zaed_zGjfel;ihS7YpMIQub@2LMTnB(5gwX$^@{)0@ihWCF#zZFL!pTXYs`*HDJx2# z8jYSus$iTuY_Yr|&y1A3;kEYzT-mDb$MhP+;)u)^L`A1oPvs~O=G?d*rBVV|mkV4Q z!d=<{v?IG|pKT+Pz_~{R-z-m}aqY$Q91s(3cBuAl<^fKo)O#wA`bx+4yP+d(VOgEdv}9nesdze|`wID8+|uG%??=FiU}XVilEv!w9?{6$4>>9P#|Y zw2{1up*@h}tG7#aFy<~EL6IH_j3q%5pexB5P3%fNotuY6^J*4QvxBt91{ig2F$qj|K+A zAoU{8z0R^Uou@uK82$SRO_XyaYz5_Xi7@8m_ogG&u4_)C4?rlD9}OoD{2Lg%P;5Ws zsWr?x`rmWx`s`azFsosRrh>Bm1_!)0?m1R|TE=cy^2yPpQ3-~u^UY(t>QV-7??Dck zoW$m$vLyg^iz>mXb0|oCf?dUE+3-shyNW2uT8wlWyh^~afp=!uxzd9|z-{1og9#OM zKOqAZ7YNgUZuz)4_?sE%bz#30m|QKZ!Z!1tntQoAiQYv|M%m;aj|qb)d3r{*)Ra9D zEgRGw(NgR78G3lRhKB}^^75PqhG&hPkE7<}J>#dS&5mOnz`&yBphA;&rdgSos*h^1 z*bR=RU(A-uw~Xl8yO*angfh}y{r&a<^OkmMU5|Zg`w{G|DZ@ODgq?i{0sNDlKjPk< zA?|sS@P+?CzyqShNcFJb0U$JqOoTLWF?V>1$9b@jHGGy_>IlFF3P)f);O7sCOIarR z&QGG=-VL|daA%mP zc73>L3$DBj{k$V=@F5EkkS0<02|+mxs7&*LMhbM7v?ge0&yCAb3N`o8`W7ko`f>q3 zmI!CsqQ4&o%FE;wa@uIgkzhPAht6D_M=Q8SN2&?_pcu|{trCDtf;2Mfq&`Z{*MmQj zvJ`T>7iokmuT%oy)ygVl29=b3APtooo&y)KDcsU7GNWmeGRwC-4d18>+lHTZ(Gy4; zk8kAeHg&#X!w-0flILW4^Bp?LqqgLY&6}Dw8MZ4o9NcNr{+!}_`VCb!!RQPYzs-m( zPxJK1RPc==;0qA*d#|%rqXZ0q9Bg5>3$2IIi9IuK5JkvdA?9x1PXOhF6_PGTF(j^%I5-bi%4n?3UQPcF_Tm5PVD8kseX-I7hFZ@Ko6P95L z0TM5BjfxY|KaXf3d`4*auDmPkW%M>Ah63sPQAu z`(z}5gt_x8|4JFK+csI|$k<|dz=PIEh`JFLf~F^Dj5^NDv+>%!e*=HAx!j%e_F*JP zl*V*{Gn_vX-H3n$_~95)WTubM^c?UHjKk;@?psYTAzn*;uDPpZ^^j=0!eIS}5yFh}oL25Y$*<->cC7)&Q4M@u(TH+Pu@UF$x(P=?(0%V5 zdxjdd%Y#~gvFT6yhJ?UnI+!jb`=gV%2%iKdgQ_^V@y0j?)Z^?hnp0b5Ub^v}!*KV% zW7+e*z@G?odm%W%u!KhO{==Ow7j=_}g-6~kR#)Tha2xI)6ckw(TK8#!|4a_RrbaZH zCrCJi1tSWoWYE7%I4B$_{ZKdMIP@C9rvd6Cwg5Ulnj; z{c@K(iK|T)86mGk#OYFM2)=~ zgBGpc7K2@@m-2aO;QZoH0ajksBzGU&p}w!s`#6_=I=G;U7ygFs=9PsXD&X3msO3_A zW^OT3A7=6P)wsJ4=s3VnCW_VX$tmSwZt^d>1)`1+19YXDlAN}O{U_xT-BRieEoU?M zEb_;v&lQYtWL`{jW_nVXIAOm14NsTcRJRW0a_3Q#0VcKb|M>$Fo|EKDGniWz2I&j` zcG|t`-EEX^trP8Vq%TI$zG{=vQX+D_-YIYQs44Gvg6}OszOop5zzjAO&+QMa6-#0Ym{zd+qN|m+qRRAt&Z)9ZQHhu$;7s8 zPLhe8{5jvf5B=H?y?0mDLew6KJ(LnMFr9WMh_>bZ*P zxgkRU*zoKmqm#b0z^cGSZrV?q#A!6#D?RM~O?^-nyEu50_%bdX`%}fSm=*2$IVC7= z2g4^?s;BCGK}QXmUf}(GG#`XVETNddi5C|3h7*tY1i{ES(x$GLl+>d9mc}LL4+h z)yoN~d>aLR>nmULPHrB>7B<+puE;|6?v{>VzdQ0Zr?EB@*ryhf58&5)KwMJCUG|&k z3{U;%!Q8EQVrs=Y?2hDBuQBk9{K?JQ;t+{-4|(pLt0~Z)ms-=AEiFa0qc!c^mdr7A zqCaB>6=$KsreBy#lZ)6thUK52@-Hexac9h-wzB?#hRyo}>AuL5PQDyiOb~7+|0}ks zjI@cl?EVPlUvrrjXDcJav>3ZNoCla{uV{A4yZL981Y2vF|MiVWkCzVZRt`MBcsL6W z$Vt(u`H8`1`exBF}Xbb2;@Hjhw1+=MaXCC?=T;+~hsGLYHo-v@AYH?Jp1H zm;xU2wReo;JiKXw`kQn2f4SY$xVS13CSVu8gU!SFH&^=psLG2N)#z>|q!ifOo(E)M z`8)Z>zNC*XH7VE)hah)6fmC;PboPu8RYH_h;o(?kLTs_FEL=v+8E-z6SHzu}Bdkd= zaN%sgtB~Uld9@bS9FBA^dJm>L@XQ&S6Dbd z@Q@8LZ?HPKG{39i4ana{4FVO_xH=tratDXPlvT;{?9EhxEsdi?H-@a0p3Gs|)-pvG zA<-RA2q}R?)}fJP+Wl2E^JUQLSTEIypD3Fv=VIW0;$z2`zR4%c-lF0cH2O?V0(*^m z0SOB{!EkI3ejZJ4G+R^0Y$M`>q5ZhgGa_qtJ<(Mm!?D`9q{=!9!zE1{6<0fHG--@@ubMVpZ1u(ee6zfhU^j=4gL)aPfqJJ?38)Y2gIe62gRxzzMn6dVUE z!=Uw@)jy%U0 zeF2*)*&dcVtk8Azo)HwMQC1Dc6RJdu4@5+2MyagrC3T0XwV=+j$`X%Cg8RSUGERPH zbNT3v$yfcd^8TW<(m%+0Q@rH4PL`LxX<9JK+V<*xI8W@PM`jRc_>8faB|hAVA@2Qs z2Kvj4*C7mPh5ZNmbmZhuxc?2tAi5Ra?2_KGwis1hP=0wWj;?u!U3`t(0&IB~(LgRK zgCXGn(%ee3!3EuD7~y=D{j=QA04s%nA)s2j%H|h^;5|YnF$-Pm%Z(^*$mDWcxX?d! zD6h3e$?;NFK;sx;cRZ!pEg3n}p7k#a&yE?A{h-`vhSNcuUl_{$D3kaXLd;*LtB&1FgYhG3p3`j+j(9H z`A|v%p_W9W4YE+QZm@y0rmf_Cc#|6yDf1(aO)fC}h(o7apah}cPCAeiWmX1F{C6WY zhHygeoeBLffYZxAn`sAz0qXbG!*;2t^f*+uEqEtJGI{^}gbuJiO~9#gc8G@keyj$^ zpua1reZj~#sDQ=3d&Z-3zyc*ONkIi!=Bha+tZU?DWUEgZzCs7+IJy6fc8tUhfICBP zXMblbO&3RjGFCIy7wGFu4>R4x{tnBXD%Q14j!qz-^=-tKOqPjlr@%k4owrS*25%fI zlX7LDuyjpKa89&N`u<^UZg1QD%~?zTVEuNyroC@EjOoZC%zA&c*P-QjIpvF$7f3ng zL)?#BzLfmuf*V%WMtjJS!v#!huSW#1dK^M&Lob;yq#Xl`Vxtd3uULCVFMXu#(i%qP zA?ElT!2>NfNpZK$Q(^9y$H37Y$i3>lhXwHFSkpueEo=&6PK9T3^-po>=bS?jy%KSm zC1~$GUX$8S9l<#ZPh>=9)E7x^ZK+S6fJh$-n$gH&xMO6f{_Ihbznjhu+Rx&Qbb}zB zBsntfab3av4F>mtg@w^kQCBOkOiYGTMvQiJ`AiuJhhvyR8ihK#xI)95EJ}~y)DZOb z&Ha=AARPY~gi>>Prs#yje^R!n{_g5WS1ECl--9J%io|zS%Vjr^El|aPjVi~rA|i)7 zyM1S=TnT`f35cU(AsYNUQ5R> zKKsdUxKL2j@<#U0ME9=emvpv0eJw-#lieaQ$PJ704WZg()xDNonq8r*_~OLWy$d`b z0M{BEs|RxgH86(7*ml*34TcoYE(?Pd6T^gH-}VscU6-iZ{>zRQ!45_n$F#lVJ@^m2{pQk9 zg~19pn1k@TXK4C`(@J(zx1Y0O?JjDyrLWRF84~4I)v6K9W#;n9@1RmY!QX=cu6b@2 zy@0KZ9|ej##aENpPn#K8Tv66NvwA+%<7MZOWPBvF7mxY0RVj>Su+o&5b5i_!*tPNV}dC{^4kg6msiSSj{qpR!6mMXyr{YQ|yoKV0EbwW)AG2P-ti zv+4872{RQN=@usUNXl$;a{a>&Wo$qe@nK7EY!Ma=FcbC&8%D15P0L+AgGvE?gR&^g!L=A%3*w@Lj$#OTI01$ zMz-w{mW{B6xv`P}lE;1I2={*=g@kr|?%N9@KyFs>R>qKs+EGGB9mi|_i92=R3|u~L zOd2QQaFowljA{9Su?Ajl(D+VOdWI|co+9?jnsj5@-OJ2pFkFXhJtUiGM`H(l=lp8@*YY?mS zbkrrEevNWtwSS6@%oO%DH@DRicX;**`uwH{!huZci8>azlbNOeAsxd8@y+cmA=QyK z^9(l<7P<2hB6iw^WFO9G!Pfq*6nsfK|B z4=M^p{NeZ}>DbaKcl-~JBu1vygJ9;G@Y=sWdUsOkWNsuXrG}KXFv@-o1v`~c zQ06=J^MT)CsQnCQcD`vu*m%=ZdA1fN&d}kd*hIBiU_Now!nc@Q@l-fEZWLY5WwOA9)jAkQ^=n*{D|lS4_T>NL|^853!_{e^&&4HaY_SR6b|n37tVR zuBH@)eJQj3AiFpWA?SNeD+_Zsau*}~EGknf)wPZ%tA~EV?RWM5#E+7F=$ zjCD|0ta`S@>%eQZJ{F!`5iSo|;F&uG9A5fXnlulmr)d3QiB(+}^ zv|_5m+2D7Aq_8;i0hf|Z_9)8`1?I$(EBAmAs_TDOd8SF!k`}5~=3n(VgyD8*gPNj9 z_I_pIbrO5`Mb>>hLiD|ORr^uxXvH~Hy_p`7KUO%%th!?IO`Q}>fo2xP-0{bu?~WwF zvH?2oO66loLi`{g1)k6$EubY55n)%*-qPK~C4<)EiRfhd%s~hSUxsuFy*pha1oN%3 z$KfV}eH`#*VY=Y1VV2ToN-4>fox8PcDm;@($#TxdB}LXm3cuwda@?bSj*tQ)-`VE- z_t=;ZBeSS13rNVh{x^7Pqk$C1c2Q~KuUZw8yZkUl4G;I6lp;ITAmIVKsTqqc*OMzq zr&^$^hDSD|=(Vn?#uJg>u#C8XNol7tkt{&d)KT1L8S=jkN}QYc@y-Ieo ze6t9yRpbTx!@|5c#|mGRA0!{2of^a%SJ_KK8K&(VE!AYx z$ac0mp|O4`$4;DuEPVp_bg4s(RDokLi@{W4ZVtfrs=D@06eG;8$z_iSa^SRS_ly}+x1>eMhR z=l;i9fp^N23We6V;#p5lyF_XjbT#j0YoR`ET?#-8#hjw8E`ttvhvsNnXO%Z)*|$m+sW%Cbk65vD z5enqmym%imnZCRU$2S|c72 z@boWUKKK+M6lD{?#Yx#n55M-Ac&txr6$=ZPZ=T=+QD8pyr88kFMP*QyFMji+N`#3- z&#Ws{&X$Xrh4iiy3fe~q{s4LORua0uM@jD|WH#%h6XuUkd_eW`T9okOm*6K#18EiV z;{Rh*UJ{uq`QB_w)901gwh%%X%GOw4*Lrep-1`3o6|;0tiS9P#i-I>*{kI%+%IY%i z&Fx7_ZRkg7$2U0#ch`h;a@t?+qs@QgQUW)y)!nZ?${gPsHakgyi##?8o&pe?0!2Gm zcULj1+N#NJE3i0qom^8#D#H&5fZ>)FrU*)`{&+(g4DHNLL!UP1y!LlNm9Kb=A09__ zmbDI~^SE3V5F0Ytf-1Y4StVl`>e1FlN~U?ST9QC5=_zL;c*&oW zM<*4a$-fOleFoQHsZt(9nyb1+-~d$~D(ID9G6#@AeS`}#8$^-IA7_J}v{{55de0Tt zkle+aVv~O-*pilvqNMaDKT_&JP{6SCk1T(%Tbx)&reW0UpVSQCe@}=PgM3cRuMA*@ zJ8BXj0DCLZb>|v7adUFW`7Eq4!1rd>fm^$8hz}QP~aTl<1oVOcNZZY>nBBH`o~LF`0mO#evRz zodG#xr?lIQB{_tf=71L~!8Ewn_xvqFT=D!4J54tP$5gwO7B-9GijTnD-a($ufwupi zTW_Jc9svKBW&6+{mOj=<06UC<#zf$ayD(O`aMpeznMCF z-z&4tffknXY3uN(N433r_6~W*wPG+Gg^n$Vni=ZP7#dA0I`CzU-0_hS=4jjdX8vl# zHI7?~z#hLx6;W^5y$|>(S9#PmVFS`VefdlOq6P$>Dpk!BTODW01f)+KdkNW zXK{(09m_}F{!Sbx3FGCRfivMH|JN{$=f7$p$U~p|-d6o5ii&U37|=EpZBU7upT-GU z%xL_sq-0~AD0_-_ezHzkxcBDUovqR7{-haBH22TE3TXA%RDAh%$EyFmZY%Tcy4v|) zfSQoAevd?VqfMdruX(uL&jF@t@l~>agaupo#zsi&9jJN+VTS{#A&0c+Kfmk6i`3xV zJC#gcxN;D~U`;;8J}OjNtArZ)B@f$KmW}mY1%lZcw|Pi%eqIp>)v-mM+R>+rC{{qD zfr5|28r>m+YR(KNMEeXn#eo6jJ&OMKrhr#)!xYWn1USY8(j!4jHct5}6ue-Ln!K+m zDxhA>sEF6Ns;F*e{WSP2_T-F#F+Y!Bw;bLxWly>$Z6@0Z_vY|-wG^{xCoY8>KfXT` zuf$v++c#^^Vxn<)+KnPLbE;zVIdiB;NQJ0LSU2>#xX_Qs;T`vD(lE5HxUc z7rF?A)KK~_2&dX|nR=#@t-^30m38?qrBdUShsGrZrT25d@fzM=$s+v6!$_(uFch4ZA% z7G-4}$8qV(W@{?@S;^tbvWGU*U@2_V#n7jPR1EjpEf!AWX9>>6Mnu!we_W(H8LJ?J z2)W%v4Xj5A9EI{r$evMl&!uQ{s7FRO%O;lS7D|YHGX*8kvAx4o;q} zinnkdO-@9SAdsFb(=sp3yZ?=nk(DK)yTnCX=yv&1>ci$VH7n?L-(jdz>?JtdOHtp^ z5L`-836yr{9cYF0k+l6yxhnaeO(75uj&!4vt}zJJ*T*;?Kco=iK76!ReL@bwQXI5T z%>V9}pd;5yNED;b6m%=ofymGyhY8~y#l(8>BqYRtiQwJh0EZziKo1QB;9x5>T)%5NdEGTIrJT*1{MmJi}k^Xfr&CJmEG839+Y4{nA;yh=|7Odu=l_uUsa| zHE&Sa#>dR!!`%&Bx*dHP^7M*Kku+|+Aa6+_l8VSH7@zPS_jo&ObS-@aOJSB}`qMKR z7#jD*ih>`J$Rv4!KssGwbAxPUd)hs`n0sE3Wpz|Nlsv)5+SI{Et653a4A;n>s#Q+u zq01*3*nuMP&E5=`U*bz%6)4uFzn*H1e=|*27{>uon_zgGDmti)9rF&f&zZyUggPMm z?|5SW4SqC1ig-*@D)T^x2wk{17)~9)Dx%slC~i zGSnlkrQ6q;VqxaPsE5_2wWkljO$qeobf`Tn?IfQzYp>j(-((8eZWFc!EWln7R)&`7 zJcq!mA$nVkvv4#WiJbpqv~b?*tW2w}4uqHb5i54!KDT-m3)oB_u(^tW0YMH(NOfo~ z$jtLmrr2VK13cXpQw;LTWHh#mTej?Wr=al`*&a3UZ%lBN)OPXN{VViuoAwb&pr*v z)n!4>OA2igxrRsHm)e#(pvkIFPQ8!@riwEt!0ZisM&b#1A~jmUNpkQLDqn$xF4S#+ zEVJ@m4P$|4ecKO?P`55Q!0-5}w@_vp(`Qne2CnQf>|4_?XIt3_Eu*kW%NpB>TU8S7 z{2z3K4;t9@a#&-ZJ@Fh9@i6iMF-rzR372)W^8`<0rjguzUIA^dLMu^hfobKQ+=W9K z$^u1iz#lIJiQf@ym=X2xaax4lJu*pyOZ6+KXAj|5Dj7ypRY!8$^_dZSy*SQPpCS+P zR;DVhkwS#?%K@*((_edv!{?B0LJwF|xR7#6v4^7doo}gyv_9!xARv_yK6uO94s3~h zoxi6FK?*c{X-Fo(=_cO^Ge^1>tLNq$UrI~)t~}!gx7y#gd}|Q|FNAyr3wf_zgxHl} zpr7?K18$85F9vslkq4Dk7+?)B94 z|6nW!q&&3k^=b&#Zinh967SrI(EVXCw59p-sC+Dk+a=GJE!njv&mEDViE2s+?S{pd zVHC%EwHp@resnKTmfQVvkAh)->uhswPHBaq;ME z_|kv`M(g~~KRVpa>E-;%vWk1|D0;32c-SwDn1^g7ts?0hJ#yWb0M!68PX- z7ZQv%(Wc|WH12!fKm3P*UvUSSc1O(KS^EUY^faa1T|0+Tni~ga1I>tgh^pP&>So1O zj=@8D^moLKoXQK`Nw4)%&ioZ=Mz4vXoZ|JI_yz*9_PGBBYbB1ugC$Ip8hGum#q+`% zMLOae>WipW?>Q7@czE1MfjEHfzs;=ID{|1qp;}La*l>e74?Bms*3!``P{7*_h8p-Q z*SULv+T1qkmb$^WB({+UVn~Eov~JzcL%I^aJyMqC{W8ic3w{TZ%HY$iJ#}F5ps(p< zVAAQtFt{|q_q(vY%tq*$6t3TK<|W{*Gfdy_B@zwVHKvGQB*QBF_)Qj~3%1}~2#6G^ z9l!Et5ghj~Xo+xePWLZAvsU?{voK5lrAc}H&}zCwJS3x*I{692Gyy;&*r7Kic~yU#lHMyks(* zA*@59A(vDtWD_k)8evWwp?wAvjpjAz4paj*z7ryz=<9Va7mt97q9iZ5t-6GT)#Zot zTwdpa zb6wXa{~3W?hJI03_Dt9wO6fvg9}gvz$;R^iF_%o{k$;=Mdd;#g)W_%@z`Q~y?J2~< z9#M9@IxGj71%=NU(mTAGbJ>Cf@O!{-vC=K$+?~Qi?P4HRRA66w(|%L7+u9L3l9;5v zXA2gUXkUvoCmhY+GQ+)89#;;Y+RFt3#aA5bkO_vJ6O#{o5p?}@_&UY8_*N(DAFah~ z%q2Zaj#(c;={pOZxo+0SN%(rqi9g;*F~o!L-MRQn+XbABT;G8gcg8o<^9<+q-*R(* zP#@X@QoM}2VV_-{Mk&`(0NsF6Zg{`2a)=Ss9Y^2(uOdYam~Y0YXD8ejwo=ykw=&$h zLmBbU|Fu&bk*V2o4%dK$#`u?AKKevdO<;{1R?dgWUnNRs9Qi#TV5npZEXuuaU1~Xa zvOel1Kd)_kv2h48X^SPsI?+cB!)YV84;_6TXJr}vd5IwFw#qx1~eNEkuCJQ z7}GTo)=py84_mR%dZZLk878P9x}eH}a}#eHUv?H{7AmNOR8B~_G+^kGgJbCqWwJ<0 zd}d1x;NZ5x#J??%?J8M;I<>dNft4j$+9VZqA|O@Fr_${yi7RzyNN}lkb5@m?@?a|9 z0LKflp8U!rhj2hk1fNZz!W0(=;nE})WYIvE<#Mk}e`5@!i8BIyBBII~htp*HN{`4i zfIcOkrg}=3PW_lNzx9O`HP^!pcRf2Hl;BV&MOrh__vs+l{9W0R*TlkOA=xIN*6NDI z9>4U2Th>(O%nA*C;q77U^xcGD10t*k_TFm_pDYE0deVrlH*Tdt4J2eEJE0gL%jq@_& zo?*OAvPe4;vVq^E#KHn0N2VX>L%S+iI?UAX_@d}56)cO$#&7!t=ZtJHKLU`_#GZw* zaDw0XzXI#I8I)mh$jGc6ecC%Zq3Mu9vEXe>BN?6_@F|UmF%BC-rxL9+)f@Pc=|T(I z_H?Ev(>tIgKNu?j7#_U4~hin(344vj4KQR_wCF-kDK zJe1;CGQXdyY=zAJL{vP+htVSCbNlnbgMto37dAjJ>A+J%_JP0h*%$PJS-lh@C7djs zw?z$OL%LdJEfwqBya?*@Ao!TN5KD6{O(l-HU#@xvoh!-Dspr)C8B zg;nmLBqC7lWB6xo#5r1Nx>JiPCx}C&zhv_ZS9Emf)kF`)Cp+456G22?@y51hP1=!# zWbvWEDM#P6n}JL0$+xd*9I>32w#{itYCeOS}ONRor_?u*z-O8R@W z9aKGf^*M3K#CUcpc^CeZvuY>jwDw_pU4B43jl?G(Kc(%Y)a!bL;R~Yo6y;T+0^o#lZms`8FkOVnBQhQ9g8)+;s z_4-Q_Bm+V}KUXYCaON+R^>T5*g9IpW?-8p^@I+I#;D}6nr4Rh*>DV5O8Adh;0v=); zTSTC6Y3o5Q^l}S_^a&x)8=(ET3MaJxGbj6dR{!BKHSK0@L~%OmJ}I#zPO*L!kj(sb zuF{MSq@8aLSWQk_RQS+uLzs6qSCLYEx9sRJ$z;pAjm4FNU=FYq!KwP!03a zyM9eg{4JrZko{<)VWwGC3+ms9ijyE_`eEtQ{@#A!WF^M7N=Fxc%WjG9N3j!b@jsif zYk1Y)-m*uD!A)@6D!32Rf~5C8GE)}HQHG2JR=x^|`3ym70!id4D|BQKRzTB6-@eyC zYLVUP5EJaK&L2gci(I9qZ}XRrDJfhE+@noXi+xgLtqN;eB09e=`@^1Ys(IaGQT;Ap ztrezRyw*{cO5B)tuk9j!+gJay7+qHpc70@wA%58BoL`_i8I3BFn?(faEi!jj%Q7A* zmy0hrd8>9X#PrE#K8P6oN0e1bhwZEI6~44RE<$Vth=Jz z2y5<|DqUgxzP(w?FO=sgA|bw)%=ZBSW^{<}gbxVx z&;Hw=VZC=h*t=|&?|+x$kmpzb$(+}IsXg-^FD0W+{nZWv=wMz>^^Hkp-b){nepJWd__>?o(1oDLv2wz|Rn+J+t( zFM~qz<%Ru~!ibg9(%6{YN?HELZ@W)#(C&H?dHea$yyr9Y5eQNJ`JD$&>w0KC&aghS zo&dgs%bkywfXwd98tOwqv(`5F#b!yBaT9t@opsS&_m4`mC?FE z-+HLyl$%u)n;9*%Dj8kZpzf#3njBqNUeF^NRu&i=k}~YE5*}EM+jm>SGJ$l6q{W|4 zlink9?r(pH_W7fenGD^G1S1R9XhE=(klbE2j^Q_Q$*Fgb3d19PH1*9JBrsG&- z6hgo67&xmin}eEL{~{4E4&lD2w(%O{wgS&&nQT8NE>`M|@1yRH3jy_g9FOKQS|w1AZ&Q zi$EMPAH%RfmJ5DMi+K@9R}goi6lQXE#udGtQ#6sh7XAI@$+_oZEiXOM z@Gdfix=|MnPMG^;`sv^a;O7PjH1Fer^Oot4$Y69HoR&mJOfZRt#LX{j>W^ut52 z4K4>@+@m0@Tso4>WIu8~9 zV<)9dbqIH+qzKHC3I9blTjXqqNAq!&5apVO5oO4%cPvV;Yq3ZqHLDgEvrHEux305= zlrA<$Xcy;!Ex)4qt5$F`mdXp3Txc0Mw&W=IYUJgI#u z+py6DD9!q*4JQ2@H;?I`d@iaITf7MbuLEJN)~a(=Sl_H>ro`;%$R8g|JaeUs1fw#W zY-zAtjvb%yl#n9N?R>16chs2crz1-80>GC$_DbP-J7a22m^q`!+*IMuUzJG7|xkgIr*L# zWI5mI3-pI%^))HADYObNVkv6cu#0p7!s4thp0p@nF@F3hd2;lLV)n0u56`-IEJNrg zA>G6EAJB`PkrxIvY`C?6o6*@5nC}oP1+RKkCac0S z&ZPXKOp7qoNCnvW%q3Z3ZesU4%_L0yON+sA8`&q$V@OvPo)%uzyh4T~n(5VNpo4ty5Qp3Q}a zRD<*6=%5#5xrtrr0t&+OA?RmTXcE`c@aDGxN}M3+a#NJdwhGKeT0zO*_ar#dhHfzo zE2oZGQmE~d^fZ`u&@2wETvQ^yaa!sS16n$v^j7Hzgg+DDl)=e(D!e4D17x|RJ0uqu z-8$Nn0NMMTXe}LeL zb$fCRUH$S>d{p$Epvx`aY&8550p1_i#mShnL;!AhPY}hUr^ev4LXW9g)>K$qbg45x z{}##uT6IF(+?~XaZ2{?ZF-|S{73zcB8JCZg?J1QrS2($|l6l7rU9`6HY>@~!c>5UI zY$trhlL}6J4vRwuc)Ee9OSVq5=bsha@cn~1U(?BR8D1cLj;qn)Egg5!$st$n^->bq z#XQdAQUGdc$}TjA^cS$qk?$4L=rc663}=w>S4($VD60JJDHdA!g>OcTDB@oH-la~1 z$4YWj9rwm}JUpS+zCi<$K^gY#*DDZP9g+{_4o)0X)n$UKc4;$4fTJFmlZh+?>LfA? zHh9dkA~%jk z8yisJ;<5boW^WH6E&iR$kYD5TMorifv(M^Y#XZF(FWhq&|Gu~_Z9YD)*j#IpOmorn zoHqhb2$yJys(tpshb~pWMnQfX8lc$4h}+{u#I$tBs?oT-i(rA3#JXp~ZS;Ms;7iL( zyC9{dw2EQzfE(73t^C+CRy|$Kp`sKDqFSA=3WWkb2*tz`v}h(@hn%{|Tk(g^xtcWY z5AzZn`l{O1$H+Z9+ZVDjSw+;! zi?rT9h@0WLimU(!Ha7*UTZb~q( zLNb(T+ugxWWUlUZC2H2UhBZ}A5#hB@Gj#7S)DUGW8sL9xu>XBcH#o0CTr|S5@ZKNK z)3REL&n;ZS7oOr|YqkF#LsN%6JkA@KsX!*7$*1-#2g)#Q+oc_A!Is_W$eE=bG2W-t zjl^_e6p7ihtUh-}7@XcnbOzDB7eGqOIo5(7ZxKmliX9y&qamt^J#J5^I;LI{DE-9r zA>G{wQ?ch2KidP|#($mOM_G0X&Mj!*5B0`)*`$G7`5S*_kiYpUJJcNqK~x)fMiOr9 zM;Y;}I4i291c{Y8wN!Af)Oh=Lxn7qD zTkmjS_4fX@!bx*Vwo=!N83_L@ICj!b4I^2*KA{9odZsH%3AR;$g@(Q{enm@Q|ld){=_@eHYKDRZiOuB!@2#QQGWG9VaWwRI;CU;TR`hK82iqqnTE^tuu!NeUU4{L&#}XyGD;5#6ttmEz(G7Gl(@d=AG8v0!mP*Tb9BoBJ2aX)h z18kC?`gq|hE~m#W|A&n019LgV*l`KhLbFIk$&yN9PG;Ha`7Oh}Ra6zD@SNwRJ(2VT za~1adJJX^{wJIJz7Ceoty@`E6be7qb^%NvUcis-SacsId&9f4a=gSAP__b? zu6h}uFp)6T^=A%qKA&kCpfM>!^*Yszg|>d_%Txzc4q7fdKo`?Cd>rVLn%GggMetQM z%BKUgWU33)jN>3`1|wO3YtOXIZ3BO525kmH(nX#f0Y~bj!D;hpc&OvZ`K6AVWE|L_ z81m)#`94s>JmF0t+)4?u)+teW{;nu9F2i#KNN2kSd|b7%;8rMbdD0^qs#Uh(td=Gy z;Ra_S5?){CH!j4~92Q~q#jUi8#oRyY2mcgkaQ&O}Lc?~`X&5M0uv1WE-0!3a)PAo~ zYu?_2a|Jb&rLQ@eMz=oOJJOJw}E$6;8qE|ovU8*E|;o(({ zWY`k%1_`&9duUysR^U@~TpTD_PVA@p;-PbN;J;M+eh@nitOLvELFrTW`nYYk$e)e6 ze@qCjj9qk@M++^uR$eR${$}@4Ew3imhzJN3k?oY7<-wMj`A8uzu&QE=2=G^!n&-NO zpS+Zj?~WzMhDI9NSLKgWFgNGLfwxPbZ=dUCq4+;%MhCO$FQTjIzt0zH|2;UX(AgxT zKYTJA3J0ktubjdyx$q1WsRXuC_VFaNCHd<8`b$AC<5UKPNL6~NhxanF*eyPlr|fnv z!Y)gNhAZ<1R3cN zS^of1(1!M)rnho@gu-9T>D;I-W`RCpdAv!v(~&xd$b&MEi6Hx2AZE(yA_bLAn3Z7F z&K~pk=x5k`E5JEONzL)Xu7ErHZT!Swb2vQl(XzxcJf$rS=B3|i5bS7Wk0!WRrKEVh zo%+hiQnj2lGk(zWU%!4uBqbO9Ueer>=5F`7N1U5qQAZ7IOz4F$#}k?o!WY03sRgLYrPytc3~V z{FNd`Jvfoy5l&iFn3Bp|C~v2Nx6tqmr_C!%_*p62k26JvU{X0Y6Cd^*ReX0RX}kR+ zVIzptiz%7v?+@WO%?+(It6zIDU7dUn7d#d^tt8~XOC9o3(S=gyu%tkq>Df&zUri1& z7faWuLk{a}>z=H2b)%8)y-+BYJZiFY@}16!BYC=La&s>r>zUlTOsOhCsOB{NG_`yi zPnZMoF}eQWsPO%wa%7>tfy!5?cxGs8H)!ju=$h- zu@l@-lGa0m?PR&R#US;yhcTaYuO3XU?U}je->&+_lK*P(|CHrgd2b*aS3LWJ_KzTP zh8|yM8=pt%rQO}*r2B;$H|GUYjzA`7RJzJHr{5myudw#TALwpchC)T0ipRPE^Bq z&#Xu#t`!!6|0|;o*zE2jEzmDb-7D}o*W#D*5wE#QFW(y#9x2zkhq&%!%C(A) zy|)jePWa8yp)kMkfJon!Z9b--xs{V$5jDB&pwqN1w0A}c`4CK3L2+i75pD8H@%zFH zBs;zBs{l6k0A%ulp%LE<>QEWI6CJLiA`s@=CFgX`MvGx!I7X{lxZW9YVIHV{;>$Ug zZl_T^!~V7)jacNF5Pys2uom7HDsQ>`L$z&bvD;Xbw@xLF6aqwPIyU1jvE3`TsCvNq z#w88QuxDB)tm%lS`;RvC-o}Hp-X-?s!6la)IH%{TZxG#E?!T3_vD)dG z#HSOxUl%vaRY|Tpg!9LPubjP%N0avkM4jJDYa2dbI0B{8#L}yu!MOr4IuQN8B=`l= zK!%05YK~yaU?aWWL~a0}%W2&;ADZ_!v5Q88{Jt@BT?>bJ|J%!`E;AR^%`#po*NrcD z!mq3Gr9NwTT{f@NXf#4|TyAsIg7v-D`1TqlXt|TiyQ^-J<=JbVKkDg%qz8vglYP7V z%&d_CJ9k)Kvbz6U*oI}OAm%DAJr?~`{x%$a6uUCns2{y>yt-JpCN0^n z72ids8t!vgNM1CjF)U~{T{@iF!0LZRS%3m^xC3e@;XVO%y*}*;k$6-72w`UDS<#CRA4I7*;Z*0Ow6X zKB`y{O0JnUOYnrgZV*Tk+~%pZ+LFV1t*8+f13oq*#0Xc*LeRtruc~MNt1H}HU6{vI z&A25o$sxgudtw}G*)?vaj2|j#dC|@YnIg%V0FkV;_4r-!LL{Z*;pR2?mJ^%^mw*t0 zjoWQ#LrOG`CxOj!<~);Gg72}NjUSVuIdMwqXN(ty3<#$XxVr35a~-`5+aG4F{_5lf z3qtJZaH30vig|^={B_va|E$Ls%V7e`6+qj6Jh04dz2DB`7~TbfFR_7B{Fc$Ixe4j& zO9AumEC`N7+B}IVBDPk3^v=O`q`gH|*fL_**Szm6JM>&^#p&X2q^QPq`i zRD(F6W~9%if=GGgFSYn6E2FPV-zV$>kM6W-h8zYUio{%|tbo%CAw3VEM=D*5h)WLb znlE49X5;bQ8y`zuW za*0Q53>mFuFnyEF3@Rx;!@jrsT|&!HS}~q6WeF2Tfica;_NOsnu!ksMgic17H=gDo zm?W+}y4FBsqU;~ooGQFS$VH;9hW?gHs^*Go$s!A?)48#s8#n=wUFamMH6!x~#5e83 zVT|eoa+-?KHpf^!r5K0f`CN-?G;%gVwS^IyuO zPD~qPS zyPbc?dpa_CESN=YrXYtUwmqxJiE*6I^>T>^(dgsiPAvMtOBa=-h6P>E(}6vL!X-gW zEKXSO>qS~B%VYn)M&a*C5Rzd*QO$|ha;l&B&%q)()pUI=)F~JN@6-=du^?M1T$+0w zQ+eUaM3y#ADqy1sUAqpu&OGwc+=}n-T_xftm&EunY`}!Ms&t`=I(q2&B?eJh=1)De zU);YE)PI3lcpu5M7+5`}36>pYNy%$wPTs&qML%tokHEr$?jlOPYjSPhw7|jUrAs}@ zgD*>0D#6l$d;auf|51RNtBN_itM)o?yO=F!d6io)Ww8Oyg#V2c^Ar#74VI|gJ*Gblk%z_R}-1)@aR5*_3VrRpBJrpUKH$^fPSWMqXlj&w`P{nFlV5Nye`>- z`(!;nw4R*O3WLYZgjyKye=Ctv2Ms9*^W_TxSw#j+NUE87;7L6|Ob@||zB`!_9Uy+K71Gd(;z2~VQ_=Tho~Tffo@-;$qqt$4p-mg zt+tFhPM-=k3-tz7`ltl5rWHAx#Y7&7yet8E%>GOO z@QVFEWxfpy_b`~^vKJucU8w-QO?{PQVSpqaqRYT(sEE0P0#TyaO7+Kb?I9->84EHQ zFIYRpap<3mXcd121O~_oe455{EUH7%GRNLA%+vmo!0|Qy>N4X0G=B{#S)LkMZ95h4 zF;8Er$u&oFX4ev2w=c!o9$m6(d@%$FGL^Dw%p?4EpA72Ow0(bpl=U>P3ERbN zYMuPPEH|13EPdj`r@Q<^S4Xo<1p9U$bE}zdC5)9ZZ+>&p<830~A-{|K#}#d>x+!U^ z`a*|3Vb!yYJr?;P+pg5_5ypelW2RVkNb`eJb_#N$K+lYL04wjblt%GnSj{UHHONvx z{=#u4;4!;8d<76`0a<13j&c9{;mXRYrlfvKQiJTmFQ!8`jF;NAgN->a4>=Da*)#q1 z5wE21Xr`Aq!FLW)gZ+-p__0`~H^MkJsxN-YjD`waxTS;57XzhHeAj~V zBbH>go-pMOkL${;nx|JZ<+wPlZeKR(xK(T1*;mU`S?DeQ@YPl+w->XChkl}r^ptc~ zA25DT%<7#p8^4`4<|k3?`<#00jCy)SS<3Sjzw(h#!GZ7^+~dMsJ&C_WBcY-5SI}TT zX9+~gI90J*FJ;FWT$@E9v)t;ot&;wD&k7F}8N|(R)2u$C>`8vd<4E{zZH%;s8 z;n9RD;yWfeyg>XM^Qw-v|1pE{weh$uMY-yu#=($`vd{I=B~Jfy|IH2`V==Rq@`0uR z_=bu>HC-@sBn?6<&`Fb1YBu60FmLJqNF)!mjZa+?X5*?>r0y16K5JT;C|;+Ak81ir zy2o-gR=HS95Zg@%9toeIo{LfX!d28In-2Rfn|V49uFzrstY5Ik2~lYiHfD;umJh!= z`ou|hfBN)^%U@PiPOGSDY-1E2P+IIsmwPOTz0ed9$bWmla)`WhkD&9+(S{?=V3l4# zR-6b3jo$8sRp9sk2G)|FLpW`cHt1X5e|;G^`}oJ&1kX=-?2{Fl-%f52{nt%UIuV5fBs$Js~-n?O}J-SFwvLw(jaNzkg+ z%bZ=zwn-B%>1Iy+Y8y|{WcXk%TnXgFgnMUN@;`wJ)ZsgfpEQq*6$J&8r1O8|l%MLS zE5k<})X17c=Ve%ai-Z{jGaK+gUciP#jW$Aj#OS&C=?q>H^GY6;$`6=#T845jQcS58AjO1fd8!(VcdA|9t3{$zH-T2lUxdM_FN&bDI|L31Ua_XX=?m;^5&?0gv$?XgUcP5(e2ay zFy%1*6H7|5YdXvSF_La5S^d5vV2BPxUuOAyVxrWZsGjFngR8#6%gA{4L1jc=bWw!d z*U!AF2^PJKX}O^#gYeh<){kJNg6|dvbwGYo#x>8idl1X zEwO@$=Z@Z?dB~At_*b)d5X|l$e7e<^I!3}|8WFIH&$%6ejlX77$tkzNsB&jh(R~v^ z(Qo2)BnU^f)Qf;;9&4s-P7t0eWA{7Z?Me^J-^R2dsno(+@R(MN=_WQ-z#WZN2fF^_f*45@Npx#6-4<*$QX*nNJH?h6l?y zLwlSF<2SN{4&Kn`!$^K9J%Fmg5hMm|4_ZRdmQzhElKdS*InlcqMj4ef&R1nCtX(*( zXqrK_UL$uv-c4%%z? z=6m+w!^K@Ew?{jd@o+WX#I{*}nqc0a+gSe_2&JGGasE@*TKp?hNRRh^!I%T|9Bu2Q zcJQ_lPwZEL^s-HUwmRA2gOOq^2Ppt5Cn2LwN8#)jkmqErGdLc%&BIx+5)uZya*y^; z4bAR?HVWM@AgP=~P6Llm(~*b1>V3An$O0k!o zmeYELu+y(6`FovF!m&(Vy==XRs3=j*s{?^-vFh>~El~R2P-AWs@=_*3>kCM?hs_eq ze4i}J6J^dUji9}6Sq!X)1k-s*>K#$KaW@j|p`gbVxLa<3` zwP7c^R@)p;&ZHb$ZV^AhTrta>3D8L-5AuQomm}JqmJq27StoXj;pvk5J>zT)?(!7! z%|^1ujZqZa*%7H^A1Nm>=kf9Es$i#Z*xhRhm7A#h z!87+}9x+B`{`Kfs`+an$wzsCe%NnVPShm_xj6g~9#qIaXAx`qa<(XNTBDaR3UlZ+~ z%VeZdm|3d|x>_0R1Mc(4qzbtqfgeLHATv+9-=?;c@=$N5dXC>E2^(J-h(UyNzBNY4 zzQ|A$$=J11$fNo#G0XFQOek}bxkPomY@&0IP=RE3Y#v|i+C#z|dKO~@7wvg^Vj_lb z1h*T_+n$Yji85~>-tGGXyk1A~7rKQZ9Z0)fVx&6Y?s%+crV3iGi;3xrk7{_Ln10Rn zTZ<#)5B*#lI_b!DTih6`CQ#R>{M({~fhgBvi(JcElM#tzc|kn&;e5xdf9|RY^R;(7NhT z-EDVIoO1#xzczh%KqE`$b_*@1hK_MU5us%D5)v|1M29oYIuHfx^W>6uOXwr?nw7BX zBz3Tw)vHv5CJP7(^%nXnQl&l7| zW0I-vJ>C~=3}Hk4MYxd3VR=EM(wu`xfrESN!^ z^~|qLQ8|88Q`cCoI8YQvoX6zaaXc?gJd(HpsXWa*Vsa?&^h!Bp%d{RlB`da<11j~i zz-G7SIn35oxp!j>%?0X1%z3 z!@8qW-z9)A5eUE1y>f0#t)5dCvF%#5nz%L<1Zt-5C6~*gD)iAW=funE4m9Vt998aIQ2vsj;RS8TF$>|=_$9gI@dZ3 z>(0ul(BBzNZvP|`1_M>|ZL9Zi`el5df8P6*8GRZLtiDyGZ=X^f$tpL}FPhK!pkv(o zNm;%*_ARueI5ys=MXvN(LVuNI<@k9Zin@u?ukwi{%4PJ)roLf0VE-Xdd)16poZfwD zM33E0Nt?O#e+=e#ZsvTwh(YJr8Gwrt!TV2MVPq*<<|NV%``;%S z`)fSit445ipstu{w30sLE5U?&WpTSu>IQO|>SHXcRkO=|RADr~+LMleZnHO%sSdCH zJPwQX3+qCYSd4e=341Hkk@*7q@&K$B_UaTS^Iw!UrWpyZJ)rhxE^4b?bBwpqS!fOi zR3+@@4U*5Py_G|Kg<6kjeFd_upVcuO2&Q1q`ERCW#nUH6a)&}YU(X!~gUf_#%;tb~ z{pRw1Gzy9-R_P}d{vNqkciwN!S9SY&-%GNjg`M{@skYL%#MBRMAlb@TU(^<4$M>~r zde-m34*cKC=Mu|OY~Nff$|DDejhI5I(dOqamQ&3AqA=!Cht{`ilHKzUF%cpBexEn( zAr?7b2Yrl}#C!R|hbOZ19vrL9uZ)oxX2;-%e(G*=i(Ez42qk54i65%jl>>*;nUV$4 zMF>xyE(zb)W<||q_KF(glupr9E^rnRsXwS)JeqU7V=9F$09Nxih$X3t&Rj{Vx-+83vOm~fKGrx=j&E+YezP> zDM+&a&=|dO$E^1VUl4ZhuaD=!2KDr8(IG;p+}E%vU$JBq3wYbiS==+OHX3sqmq&8! zo0m~fg&R@%mAv?bbdythKs3miVy4g3Kqrr6C3XYE-PMbm}M2gYVDDO1^oBOmkeL>3{R2L|zEaM!=*^>m)r zs+qKpD}}PV9`XbWbC3gJVO`g7e6F=El0THoTq&n=r;#HpvCj1$9nQND?YOM zCVJ)*ngwL^FWJUJ)KM2y>*k+()Chfy{qxI3{qt4@UP&nb>6S!zZZB$Z#YGV?vfOSB zf_2O8&%hUtQ#D|@@%#uFNK!57kBkf6q6idw;>LrD= zxO8!Dq`Ja64c)%a!+U~nZszG}2Olg^udT_5{B5AQ#cs;H4uaHxe-9Yw%cD}1|DN%Y z2gxNz*hx_1Az@IaVnnvvIjUmo)7K$#L`#nAbcvI6m&$=eXu=p^F1mT-!KNRc zt;-*l@0_ysm@+m>dMPh9-a~0B{so7oVZy{5qa`+ti!mKV!Yzg&o{{FbL=^K55&lCx zCH>;OkwzXJf8Vr;6&}TdG65QOe**%cZz8}W!AMajIWPAYlBgq{+2y2e#6{KfUsTIK zKbRvZ@;6*i$s6Th(>1kQXF2p_#``2I;Hv`WNLc%vocMl!O#gp+p5-Q{iY8SS)t{;# z?FwBq)1S+y^aY36a)Vhy^2+zLQiw7|1g1axTyJJwVj&;1j0C60c z?GwG%C6yqjP!dI4P6heyerFJJ&C)DcW0boR%-m2})#u$@LC4vhOT$UnoFY-k5mD;w z>ba(SGCMY;zDcKc;KprHHCPtDsl~7B)fQU{@+EomkfAx;^?Z z-jn|n0O$;Kr2P~glRIVNg(N4ABzQkLd)JN zS`jqXyAl8iVe{lRCN$d;s3*)w7SY@VtcZO)Bc)I_)iBPlVyhTqztqt5#=e$+LwI1bP zJg|Oq z0apP*aU1|<3FdIeyql{L6%9W)lZ0E(LCTbSd7AAYN}6*jfj=PWN+4&yhwxJjHl+j< zE~W6P6bEm+B78$axU4kwW^L%+Ir67os5Aq%(ywzg0u~XG!Wf|AO5l^rGW5hJ(cX@+ z;w<^!&rSaFTN~ZJn@HO2LV!KjeU!)-Hf*yv_nFB24QL0oZYWtk^o%R6he@EXt zUu<_XoF@%a6oTsCK^PC|#*F9MXTSH2n80j^S1$ATs$B!d-*6TMCMyeY-ple^BYW8U63)dV^OOTZf1Zq!lX($~AXCJUt=%-oaNOg%&?v_6+z_Sk9q? z^BRDyT6lnc?hj4AXp+E*$8EcK7JgX)@`CM!sVe@yXBn1tWYTw0`v8iD{q}b<*hli` zu6>_Pm&;JNVbN$R4l;M!r_;kF>@RlX0CgUI-IRZPGsqUpat zI-5fLA%j9Vi}wD>YZsfM0@ET2BXw7tN8K8Lcy`_N8g_^ik7 zM%88Ksb*PPNLE=pAkGH6msX1OU-}AznEWkRRFn+NSpp?!cGR?j zFQ_mgqRN3m{}o+*=|C%tMhGMgZaI$6JL*f$X*tw)nsqk&PefKo%G7Fd&tP4MmX7#o z-3-U`unk-YQ3m!MrN-~CZy-BpX3Sc4{EAUaEA9NDFibPnlG|~qNdyA_O_NsKuhbLl zFh}{G2*U8J1^W*q>Y>o?y5pIHNU zff7gY9^J*#AE`+!`9of3Ok^an(*UJsLite{4wgnKcFRM9v+buy+dOM7=OFf~8iF zrg#UXSVs&ghGm~dhWB70hFUl9*oy39z_r3}y7WIG!xwuI3s_!!#50GqM`l~geWT;K z#3Ky|g7IH47R59wXf!P(*oqYs6E5~A>Rxx;nc}}Qg{-aCKz?9{KtB4wp?>E8CP=WT zW(2N6B3%PpHSYrdF{AUuIKwz<#~gz!_nS z&7q6$S}Tt}AvBS-hCI%@l}O6enH#C;%f=ox)R&w z49G<7r<`igphOR61e>tA86U^vQ-s5CrK~}DzQ)tw{U0!HHr1%j9CYwn#rgX?VP$CV zBZ*(Gd-fkCuJZkBK=yaZHkCfb3pM75Sa6O|+nVJ4xu|@%tq8-7cOnGC|2!MbD@KIh zo{iKF|lJ2+kWQy$c}ohA#jXu#Lc&;{C#-0Hq0abm9}&M^wi+ z7BqDPv4)sU2(eY;1N1$e8bYTl*63xHkOiXV2S6y>+`(s|8H^sx^ZoxDuNh^d=j+i8lW{Cc}|33V3uG zdc4X^hJEiiK?RH^wShvBtT6&LP4cy?;=@YmY4^-22nlbq@r9_e(P2oiKIQlqd55lj zIP{;nWiO?m)``?AffYp9GMdGnECbvX16UhTJuVQeNifrC0ym76390 z4if%yn}i7qz)+6Qj}@2PF@FE8O>W{)M)0OXx=_piGD#ghE4_aU6Raf;Ho_+Tw`b!w zSycvJLY_W-TH;Xm>*Nu3Le1xH7834ie>;Z@gx@H@R7vd6k{ro_g}0eJ=YtRTp)20TmscrRPq%cXI$onyF0yQlHn;DoB+t`$>6Wa@e(7 zXK5C0M&Sj0+~vnp0*hLqMs$nqB9G}6j?3o|4^ujPRw5BS^{}A$a{|+NouJq{>D7f+ zNT}U5xJ9iKK*UVqJ7!L)^V$Rxjd+n|+!1Lci^4E@^c^9wQmROOxTxOhIr2Ga=Uum8 zkcM+(I4iUE`hL>_jS)JY2A{D@C&pro#zcc(EtYgJPMYd!gf|3geJz8XBWrZLfXQMPPuVojuLw#-W-U zh3OZ;TYsTuKn?z>$2^QzK^z^GyWvw@WB@cGs7q7S_JM~eD?CkuL;mnnUGvp~gU zkxho1=cdCng5_%QB1OgI>D#56?05qG8${#sdfJZ#z98trxjCDAmNIjxsZkb4>t65{PSnFfpn+AKM$Q zZG(uH;5Rgk7fojt=DE;HUO_~%qZjl; zbxZO<@)2{RgSxErQgXZ5z(?rJ0H0Cg9*jRN)ng-aK4GE9;AgYy=@cTGA=;R}JPQBo&sskrmc zn;F`nGg_yB0nC;-25zTWrRZ@JD__`Dz7u_+Qxo;mBkCik-Q{;?NP3!o21=T!Y zHQAm}cd5p#Yi5+ATW-g@$Z`kDM0%7>M;+Et!Ls6x8p#Ge@zC^dUa)l7O6&4gp}hj2 zB{V=+VY9k=mNIyQ${KMq>}X6Bc56G%xrSR5v5dbbB2*wO1}U+Hr)BO)rpa2LWSx8) zJxw0may2xZPxM2sqt2{~$j8n!ZMv@^sl2vh@ln-VZ!n{Iw`u3 z-V~&B8b_iX*rj$h=flJv`yJA|$o4^P^hrKBHnvVsDYuVuenp|PbMOJbIDQPEq03h? zal#O7snp2?Q7mdIvaW;X%}t+rbSXDdCDutjbrxVGI@XcER-g1p=@-L=^Cn9NfBkAd zKhrRj8?t+y04Lm=NGVI!9#OBuCvdOUWr^N&iNxZ^Ch6b?-s_+p6RJ~D=7ec^NBH?O zzdc9;GGTR_D`HE2WLB-w8vZE&4}yFWTQ3^&_f zJd)W{(&hT`2goD(l&e%h&o&LhL4jo7W;++lnsLJUZgzA#G*F4B-!M=DxpZ)ufP-A4+Vd2g~(3}Bte1=7; zBe`$P2heDN0502=1X}8Fhc$4TF?8!>n;QA?_rEd}6)b;cy1(CGn@%$3iH0uB!UAPq zlhH&tE*HRdn}{*QZU}(7YWd^lk~Mpw4X%u~-Zlz)24Z(YYv7o z6MI%sGb2X`GrN|lDR(e2gOM{GSCSBr*i$0R*;x2xZl@xgsgpK1Ax^?HwPqCzV!kM7 zzsyg+l73YRul)HCu;FtgJI4sl5v``2w`PNQ>=3CvN;og&*U&G$jFt{eopw>HH*}~W4K~l?Hy6AyEUm+ z2)nSfT9Ez~_qEXO=d^v7xx{GrwW8C$L+AWt;P=XT^0`>W{@pm&yb|R^-WscRw@Ml$ zbT7> z%Srgvm8j2J-0V^@FZ>7O_YQ3(0)SxuwNOsG2L47``ReUkXrUR_pOQA0Zj7LP zbc9XBk!@g5FGX|Z5!|ma12~@Pf@R1vO>RK$!73T7v5^YVXbGygFYSmUe&)Gw%3s~I zbvh9{WH=P3ZbmdB`$P~J>>D2f|1Qp-M7nnlh_@Kr(ye=^Yy(NXRt_h~t!Tnsx)6jW z?&5TngB8Wnz!mr9%~uVsdL8;nUirYXtKza`(bDyxiyE-O9b+vK_khFB5=0r4nkRyK z7m`{p>r^>x@(y{XJ_3l&Q^mqJI1)H=n;?C8s7*8$q+sfhpeI2Ep5V}L&!7b1iu;E6 zkd`z?Dn+e0fFLb449uLp6eD3sA0mGEfi;U(7Acf|x5-#iST%6qx${cXF@}^oIrThw zw$}at%`4c+n|U4K`Ps3CxR&e6Zuf(S*1Y(zNHwj)u7yBacXi3$H6u$sOqSUG2g5b# zN*2w>$K%%Df75tZ&eZbP6(g4wIJ9^mlX2@6^E7QA-i59IAeEzg6{bIhEuJPJx8!5S&e3)AnW1_w?K|rcKqvLfXHW*;?e+`QRT-mSO$HRxOQ5_5 zZ%U4mB2JXn1E?YAdy!OTR{re-=*%Cnhn=umAHJ2?9O|zBa0+iw<5Sk+3|tG;gdCXA zmp=%)1Xa(ia6lRn>CW4Z@Env|0xchO++nKcXh&MN>(^7?sLS_!4l!5dajO5JJG*h8 zty@cQBfDJl_p8TmIq`2Cz_+?%Z*y1oUyawty4uz8$HQE*8twj>>0&`oM8b9mFclJJ zFRfL;mBGx4Eq9Af;->qEJKuek$wC6!OTJOdhNNdI101<~A=@>6^^FVp1B9LsED-7T z0mbXO_UZD!V$D1H2vv$!fokJ)R>Q*EZb|AMxwDm zo>ZPUyqTsdD1Me!VGT&F6Foc$4V7@zlw4ykpdY}Mn!d$2$O72&CR?SN=my&ewsW97dE`URy4|ES&xjzf0cY@^^I+-hzgbPjyUO1sPJ zlg;|{{rx!`Ifh&HX1D+Tf#rsje`}TJtyF80>8F_N&#Q)RRY=)wb2=aR8Q}R9YRbah zrsW`tX6+Bp_v`PS`0IShIk_NX$X}^aFN-&ICZ5z2NX-hpI(&dDIk<_X`=?j|%Wg7yc3yARyf_(XwSmcWBImp~ zT9TF}M6+%@Xw1xGD;IpXjd7TdAE&1`en7|4iCmzJ}NliVUwBX-o-|5&pw3j;R084Nt-6lRZNTa2Wa#f9pGD%k|w1sEoG3Md?TmdKRz{ua4g zQ~eA7sjHfgH zqh9Fgpcy#R=xpds2;H{B8_UZf)*2(h#I(clv^VzAWcR>{lg>&+P2IL_wYwF8Hpp>> zhfb=pgA|#bVeO5}tnBg@JTj%(wxvG6DmFb0fuw^Jy%BnkR6Rm(rJmV5nWZ4Kr9xms zMNCir+?faHjVrnG1t%02Il2El88ybSr?!tq(9361`(!`%7J9Nk&ZK0d2coRWuec8} zL+0OH>3ZRa>jeRBoIvzG(V$QMei3)^)M#%fUI;L7Ku`CF6g2IQ-8RKohLyz+0wf){ zC_TdRzrqSV5Wk^*RCuKUuk}U*8n%CQXi|4sRoIbgcUxy`jXNAUOi$@Oum4M(GQ!S$ z&13TCY*Ef2_u?Y`?^u)P63ZqZC%+jkI@4&mvNku2Pm$f5{a-cD-T4g4o*^rP2veVD4)QPSs6A7k1yQd^XnLkaux+^kE~W|iw8Nh89S&7G7tu?$zi_BB zPG(wT>noU7#wbPs@YT^~vt{p0Yta*Xqi|9DHFMUt2Y@cRvRq4)n_v+!Q?jHYMbXOU zymq!KcQ|nS95apG%r;a;^XnY|<*p@~@fur4Wtkk*aA$r_(2>@_yG>3bDK<9E@FKVh z7GX8l(qts(U)%TkZ4xI1;EfFGv}Hjd%<}2;@ZZPN5EA>?un4}KSiZ<7Bzlim`EA|g zc_6LU;S;kPY@+pphm5$eGw$PoSq^iqIp(WK8Z#%1uU0E_4-DN!)i3k+^5yn<>J5g% zX$fn~nnHx-Ec#&PBiA6n2-;@)FLUpf2OKQcXI37){p^9V3-(^-m2Dp@HS0tsA9L>- zzzE!;>j*wrp6c+c+Sg3PcI%2FZS`>aLWTEBD7pJ$tHn#fiU!m?_qq2VQ+$rP1=fbR zH&U&rU(eEd&t7659Bg+4>H%vF1D$n{eiEy|Ah8U^PVg#xk3!Eo!R=kt(B$~}-k&sm zqnx!8o`J$8vzlrL+)kFKj+om4+xXqXj^WwomrHc_SBTuk;HN&o9b{zXT>Ru240Nfl|WwM(}-p3tKqdkro8G9Kk#();-cO88gZrf;`g$jbPZz&Y zG=!;ZKEf>i_SfWX%xx1&Z@?Mq)jys)GfT|Ye7M#L@@w1rV=tQN4y{H~OYhe5V@>Vt z%dS}nISW*l$9a`S)Yr*sv2BrB#7291mfCi3`6TG`8;*_ngk}LJdZgUB4MHx) z8msvH^uSOZGo=86xtD!*k&dCD5H{VyF7eP+VJ<%z7^T#Uk-=yRFe8^WGHYf2pUq%d zmL9wn3pkBH)233nJI42^q|W`0iZ6!cLg%Cr%OdB!%G-~tKa3}t{lNPaE0m?%V3qE| zCubXR^tY4K>h=|eB^COg1->DDS@w)eqbhHrHFP5%d4tpr>T5J-QaAtxG6 zA>zhU`$se0oe<)ENc=C#afq~wzK^xYb=}m{Epn0}!eKn5Ny)KqewC~b7oCiHLxZ%E zIQHqG(B=PKr59b;FOT_Z6|i3lVboF9o^nwRgqswNl;YAaK=ReDgKdn3vcMN(EI>k8 z3?9ETcdk^bO}F#Y$uJk~$r&DI!TvrTGYb|+pNIJg3Rz*hgdSr8gNL3)%UB0@SFgPc zYHt;EM1C?cslsTweC;F9(rS7`t4gB~$Q*r#Zb;PNPVUiN0S>IbnADRlF8kbDC_f5* zmDc1sWJmp(7ZTc77k%fY3(mj~8_`W1`!#khi{JNP2$TMOJbM&^j}q_y-G0wl_>%BI zO@MHd>ZqaQ;-l}DCa+^gHxnb{) z%tbDPL=K;qrn#)NY43*D3V?s5M7J^&P!robRRW6f_(}~U_M-ko`FiR)O>NZISgsHF zV=X6(_nlhr(0k?Qc7G#A8-VZNv3&2hW+I&mFz zLY-sv1%S~KJR@(7vtz-L`xbxGQsA&w=S*$&IbL$dxl9-9VeLUKaQ_v&eQf%CCAs&^ zImhJfB?GtlQ>@|<8vYBqd}Uj#qPg<9T=k~rQOGqy^&G{r5^&R@a2Z?KA>qvRBY)ID z%KXbu>3pg5nVY+tvXrkoA}R8HF$;+BnAA?6e)e$W}1l+%W8{q;@ubSRm8BJ?dFHD}FA?4SChbjFYG84SR~)twG35#iS_9egyxerU9kR zboZ%<>d}gx@GJ$P);;~qcJP>FclgY#=gS7|+rL1XS7U_KvNC-&rZ3c_wHigj*|+#4Owmv07tLT_wU# zm2%7O@Vi1<7h#7zyA;IZT7|Y%*HNsfw!op@S*awG>F)}~SN*4h#V}3@4C{r>=$im~ zBo$$Y-K{ggl>9%HJ*ZZN!imdTL(mfG+hBu#%sKFux<3?@LcFVIHFKgE>J9MB;OcVl26S)Y>UM`o=FyQSo zB_NIHo)E=fpB&kt^aC7O29Z}p8wpI2%W$v8SQ`pS({!N)c9+e3lBc7(K!$KmT=x^Z zR_LYb9I=sO8b@*S8`bw9areqaIg~i*+`)&%QUwV;lQnVjTI#VbmyMbZnkCD`Eva~6 zrQjQC3E~>cCyKDDWN=jUqSC5o#1+OTW>@bs>7m`7tqk)M*-IKik|*%HkVU0TCkw~4 zk?comwRd@|=bH+Ce!G8KX_FlnI?_?TF)6wbFcWBA3+)sb|aRW zR(oDmBaRgz1nMfQ?zzl~u2N@@HI=K&w4Tep36ErL8<~>}~_jhXA}Af8>Pz z=$4=~=%v01R`x&yL2g7Pa#XKK(50M-b1XWFZr2u zEY9cA;37j*alD33lPk;}L+=(=j}b@Sm}5HYOl?&q`ZWe2D8fZ>7jo7o*&Ob+i+V^{ z@A#m>E3HlJK&GoAgsH4*`DqE)ees+JDQIp)32qyHGnY&L45Y>VRXPqao3NXg6}Pts z#ASa@C*4Hu|M8GsgLFKJW(^Pgl*VdCj|68UFonz`Vt{HfnFMTQ02V{4~3OQv0D@uPC;Zy=oJc zy$!ab-;$_xChTinChRfqGDbtD?Etp(Kbe7J>(Ts%oMjxQur+u+c_T9q{5-zG3QC;|0O}XSLa3$SM)=Y2Q=xhQM zcW@(Mw=8Im(KOJK>ki7(U>Jb>Qh<<#?J!Mtus)f-fCM&}EELnL{Fn+>YAbJ?}uuUTcx6tzx4uQ1iWBGn0#gXyq+Al3&zKZ$yQz+MjCept_ez9g=>%mX6 zE%Xj{@bi^G57(FT9mR*!-(|S46;J0~ifZQ%gO}oy{Qe;iDo5asF1l}|K;NK3JjNBD|HK*Yh7sYHN@ql zz*@<=Ehc2lUtzk_e0GQ~-2q}kV&YJThbGZfUvdW9iA+^ce%kPXT0RahLI+v2m>K-q zBs@M4z5Ho*!7YAim9FFyTfKi&y|1xflGv_4AF{pR@wh^k8d#jN^bFZ$c}9je&Fl?T ztRbhhw+?t`p*#7>&Qa4%C!=xtj z?il{^5RUm;xSxCB=%n-@m2jUM-@ZOCf1@M$uN9?u$YXA!RvFP;zGDaJMS0P>629u; zdv%6seof9h$Q&LBvEj}V_aOy7e2^z!=g1LzQ{w2K3gKeLlK#DWtNg$|I+H*V?-LE# z@N=fWq=Tzi7#jJ6za~stRC_9Kp0IdjP@iErlRPQz73vBXPQu+RT5Xf|_8&;6J@~Y( zyfMLH8l>(x?hsEOEXt&C$uu>3S!h1SvHs~94>`fXLI`?PY0}^TEE0=dy^lOvCT-b# zst+5 z=Rzcf*$|Y~A2SJK?9j;!sRk5xzUf?-*=q?PcbUmE=zOO;4|={f68#Io?Bozi9UkTb z&la-_O2KJV0uOz1skTl$yHL#%IBk05W7_uCmKzb_a)J1s#Xsdy$d|PR*KMZn1JIgL z^?{5szabkI@{Ncdbrq$o#4+oY5n%%UN4a3OcO1|AgOPIm8taIzm0rhJ zBnMuZ<%IM3*O>2D)c=7m5_XSJeVV|A_!dL2zL}i`cmPvS~H3{Soauuj;m` zQrv0`gAoW9)LWr`g3aw&S&7Mw#fAyR^_}9x{Ks$=qtOthe1WkEQl+YR`iY<05L!u| zS(PdiQyp9D^dBuPbI}?GDR$6zJdoz9%9LzMH8$tYyw4vcTrnp9O@O2aLy`K!nZ+2S zZp)yN$!8gAH2AKNC-^o|7(|Q#_~KolQ$-y8P6JAp8LFS4^1RIV`E7dov4e47cx?KY z>LbhiLcUSYZozcC90ED7k^`@+yfP7;zAbrLI|Cp-TGO1Yr4%-?PP$uTK~K6{hz|ex zne!TTlydVh;~Ls~lLhK)-!Io7fyIG2uK|RgN&C548|;>&U@C4pjqj78#?I+cBjiAw zw-JPMsFWXTqTPFQuqQoUA!X}H(MUY8F+9$~mHZ{tkAnl~tN4Ny>Gp{dF5h=exh#mX z+!J1Br(f2Jc~y%SXgqUMmX>rV6oTnilLtnGSw3%;E^=sW#T*>m)0wMAO?0RZnQM+@ zOqjygeI~@u7(+9U`VNno7m=~m7q_0i_dCL6e4wx)#znWNfncr)Go6_&RYT&X+pJ=% zzEX6iXz9yRW_VY`zF1yY@aHDxyEFMDkOqd@JP7$2aT+9p|EM?9CwGmGbQb#+S$qz~ zcs;%QtB7WlIiXU$v^a|$d^0g;d{=`O1)%>V)frGhD=Y$?#Qy%98!@Vr!)S@u5+FXnKB4cL@aAW1AwB}m5Pe)>NC&wQ6n6xDVO@8(7Y%QBLxCfgmR@?-n?`rD(tK*{ zChKK(4R4rUi*#99fVb5Eoz?#~D~IgCbORy@ux0vT$|STBqZqR|HN!UU#Kxz#nw`F! z;aniii?{k&$Nn-IKN20RD`u(xKQ_5cf&yYm@)U|GUfPfh&h=xsJ1p(~{Opc*1El_+5 zHdalN-~618GQ03ny{Tixxi!X3j9OTu`~HDuoi$}?z({Ds!qL0A{}hod(1qGQF1+6k zlzOwLWBf-M9CZ{|+w3d4(o8I%8Pjvh?*p~|e+j&CY2230JIU1hl9B590sgz+1FP4nSvf# zE+iDmg6Q+RN{x*s@(gf0S<4v5(#gsXyuiMsimT7CT`r47 zJ*hgjQzpYsvU({YJ{ZvNd;X3+BXt7vW9Ly0)tGO($CxC|%oKzJ>vRQ68F&Mu4VTO{ zHaiD38#kH8cD6rxRR7Woi)JFu+7Iv;^h<1n7Ox_NVFF|X4FXQCX+nFbdbh6A7xsvu z4bHF(v$qPbGZ*g6+-aZ|(w52ka~Q0Ec%1(C#j7g z&poe&KLX`1Or-Zr{MhS!;4>%6t0=nQn!$-6GBt37FYFE7?^zqNV$&l@lT7ovCMNVS zaiVe%UCy=IW$&U&WQDFfcnX#%D&ty-$#BHH{ zDB9n!x>v=Oi}O(Buqv%@NPED}teXkL9UmZEZ-Us1kkw|ECkJ3YvA`CqF3)^=rHXVY z&KW0GSFBPuR9QM}y8<1Pgp~cqy!_;f-Y6vwp^XYS1Xy~h(OVEmkhqP}c_F2)8cB>o zw<1#%YgEkJ;uK67shfd+u-bYlir_@M@{)q{ab3F3NVOM`Tk2GY^SQ1ZntE{PbqnD3 zG0v%DOgrGj5Ri0`k8r% zWB`Yvy-4^1FG!-5@jZQVWkDa`Q*Yo9*k(%r3=3m!X3s98jH|KafF)PyE9TlgvN%N_ zs*SF**j@j=_WN%fu`WOM8QPDqS@fsdH8M9>xbzj+Fim%_$Sa>Tk#{FCSdL6YszxG5 zFTC^)-XHb2yQcS>6dk7DpM@sfLJxZWS|rH}n<6_l4A=^N z8Wl-q9#!`#xu(<&w%AK****9ZkiP%ai1l2BNXVhCrw%B{8_V@JS*DB+Y32D5G|;a{ zJR1m-@9xx3eamcKm$~oW3^yr--AP20W8XwPqccCe9%$XLGJGR^4I*4_CH@xl_703q zkYhU!Qqdb2>U{9!=arw!&a`hy{#MEU3Fq2%w3=jBh@#8cqK+K#`zvds-A{M>XC$(A zBBRE?p>wSMAM93$3ZBpuNwH~5_#fHmgldqIIm%MYm({iCbKUi8-1Fr0bB^;PA3Yv% z$R46sVNPD-T7RQJb|FMgM7)Ftt2M?f(rTdxcI(2r!j2;+Ba9S1<|eG)09x;%!m_48 zN1ePM!CQWk$_+DmdP~{vs5peMUC#MntFvf6gJ3xWTyKu+VKCvEgujmMe(KIcmfQ+8 zfw~Q275uXTL`o%xdOe;Orbq`WL%7{uilmn($d)gxnW*3yN}j*nf-9t5jHACRgll1_ z0cW4!5(!8O5L+kc(u*K@3EsCT`-a*T8O-?1t+DJc^XG*9S44BoT=|Jbzpv&4@%3F9cMe z44yBClc{x8mIT-NeW8*JmXL;@>yRq>IrGh2D_6*_f2mscuh;~mE{t{B0lPBiU4Ijz zcco7ymzq{(6!wS#ocQXWZe`oG#Ht{^k5LHJIr1om( z2D-B%1l@#EL(eJ`q?})icoig;u&0=Z`;E`S#&ulO%3arJ&J#M6iS_Znf%0tKr+J^V zMBU=eloX;;G0J3O3MFXaEjB)08z+);w14JdMWzt4V-04gR)7gpl2OgZF2j<%+S80m z!Ht3-2bqa6jwdAs{F{L|xA-R|4GhntBLyt9R$a)It+BrNeMdKXt{@R|ONBHWX{qvi z5nhJOE}bPy)n^)@Idz$8{OyTgCODZ2s@m3RNJPW-v&3VP%e9doA~W7gqqwoVLiAPbMJHFG++EF&7PL2oZ($TMoMakY9A z>jdQ^6F6Imk6V`aM7xO~BvmL^W25y`*_wJZ%o)KpL_ZDM+sdD#D{0Ty2d)BM#|Wm`4Vl`=&3(}SZWbVL6D+-3*Ka^Poz85 z8Sc)|d+jRaU*W3ewCkt+74{Yy_BOURH;=n{S=0<}*%X)Gon~3G0TNvYmj_KsGhbe+ z#2RToq=cHK$8tiIf{4ep1DJ9)IvCz7@VDgBD2aJ^`CQ2l9lpxP0~@sTsc-o=xvR`i z%7Fjg)}Bb^o|ezN`57;-1BdzXcN@YKo}YL2hJG3<^10k~0u`QRq+nsDPvlSU=cMK_ zgYV8U3t!uosTVsLPE!`@Q~1Cwdu|^c1wy@v(gX3%{IC`fTJ@klTfSrT8L}$ekWEPB z&{fzwM!3Udx1&tU-voL*j*vlk1kE_|ti--zWMyEG1nMvtk`b0qKD+6(C~oD6^cJ7^ zQToH>?Ozn?!~*;QGx!hzHOk$dUT-3**bl>C$A7Mp65h@-^mp**Wh-ZvV0~$5oH^4e5m3Yd`T?e`B@ow*u$6b0hGc} zJ3QzUGI^s!kt7yT$hXS997*7jybqp{AHNL;oL_&~Elv1vlo;j`UcCH+k66(HE!*5u zfp;*HJexlBTc|~8GEQelQ`sNs4+lHyth+n#n_}%&M9e?0#gz5_xZI`uJUPMW+vL&i zFU_mEBPxYqMbPxFS_+{B)^N35#HMV7g_K+m(Ev^B%nQf zWD;8ZO|m%lE6a-z>ICTzDVrc%Q8nCx7AiGLJ!9AbGpd3F{!9UWlTwW#Hs_BdQ@m4{IqQbjW$z#peqc!K6 z{YR6{cv|}ed#cETlGTDlo_bP)|-PL3U;K`$g?akAl>V^?I=6I<+ zdM;u$b3AT+y-37S-iK*0@Og(M;;MQWP92P_iQBN~Nc!hGVwS)fFn4d-9zk=7mL8U* z)km4@frZ@iw|_aatR{3ww%vlRDPr?yI|;~$2_~QZQxT!!wD{k$RpC@)N`^sc_i1s+ zR{h|^QNJ}p=hHa?JEK_oeZhTN>GwYVC_oHIitaVBB}`1vVG7cj8opgjn#XEc_iCi- z!IxFP;=q#T!818|a)3B!|83F>h+oL0^rwmkY-PNeDsUG5c55<1SAO{>uNC2K8D|A@dFO|+F=BgKyF!WcB^|`GG|{Z^zQ9f zQHa+obq*AW!$^rIcXE$Q<}ojUY8uP0(^ri1gSp+?K!s(Y{xOT7O-cdZ z@pZ+y5Pit4kK*)r;rvTII*3ec{yJX_*mTNpr23mXgLNl`jpgyLCnWxCqH-JMVqV8Yp8XOD6uyMj{dK~Tpcl8 z_<-RH?Xr5B7sxo8A%6OvS^_J8c7^nZ**kect;_0DZwP(P1dxotkVkmi%1oxDm(MQa zm$2mW9aCGy6K~iSOGzEfi-D~n-`9rj`W}DzKG-Zh#BhVc!uHR)FY+v8exQNETK(OW zK$!PGxX?TfeWK?=14RCV%qTxc!uWuq?Ce?r#fnyikXv>0gqJuf3$$fKejI8SxEi=O zqQ@M(A!|dYbK!Y6i#>=NK3WTD5At}GPM!=(uMy2?x+x1XXe~gpn z6bSMN4@H?Q$E|qZ<6L5Eys+K@_18$Ohy?i9+R_Ss9Oy%im8Nqr&68HT1SuKa1Ajli zBuCW)!})}RVU^`~$3PAkTItJ>dP@{iZC4XCBW{4S=*NQa2HNlac{x!Rz zFk4B#IAGm{PvHERjMtJ~wB+=o^uFCaBHU)Uyj=|-a#;zO!Y-7lUaX4zzO3BBm72|ebt`~b;LNpF zcz8j+guJT`|L`()b^Pb2q6<{>GN>Y|@8N^XJuw5?s4$u$t^hzD!$K)n=Lf9Km5(S_ ziw(9nt-B6e%kF|auEl(v=A)}3l{cov{C~=_=?>WHdVpUFp&-+7<&RYE<4?}?bwY0Z zM<%#^yhsIUsT>8C;EuBm@8Jqwz$t?^B=@shqVyAJhadYCKKG;H?0 znEx7KaSj(L$P{$zv8C&e{BTZh+LaeC=y_UreWHt}`7;1e5mOSnEiVU_aKul#=eRA%2c+8D z?K#@ntc>Iil+mT`erxA%i?8;XjnAiOD#4SbQ$f>lF5&$?eWck?UoXG9AozrWwpu+8$E%yCU3cI|YlBes zA%&;iqXhHSoYY_H&#A)fpZA*@+e1rf#{NR*bgXoZx`+AQgfSQC$BJ+2Af}!(G)nO# zZYh5e7VGta8!pcZek`CcTIb??EgM(j^D(G2db5mbBvygU8E5JK2palULlVUj{VOVK z$CWTrN|vVey3(ZLIGU?=#EDp(v0#~l;any|`G*gy(dAHz7jt&I!t|#FJtpYv)Zvn7 zQ)kXH%Z57+P$(qW`l81w6uT;dAHii25l9$PoP<9|lB*Mt4IO!k50$t4`%72Weypu@NWWJTI-dFrSqcOk*gX0?ajnGvbrNuafe6!l#HJDI^HYd0#Y8_PE?7?B?BuuMf4lCO zuT2K_-snZ_OFU6|<(v4*{W&ZPJpS?=t8$$#hh!kdiU)(g81;M?u``Aid{Zu z;end=b3HyFw`7Wb@>1G~la6wY2$Su&mx>4V{Vy@4{4X)xrLP{5F3>Qv(9%~`*EYGU zk6xUY5em)mbau}y(XfDae@T@*sGF5KKnX-1`SZWZ^zmU?X=qjTHgaW3qizn|p2+L! zWn+Jg%(W0DS>hkqodFkrbk%I#HM}s;ypa(Jr~wrTLoKv@to6rGR7C%5emu(pPol~c zBo{u&K-Q-AVR;UEco>YI<=#4eh9;Z=ZxPJ{{%8T28@OtNrri4|5VrqFRqGFhH3yNz zA4lJ~V@`@wyyjS{FcP3VJ4#$AqQB8#rQ55`eTtp8gHos_M}{f2IfRC(-*OS^sk${U z+5bz5Ta>2klu~_F9`f78lr`l4I8*h4DK5%#Pub0qCEd6{!8c(op0#LP;gk*BE?d28 z=F+zPZdu+oM=wd1OnpgQ{UpTVv>L=>TJ%3_`9FB@!&t%E`)3zf?v*or1!*BY?F!wg z&B-WdB6sa(j{HzN8uFnVgwSVaXpYkQ4#&C^RL`|d7(KBS#2L<^IYuO4Yjg2!hk`Ap zzw(bAMNYW)h!Gu>C@v#ly{tBmpNvIfb20aaCueglh>+W7Q%=>xlb3pX)n?qH3+s8W zJ^L{mh5RIQ%6HDWuOwKE3&p{TTi@2>_}HFAjE5+7cSk0MQRTRf2;cIKg32K@wE^>K zSnP_jRNQ&{so8uQRshUE7$ham=toq?`t! z%hco{jek!%<=Qq6eOs3=Cj)SCU2*&4Mq~;@0H!{+{FiM#AM4DI`aXQnG1C(=dZhy9 zaBqTdI+gaVqUcq@o;Gxd#;@9Whf#b?zkS%S1398>gpF< zYvn$UyCnof{}@=m!M=Ku#eKbeHuR$(SIor0gbYF;goz*8;D~i;h?d9%$F@XJ=)SK? zJAhxQ+3`E+Z~e>%ZY~_uSG|g&_H{U?3K_T9EzQiTsS=QkXAiB%$p!EQ_#!4UvuPEL zT|}I3=BWaT&Y3uU)A%?cNp`Q(zaZokm>Vnk>2agz5th|HeLpHIrNA(~YY5yqG{bn>aHdD*O6s9LC+xI>r-NSME8#eo^s0km>Ci=rHAFK3jx+vUW zEZ|B|xSX(luMZqV0(Jo~UlmK!Okz!-K&bE5YIcQ7h$Wi)r%;{?tcY!F<)UfuLdxrj zvsWK;Y<|(R$U`KlOSsM3P?aGwr!WUYZaj!Z73tE(NPYv`8<%=_p_*x==Y^HbDi|V5 zz=o-xZeQF-L%=UUjRV4zJtfdI@Va3fVEOYup7bZXK6wTA<&@!eh#5M~+wU0qNDO36 zmeoX*uaMc66ntvE-;LL2zdZC3Iu^&dEZ=h;Y$kL`*-WPWjT_}I)drXK^ITvdha_iC z?0HY&N*&c?aa9J)(twB!4886yr@sr${%nrjXz6)BQrHjk+GH#XC@A@yAJaZ?%DFIZ zd70^dcxsy_oK4cauKh^vE5bQR0#xK0LgenA3*GvLtuW?kZ-oD%5O?)+KXxUi-x7@L zDJ`c@80Pg${*Sb%r`FxVjxd@Vu;ZN!NpvJ$~c}K8+;G9$sUS~oRy^?HIHOb8|gV9F3!L7qaBw}Q+6|xD`QD8 zuhZy-wPw)@#v90Zd@(pKv`;El;Hf_;jCZ<#N=L~y=|s$OR%rPoiWG$@hpkmF>gdN! ziT|BtzFAh*KfZPFy(hvSCOyjOsy*x2XncaaXXC z!3anlyrvAF0TBnm$dcpD;7OTa!7owL0O}Ku=TqZXUL>kDzLUEX#WYlP^@YeKBXbb@x6aT!bBEH7_=B}!)<#3U}aa1^h8>PjRQ7gm3>dQsy3d>&%tUIxj80n$$>c2G`VCgsCC7wR2M7b}h3Fn!M`Q z@O}e>;X?fj3e>LkgY?%Kq`EF*sdY?w*0OGbR@PLnOY1M3yDBmlYx;Hm9UbuQ>B$~F zDeYyhn^BtP-AzrfJ~|dX_q!Eb#P%%qL@A$i3Y3?-6sO<;C+GOnm0t@E1SQir*iawR zZT*17QB{toU(JgH+`E6-e(*dAFV0E~Cg%?_#vL>C)nHsw-@$jsOsY#rCAwN!6Xr!( zFn&RTu7c(^Le>6N;-&a+JnP93a<_WF60z%Bps~zck$xwa&r2h9Wu=gy=o0yo&Y@n; zo5xXG)0~ycNa_7oeEAH$6Tg6=KAUIXL1wF{H7KiEtcX#xc@cYm$u{uvG%YUXO2b3d zo%1zs&s${-IHPybh)W0S7y%7iX{qOJbK=d2{^=CPj(zADU;p{|qz%SzwRPClgiKFb zC(9RtV^;E)`7oQxPcwF(C70b9$87v#d^IhuU@LycFnyl#y%@+b(Uj+Sk1s5_Te?48 z?3BmpFp*k8cs^6xU9+_d8n#tx_O(cE3)*vb$1tt?t$Rj-)`GCv#FF%|l_@xgczPKL zbLpy-m>bwyn_@N{!2z6IofLCGg*+XoFYYQTF75sNN-m?;_Yqlx>+^18W!ZSuQn2;- zVW}Fj`pka%h>Uj7*X1h1ljW(r)ZV^PqyPZz8`8q~&K5=Iq55`~^cA02m@tx-cR)a( zCARl8c0Ol;l2(R|gg-LErxp8;mRVmlD2)*!Da?;$F8QUtGdn>)XpQha0 z&@Df%Jmkx6q6WD{3I93`r+eX*-A3*2RS$skkB#joHP~DJ$Z%F%QQo|X*T(smZLiP> zq#)yvbDo1NsW=YZ`w7NmP?s&aX~N*2#@Ih+YnsyJ8XXtAd2+)2ep7=s^^J4rH#HAf zm;{T~F$-T_W48Xov=_tHD7%P$RTSDyyXQQsI~-9@GzhWRnj_ zCO*H6PRwAM$1w4w?y7k@9rg2r0~njp7}zs*_IMIK{M`$0 z-Jk!Qob$P@aD(dnhH_v1orS<%k>y(7cNaWbLos>P4v@Y{!GiKzV)@RC0pORs=|`}O zN}%i1)BO;SeK(ptM9?;~hs~|)noCHt^udcXS$;Uw*qVfknsc~nWyUgbNWnvVtO1fl zcN8!k7citSt&wW(V8d-=yKf({C|u*MDN|$b{G#aF#B0q}T9Nf*{&H-kY8@u{ct6utl-{I}Txn4~d^si(MZU~#}16elW8 z+FaP^chZqPs>!21g5RXnK4+9>>+)__UTRHgPKg7lrRjj8^M{sE{}0t6HY-oN1CRXV_ zb>U(iKr|CO6x8#T&ky+B1J_PJ-T6mIgen3nRU}>QfoR~*gwg9;-9aa@d7i3dNK0#Oq%)_16`m^cmUv>G(bty}dn_vR1TVhEF z0nI=44CYP0Bb8JvpHQxkmQ-ZCB9T-Ta*(jY?xHVfXlg#9DQH+uXrt(?1NXC?;Cn1T z_+*}T#?)kmfI5PT-AkP_jwgrhp_V5kLwg$3T6DPNLhk!-bZL=7+xHK3U-6!6?~@Kq z@1ns*_|9Va_b*Z=Sdfq;8h9Jj(|{)W%Hu?sdWR zlg1dBBgX7OA+G$Tc!=AnY?!%J^4-&y`*AmN7nMKclF% zS;Hl4x&Q+-IDbE!r4&43u<8t_FvW62ZL>!^j#!q^Fq&wHAblJbM4( zuSFbCCvbP&ZT+ttzb*M6IbObxVWW=_?Oh=n6HTGp z_epyCRhaCj@Js~@B4G!(+(L;78pb(IQu-~(9Qmykp7x#P+CCv3B;4xgtRX+2yYkMN z5^dqYDytFOvj4O2kZ}AJa)jKucfB2bxA{ELWY)9h4rk{ZF9-vRd{Hmu`BSc8@#BDY zat}WeDTqaLeD&FQo#0Kn){U_atf(I|s=l?u=kZuaW*J>fbMi{0_W+sOrprAXo$(f~ zT-DzQDTx}TJh|mWyPHY@RBh?{So)FSBZO4N4K!(6Gnz z8IRB}kvU4IRB0U@>!8=$RnN;f9CiM8d9N!bp&C;rj|;vs&xhM>r~<;_zt`!vTnJBG z9$U@6R~S@%V?g{@s1t5t6Xur=dJ7fA>sW?9gy^d6IA{`JQ2}E{lc;`Ad>9!#gm0@y zKsIS)89Syfzh=ff61Y!g)kPbwjTHJ8IflE6^;&$TQk9{600|%9yo@v4&VUeb3%8JF z$^0#r9fE^vqA)_z8oM9%zit-hDH>tlxsVB6QHUNakc)nErh3Nfft`)M*o~?~>2M=V zP*c6~YH~o>INlQv2EnZ;&sovcO@iux9TQ^H> zcxprDoU@x6kThmt0CU|g?rbYf1C}G@(j{;!3IuA3?Ou&HA{}ZZ!-h7qFzxO~R&(FQ zqWmxKaGKZU2%8gaVbt;n&#fAvqT|o^zET(r8XzQ_Omv`r!9OoJis?KR5r6%{spueG z%5yDxlc$!MHA;DXBQywfAM|z;tz$g>(^4gMX1`Yyw|j+qYU&=7^}^hnDzvu_qkeS^ zj>GQDx-!5Cef|x0DIPa#;c8J83b@Tg#tO4t-OuE2fHUPn-2ulH3nqzt*=x@*Q_As% z44HjJ0}-542g~cjxb}upm{a6-B*8y#GnuwwER?;tUzvtv>8tDt;aKe#ca$#7G?X>~ z>&F8Rd;Qp@Rt#_{(`d6gY5h>gEAbl_1;p?l9lju`pEYD1l6VBtogJ#)ti<_#f!tF zPK$x~1ZwXvsuV}?sC%NlxSj8ztqY_!m;JUb;<9{3PNBfWcq_*4Nn93W+RhF$5@tol zo1vt(z*K%*Mb%{e?#sAb=LAU9xlunpUYpEIO)QKb+VbF|ka2XTq6_^2JK+&^%#b!@PH!VjcG{dC4`DqyebB3#v@>OSv})zqidsi%xYKd5t4>L+~D zJR7Zf(G+>p&c{*YHyriciq8qGx~6v33mAEjK8uQu`m1!UqiGvUEj|pJ+JSiKs+`D^ z*y>zlHvRiwX>S*@uFnM7u2^OU5^PphFInaD320&~I2OXQi7=%XsdA5|ZHd2`PxQ9) zU5r)0IOt|J!l3%BV>PTHtcv1pUoHOg;D{VJN*yLX{X+r`Y+T-E%U#k3l@m6pmp^9| zyaoC-gFOqL^}ky>&8#RN+_FIf2;_3|{bs6{ouv64;7~k=0oHjyZ$wb|m{> z3SPgYeW?B~u2r&Wme=kyEa65{HPRe6(iL@L^xlJfR!^=2lGdsTMzg_%q_s)~X)Ooq zsZxxmRf9)*nXde}GF~xrstNUam)eCEvvd(ZwN(A>7xOJW2ifTMA{*bf7NdRfTq{8| zYbLH`fp4B*3lKa6BAP*#ETSQKHCITlq~Pv@)EW`?XoZFPX);xvgBU*lbL<#w@w2&b z8+MjS>s=_tjj%+8KK-grfmqtADIH9WW84Vl=wA~2QM@(8VD{tLLO@4>Sw^(EEXE4l zD1?KX!N2YY5oq~;>-JhTb})zX6g&yKJ(Pgz(ppQI{prrndGly7gN(G$0tNZnxGiHh zEg=CUUmfxOc2kyF4xJF2VRn@pBW`;&?70%223u6aKb%o(H&bzGf#BJ0fp@wT z!|b0kC`~0z!g5+L%W}e|-2>89URb9sNOSqE3Q~kCmcH=t?oe<>^;q?mc2GltSmi;L z>TbOb?h@A&Pb%^$?OBu5=g_tIWZno9V=_{2vMrv}Et!)EU1d%O7U5ws9Y6TYGv(R|LKd`5wH^Unq<2pC4l7aBA07&UD?0T3m$d;jrum1 z6k2}F(^A7Ss^1kHG`-AcN}y(&Ec#-8ae9SjJ5CRWpY;D}C(#OAMTm*umzJ@8sT2DRzK3B>ZibiS_8>O}4U+Uw?t0DYAp5nwa_W=S-bY+Z$E79+K(BpL}Rs4s+ z99hd8;e3A}qm3@G*pz_seQ{f?!PH|uSZt`33z^Q@vq=BEwB5x{nMntYxac>O6hs>& zU(tt7OIM9J(mt&0Jvp#%-1pUIH+ZJs^;Tbs*1J8Ccojw-8DR=dh$o5DUs#lviGpxR zlQwW!>oNUoS1{Mvc2_YKt|{;b{6biml5KW?CZIu9!>%K(ft96?PWXCS+F3bdlb@_M z3^Qqs^ScJ-FnWzLq!@VG6T^xILVL3CdFCrvx-cJ{Q$Dy{X_sAR(k`d?=6qC>M^mxL zC5Q=n!~2$_Y)*$seBZAx0wADLkkkq__rxH6fWl&g^b$;uyW`62T+jt8neMYg6G4i6 zVSa3&`+*`tVGbfsrGsh6y{tvPCso}Ma2=NRkriUNlbC@9T%WCL-Nyym?7v+zmW-^I z>Zy0m7-MeE8lUf}qkdTTi{e9m)yqG;eD5gVWNN{!W^iWh2JXtZgiY?ze=9qa^@fQs zQCF2~YbSzfg`XguJ`pZm<^X9}aoYtB%D^fr*QvWb)>n@$^sivw_NK1OGQ;5}Nu!H@%=uo*TB`a1KMTniw*AIA)o zhpKAGL82|ani!(@mkv&4&0``z;*&_#0+Kfh!a1ujQU~*ZKN1Y7O=el3weuC0O0wWI zDYjzpmY5%r|ANZC(D|z#Gd7^acKe=w-f|}Cu0Bsi9{0VfrV-O zPF?VKB$(abk}Os7Mzoy(%KibE9L?I4#(|%T;8fs>{=o6jVE2*@J4L9HKP~7n^!MpP z?{WFvQCeqZ)<36}S6^Ehp#?|uO28Ver@u(3_NHD*a0H5C{Rm3Ut`&7XAz3n>dz!cP zjnaOGNT8|i;7Nts>e=7n0bjAjcd0F988LKEhY=k|MWk2^Wu#DXZmAGI3lSKK_+#(X(1A=0vCmK}RXKMy`6(<3rwWf8yJ$LmyJAi@ch#TbrCjcN;1dhI6)> z)~18bEWMN@lONQnbeDx8advtXW+~)a{e>xzAl1HJpa~Vc4Tp9V&>pc72(PYHY?o9E zQQhzL>qYMQTjo;LoI_iK-f_gX{f}lV=oa5*;LM3pwJ_$mb7)LskFx9;%0W9e6ts&v+%L&z@s6pvNgXPR&upwAy3~HcO#Rg4 zc=tTLV1A9j(SEnr&Kdr|=R>9zC@I4e{5ky!F%Mk*x;qArYGc2{Wu*m*aC zVxu+|3K1!xdpaD-zC4MzK9X_5sg4V}HXYi+BRD{Uc@_&(bu2Y9#lLVTAu3mAmjp@Z zhaEO%Uyeqj@^hjGm@)oJ)`3m2o)2p)do#SeiGTOWN$O&2YWmB5nMAOhx=g^=2UV4` zAHSo0Fib9oe25dZl|>S|f=*fI+SLCvH?a=7+Z(@R zj=KQQqAiOw70vusG_$~Cv0q)}i#uf5J2ROgyiXEJnUL%pZA=a+t1l*uxxpju zbUgU*#w_5G3qHv({CW0c!Zs&{4r!5v%)Eo5a07)X?LYO6?FyPuQS_^pZsEkV2X2Yw z9o@#YpLeXqp3?XSTwsG|Kd|#*Dq2uGRbd@QB#Xjn*H}CAM~)CP)1SOYF4d1GKFTB`GEI6JspH?nNuYDpjm1YCJwF-?^q>QAl~_)n{S zXmO*viGn#>fX>MqujS@D=-)?=pPg6!z%HzR&pdie8|o!lTlT^`;}OtH?Jw5*7#YPJ zlyx}AGSj_YD0bHt!IRp~GWW8Wb1#QS%qV#}t4eDbebEEQ8kd#Io$ zZ4XI`fiJKb1;)XjeJBIz#XlA)xnhbH44ljY@^xE{=XLqLlB+bO?9Cp+GeeHSx#b1{1M-p^jYwYZTe zaBzTPEV0W+#Q>{oF!SpNMcnq{13-XOfKMWM`iBP5%$9$;C%ZZ}Eo-x57)L|^X$zB7 zfL%OpJJSAwn&tIG9PT-8I{!R#B658d0Q^wvycH>5x(Uqj+B7xwp&kc#Bi#8=zYth^ z*u_Et8*Zx z_|3;bb?0$EpO})e%XJet<$AA%mKW&*6aH-%w*hip%0#T#Z3+sb<}OqQy5$+b1tJOP z-W8l&M0=(-et0ul!juv|w-l3{x-OvzyzaB;9XxXf zL46Z2@=}si>oTL1If=HQ*UsWN-j;n5+JDMV(~kQYV~RjUC@4%ngvvrRuYV|vp-ajs zIUhh#9Rd)VB6WSK`ss=;ue|bx1}G6`W_CrK*b^ZD%`1Rt*i&BAAnu`+hxG*Nd8VPs z+s<_0t@*2db6dU}LZ4Uqzti&af0E#Q$_Ch(qha1^EHfWat9+qE6`ymf8 z3hLI=_Pb7N)6+-j{JEsD$oDrZk(w_|8+(d{y!?(Q7Bol_x0JfWq9i_xp} zV5U7l2&G@-a*B?~zi)t>K@eo&9NUHkW!pD3(x!!EZ_7V`IU$j=+awNWo{xQCuiWvI<*V)5TqJ3nVePB@l0v znM9Z~_3~EWo(S~S$tUu0{M9cKVoEL<+fNigAxSDZ$|}Nu$xn$`FGT}vZQ+P!^7#e& zSZ>BY&8rMF?WMH)4K*b6F^AUg=jN>Vy#Uq&W#J>&I8V_1?15fbO2X1^dWsqAv(*UL zihW|7ctBTa=y*OCMAs*#lk~JFR#_bKYGLj(AxQfz!o)Dw{C0q`xgMhxbVpfD z8&f}FTTud+Wlnh?_dZ1B;*$V~r$HkwsI6|5`u;M)J+kwQXM~<$b%|N1UxT=@5uQZA zXjeWncQjFjXxfw=&sU>=IF4o4^hCNAbeR-rVBJqh$3R`v^N7vpAjV z*P3w#S}Hp9&3n+{6~C4Ly7?`C*FsbS6)9` zXRzt}29ADpL7Jq8a4QbAvki%4O<~u0Yzc$|@@Ip0DY<+}imv>9FdMR}MHgcwB~mOJ`y2+aDc^XuuQOD|G69uZzZT7~ z*#hLIUadPup+-nlM-oKwcZ^s$$(p%4-3oC=`hioet8LW6)eHmS7}`BBNxv)b)c&sE zH97V4nwWO|foqs8W1n%^Hs|K{Z%fmlGuO<`!JFA+UnqRw8&xJ8+tyiKQ<<1GG4jK_ z3Ip=ru8JI4RW~qLr49c?3STnO)N8NaK>x9Yh-9`SJZk5Nv^t6L+$vhg!QkKCIG=an z^?X4`UW8Y9Ov68u_aB?i&YCl;4nhsg-HOR>krz)P7)I2De|vny=CSu+b5o6Hj5n)jJ#aucTYu%wk`4mh-HPV#pZlx=PSA zM2iYm^JHk!w}7CA)Fnf74I7prtG00hbM4Zx2Fe?giZKJ?m-j)ggG4%$!sKG11jnA8 z3q4c9i)J&BbmXqD78}=hY>|yd={vs%Veh;L9&8mBnX?XNT?f}5#c4-KgT$asWDLP^Og)Q^#{FMJ z1uTI7Qp7zYWmUf9O4#hNCIug#`3^xh`55SBY!9qhX_8i#&s5*&beW2q6RRjmm#+61 zAaLn|xl}ziuw&&>2?k6GwFM5vgXnCwjY*euwyCY&gR4I$9q7^R&a(sOW6ezlZ8ORL zK7?F;ty`WExv0IcI`+9g4ze9-@*OHQ57RY(IUWta=xorh|MPz$R6wocI2o6KTCrwa zMv`w+r)Y+&L7{rpgt1cC{a`iF6?XV~AWXfZiQ1y5{%qyiLSyj3gYww10Q2Z_ZYg^L zDRMey&V_A2odaYcn;*-Y7_`*YGPYB$ROz^=tKHOKPRpcmmO`N=MoPpBVH3&pdC1PC zyyC=6p_wN{olsJ+5SpBm@?Wwv-(OnHxcF#xW$#e|C34wA}WwVhfvCH1h$X zD8p8vpxwtkpUA(fJl6;Ou+9+C!QlU57#yf{9XQibZnBjSUf?Faj|H)@(L9k*xe=72 z-HP*${6-1R#}UHNI989dH~Ctoep3uf^umFBpHh~q=LJPgE)oV58_@`3ELyA;;NzIA zrDl!W$ACf@Q2TC<=D5cOcsIoi?vx^Wao?9%t*5!{3pEy%Dq^QKmCa6E=efTi00g9j&it7P~K$k~_)y zzNZr;Unq1G7v0g9!z7bh%&uL8(`eR z9=g?sJi7{EKy!(sH@A6^`B~I|JV>@P4xKg!`{N9oOb!85KTl_PHdpR*{ZzqIhn*<6x#>vU2Gte*CAH8`{ zrT_LW*}U>fQ}ov1xqr8gTuTc!y6#sjDMICg0|9$sc@Ju})psmlN#-xpp)a-!xmI%L zGjxCJx&&u)_Df&Um)rOhRN4>(G@+KqTa*u)?AQ-IhZn5uYrK7x##FM7ChV-$3pGRe@6@xXlM74 z6Jf>cmhyv#8U%h>S)uxlKUi)_;D?vhrKOMGr}ACX)5#`t1+VH69}6(bDO?zsT4EMt zY~5lILA<|%n3qSx3mRwu*^T6m>&R)rY+VX0nw+}&yC)gpZk1GYVWd+mCND|U#zuQ? zablD+0yAXW=7|Y)?D$)}ecBDLd=a&+!hpD_8a4fBy6MP5((fPV4iJChTT*ZjII!S@ z_0vACq?l3Gx;lQz$wE>}hZgE&*E0}xz*X6dmBH|R0ewxfwJ(8Ba1jNm1u<{=)e4iF zg}NimJ0x^Fvt4%Ll)Vq#$oNYe6eoWPN#pddV=fYk|5I@ zGpB#HS2E1I9#$O@v9Y|a-7zl@)}X9VH}uuad5`@DJITnf@1ASIe{;%=88+itWl2eH z+CuNj|CnR5D%UMxVfV0gMy35~Z4x#*q}A>s-NDU2H1&tA+KT$m8k^2R%9$?4Eh)B% zY4Bbd#4UJ$xP^ESw~%VwW{3P*8#b7c&H_Pd_LUf!^fkey7{KRFG+~;Wq8Rvy#!7gj z;)WYCg*sl$gQH7yynP_t?&y9BeXY~q=kP#L=_mvMI_IwSpGf_@zG{MOZy zPSr#I{~fWDA~m#9zefMr3?eEu@$sIE!uyS7J+kZ!8qdvG`|; z*j0dovsP+Vkdc1)|v}Hn9A=&nuW4q zi9UHGvgnd`z=%zFA;l~`=PTWqi0GTY2AS*d>gaMB0|&&|DbzltSm8mlP~0O@NfUDj2v6l zPyeI>wex&XnR$7`I@P7?CEppUx8^~orR6m1<$)C|o1Bd-ZzZ(9zbpcV?H=9^`=Twi zZ4P8#uq=R$y16tmr8=O$V}?S zfZ;t>!lhg;XjwL$mGbnBZI=C&kY#kz>ZtVK!JX*n%+XLJ$2$1*AuHX%uQ|rIF;IV&E=AxxfN*ZrL zqw9d`g!X7>n%LtS`$Lh=I2MRq0L*crijkp7%W|~NLGxFxL1dZ>9o?wr7>j z*@MFWd1M~& z0(Bh4m*~7Y_IoWnobsgTGu?;iGe3o5oy$#kjPbq2h>~AvvY~~ThE$^87`FV! z;(TX{;t&{%97;`aXo>aLi$<>3B)d0UATEck%(BW`<~prYL~4w99kPs{aSm&%Ow}bk zIao7;4ifc}26ZaXf2?(sJ~5`WzaFm-Bs^by6c*umot%5m{|~%?PS`NB@?x=3R0B~| z<2CPY(sHmM&(?DIXJA-h;yx;*U+UpR=}Jcve}r&{pFDuzgWQ=H!HtiKgY?O|OmgcQ zMV3f=z}&MLP0BuHQ5Q3wNY<+%u{kgR$H^35I!hE{C_V2mHA*Ny4@1CjGdt~sM#UTWCEI1R=N6j2zO|=c&wLZsHY1+ z)H2~A?I*`NQPX$l<1aLy_J687)(+L$s+^i<@8f%o>yTaYypbYi4w4P`kme_lZW|xr zX+=&$97KB=*)fPA4A(^;2EGB)h_>n5By5|`4q(H>g^5_r4U6~;_*A(K?Y$mxxNZam z5%DhsY+WUX#rm*wve5iR&g(%c2HF$flggRk_n0`G`!^ghsbe%qPIhC9Hm7D%#e7OE z-;|vl3>uF9rrYC0)?Gf$tJ`p-_mFUp38@F-KB}M`)-_|0O}gCFA@rf z^@!}bm&i*{p?DEeNTiJFco`_I9x^wWF@o*~P``IM*rXY+bpTwjS}jT=LzuKY4jw5#4QgPGzQXja`ABSd?khk&+mk zDGu|zha@?}^IQ6))x4^u>pz0h6hwo&Ptl!@7|Thf?BVk9p@r};CTDRg(e7(S#Rl<* z(j!BL%vCO6nVJY_+yymS^1>3;}h16U9t)=8eBS4SP@D4O7djDVwx4QP?9q=1tQjodzEDU)Vzom3Tffb}*3 zB#^u+zPecX=!7Nf>WwH}+{dfhRFH*%Yhk zovwYWR&6^1gJ~bZRWpZx*xAcMN0`KUWt#wD`wHF{qdU;{MU?t*qN@m%=-Qvx`kQvuZf9DFGE0+F2;ba`VxLuC+3BA zh#_@SZrtT<#94$4k)(+#_VZ9uWIp$K zMp*X>X1R6^Z63f;|5=)*GFzcp+Utvq2Zr*u4BAdai*-E9?FA|9t;*-t30S@1qcb`` zzkV!?`X;pTY>HG_&8D+d5b@A@#^agFM;RiXyt0L4!Ex6AP)^7({>k}v74>^Odq!{Q z-1sfa_J24C%7VMg{5gJN8~xTvc?t1RPc!1XjWyWf(5Mu@8GDH=NtTRodplM$evGij)ftt+iSmIJKGK`PQV;d zccA=j%A+F14n99zlS}t}#{4h4wmp=~&k5zhJY}8Tr{@Of3l4DV-w5eK3-I4vq4Z*2 z1Bqku24$qT!Geinta$g(eslH(*-MnGHFfr`{D!8s$Fzs@V3gr-F=YF(&1veezteiz zf>tho!!cF`w0upBImAZf{`tb^n#UD|`-_cIiDY3{7H=~0+z`=?hsT|XLKmsewJhpI zT{NPL&|USIrkuEE+-tG-@(Ru^mQFsGW<7>^s3 zHjH1+WQX?*;%hF>t#4B1sJ>SPqbHZ;wGm(jXUt)Uawkl>$B`7eeM@KjLXZHHXmWc9 za*%WiEoifo_&yo9IS-Aba}kkX_firJk9#W1wi{&R4?}~0(ej;Uu~!$&WyC?i-ct`9 z?;o~2*JU;QXAvA%_9{P>_^i7Y<>doobNR%mL%`5l zj9rqB-`FQneO*=iSjq?FU*3t)8QzLY&qnT*;lm!WO*&@6T||g?4_x6i28iZ*=m5zx zFM@7IF-G4xVf3RqShEwb>;(zmy+49Wm{n&m&tZZcD7#?ZEajF&uM%5eo)WLB#3$-O zg#x6yufc0oNqpefnIC_ADeVNpA)kYOZ1-Tw)%&}o4M4QdbnyDB3(#t9`7Kd8Uv}7x zI$r7W-OAq%>8T4)VVzwi3a`(($c8@*-MPQsozHt%K5oG;EiAE4NfCSd^F#R)2KtA3 z5JX-7$U?2dg+KS*RWfvHu3Cd*2uAfT)69qO7|qPg!kSO0b)I5#Uy#F|(zOYwCvv{2 zAMS{oDqJ{+K|Uv|JdF5L?hrNd_F`u?siTu)^f{|JVQZl!+y8-eqvoh%J1&0oz7|LK zBRki&Yh5b`Imb^Wr zi-f`zng1`4v7GBN()G{lMel6Eay2`gy?$*k1RpaSd5@CH9=#7#;#K&HV6VJpL$9&jZa9U~C% z!*<1*4D$2Vyt&}DVRyGT!0C4#3D~D>~QjiqZzpP3==tkt|9rdDPtVC~2{s+s^_SDU8vnes?b~AITtn6-6`-#?I`bfCF<9Z<@obOMHL^B@2@147`+_S<^q0l` z4RS(H4&Lk+J^=O65o_tbzFsROoDjF)q|V#O=xgo#f&!yDNDxSiA<*6saE1yU_FU(g z%Lc9RTj*AQ55#*@Xtp5HK~C zu3z*nO{%mcIE`@0GuhQu5?_B)wQV#ltBLqNRo$yEVWpl`-qpk2m=Pm)81HD=i_^i* zW#%t4S&P%bGB>k};uAN*d( zu`HRDwR&g4CGczf!K(9@7m9=z9l9Y8Ahb?I76jDwNpirX>W$Y-N*(@APn!KVM4>W zBNt36|LvU^1lRTD#QpH;#uO6*f9ImW^!aV!NJwpKx#*(JI66t5Bg>Od{B@&X(yOBy zt%a0eUmTgG>$}b%!{RJu>k7n}jIj7t95KbS8k)Qk)V}~$VK|A?e9j;Js)_WBKl_Y0 zAWc;k_E)dukvXt>0xpuG(g@J`h_SYeO@uvek`-cLAMhQ~_Iq%T#tq|f8Xy}V&I?Md z-JoTi?X50*61o-_zI}iWoqO7TBNh5vb8f8zeaY%9w_F1w)~JuPcl;PB4In$zUI6n9 z6@TO=PAh~`Vu_h3(p|hY8O=y10wiNKZh^9$=hC<&i_pEB6RRfdtUBOM`}yb2Cn@O_ zeBaxstZINozD*%Q;lN>$tRO>5Kx9GUU*?#o57GCI`I_!Ah~>f1l*Y`&c@ zF1eIf4iUXEWIaxLG=xl?eJ$V7yeqK86mhQA*?KOc_u<7n!roN8L274&#^nr*$M3=| za#iySM?G{qD6J$q7!gfm^+5*WaW-+f9x+;E6@MG&Xz1B_DchcIXG(5MTxv;8w70W= zhcq?VmHWJT&HL8fufufG+D1if*8PBpQN{-27jey2y1Z9)9HF@PLt5V|eav{`-;(oh z+mzq7hfFQBm19m3x+(cL6eaf1omIwHu&1W@prJCMZUwEFxZwO1;}YMcjcd*n>c0LFMUHSXw1R(;DtvLI`DHUG}+E zLZqvcGc{CBlj9i5%cwSvX{eTkc$Xroj1A4sXPp)_<~50kg*QnZ0r1josk4@V%Mvr> zvQHG>)0-g&!p+TGnB7`ULp|WER?CI$d*XqYk-9ZbP6g0q>p`G8=Q76_?qugxVGQsF z87UL-;`>}390U&)cafR2Yz2UL_mL%!(mS{RC>jF&-KT-H=SyduH}C45PF5_RI|O{& zzi_v{NJ5$o(lk&Ow{|lXlJZN+ODIwSE70v8C4aET`&!KzQn;@Sm7c4&=iOka%LRF` zeR+Rha4H<}{)vJj6urT=A=6%})OZWvVd+pg#G~h=r(&JAkIbhVyy1MRZ1xc$q@6!N zeQK;AO$vbjQ&wY>ylh~xM4yT$rzs!I$VF(_SK&}xl3PzO-EHm3M-XK_1Ne1hM(fvi z;&*7i{i4CNYRIHH>rOul$;W_n3eBoR#y1^7jbdE0z;RK69@UXydg}SN&ymhPsSr_{ z@3u&e>G9=9s~@4cGLBVU@}u`H(y0MDo?SN$VFfjDBm#&xw5y(0DDMU3Ds)m~m?5c@ z#fRT%I-H;QBYJ;uuew1FWB?OdTH8mO!ev2oA>zfpO;lDShQT<`Ko2Y9YzD>w#wZ7-WJ&@Bh97WDn9h4jy zRC%gby#6CZkM-=UU`wH@HAyatSL%lja@|?P%80~WoM<|m5-3iAT6XCY^{rrK%(cM8 zJCfd$#Hc_Xz!dM+GTO|)v=Y(zT)@aa_#kqsMMAzDu0vQ= z;qen>ZBGxLzOofLkkckkc}vmJRI z$MO?HtP^fvNu@K!DXpYW;pr!+6Qn10Yo?(76zdN=0>J%dw(u$s*mt}rxaUD4bNTUU zm&bzC%I;ZPJ8>*cilo`K`obqN3;F&Gt|#ycMJbRsIF;N@216Oa_IZm%oGA^O-OkiE zeq$DE@G1Ju6_2e1jI>HpmdAmCM4IA+RcFYS8Xr&12a&pJvR~IrnJ9hf^~Oc>ndB_O z{{yne{Wzh)d^8Pf@VR}QI#y+f!u3Ap(^&mZ_c>pa;qIeheVN0}enc+4|2wtI1dava z8_w78cT-8*zdMWlXA0$ArtT)Y_;-RvI(zs>+;AjOxWo3pVCG7oOw*o@jh!|IzdoBy z5`GBlQ=|HXn*!RiIO{;-b9yxF$_f$xol}-ff~hb5Y6j zm1|D1Q*ytfi+VJO>sdTw&82`r>b*k)FOw-U!*P5ee`2E*`Hl=VQ zYHXKq+qT$HWq0BtIaAt7c_Q4gC8U8h-IC~PGL>BLat|ei2y@^%vMf;8=t7zo6sv%c zlmca{a7*3MA%1KFo7YsJioUpJzOcM`d4T>_5*$XVLn&<8=c2Q==VXzISnIG~2XrGy zzxeHHF_(+nUeHgqSyjq&9a@1;DZ>k~w$h;U_xI9iODKaGcmpKlO-q)>m0Fcxp)NwP*uC63OcCCm^P#j#77-*rp+1!&!@AK!PG4JN#cVZHElY-G@?*Q}S>% zWo0CCt>wkIiz<@g!R?t9%70xvLH0QV|zCtZ}~S9&?&|l-B5B2`WhnBAtN<;=o7i7 z%QPv8PVnq(3yFH`x<`nKKi2j9LdKCXK`-{E4UcU)>knc+8&!a@-oaJ=nW(|Qp2*ai zf;DQ6lD6=MZsc`_ha$bg;e3_!)t`GBt1%I%ZN0;7+&F%5fSjhWQ92}SO8WCjHOWsp z%a(Huj09(-P}UP*!0C}Vxdoa(okVA%7KzHrAN45SuSbK9;}gHl5D?%kO!hf;b2gPJh@=rCo-}jtFbZ4S zYqp?qXrsVv(lJuX5s=&!%X08Qi&)#69lXfyY|i58QJv$*GH8*rr|Y{|ho^rdI=z8f zvWWF)3eK{(wG47}KkYp^`NKr`6X4B4Kad2Mwe^q8V!%~eg;R$~n61j);Ic%buh~*v z!o4Uf*X96P!&FLvNc{XZlqb*Kit$6crlhVft{ThoToIFLx?GJbEUoI zz(s&u6cFb0kost^=_qd**$YP`WnJ$mouv-rmxS?T+5+*O6ckwBQm zH9dI`pV;iGDhJZ%jQiXMD;jY^LP7=%PYy;kyk0eRPLq_JZ#e$_L2rWhulOY#opZty zNmywWA(8HeV6d|Dodd}Z`M-w?GHVH!7Cof=f?m201+50sa%)3B2l4-3++Utbhd3j(`lp&!({Q#x{{id zCBwYEX%nv^!3}hd=oH%g$46Z8%b+-jp`G{x{_lGe-;X<=S7Yk50VYYsxe3c7KmPa~ zJ*s5?D|MAJ_1XC)aR!!E+&nNy3H$0AF7HUKXqVmFQ=^rp1s#mE7oLJrk0KT&tPm^p zjoHHysU8Ibd}LHq$TVTmfVq z2d@7jGhPyYYS^)2y2xk%jGV^JY&kL29!|$Z>S9Grox&TX_SQ$%Y(7;1JM5m?OXJy* z2HWGEs6Vcc{pE3}2+j~!wff`~HP&N-QS6q3W9lG$em>Zb0YrOen8OLe=Z1s*Aj>G=95#FiCNr3F%R0Zf31Rz~V)^sO=V`6mhRBCBwmC6& zpwKB_kGmyH9GDT5P9z98Mfawc&}Zg2<9-Tj?g5ZL*xnyUoQv>^nejF9B^Er?Nc~o* z7EL*SRGl@tsR_{8ascxS0j**}vX9Ymj(rr)e59D*o8NVP0NrbW%^TA!WZ|ZoUs^jB zEtvME=bD0lM!XzDvX8jE|BtFsQxg6ab=;GxVD3(;dB3wwedrl|Nh$SSBco{4!xTvt#@XPlaHJ@(r$`dtV;t5tvhf z^z-0E1dluyG92_I)>vo0R|Nl!Qg1&R?@qq^uhW$X}>M!i7Hao$IG znf{lbATK=+j|aHhmLhw{hRm3)@p~g@xBnu$=|=q~wm#H9vC;Ej zx_hH$kuKkVDbO8mN1Nh85n$ysJYq?9O%QGq_T~o2U*YclJV&UGl3=M}b)@u0@7dJ5 z@ON>UC96^~scdd7+3J?W)@CJf*B)?7f-V{;g-p1DiIxl+MmAEs_)?|rx0^m{!(;VE zCbjH12Zn@|*VHS%%OJK}Ma19?EIJDY8Oz9H$zN5*bC zU0z0wSZKoN&?_(cCkn2CTeabK#Pb#;y}6RMBUZ(e%Zn0+5OVvU0GC!%f7cqpe>~Fu zj+nEp(#35q`8R)6QvIqumfv<-8ABE%wsp~;6tDUK8$u64p+7HUv~bF6b1cNXp9q)x1@HmMAIM5FyIbM!gl`WiJ7YqvBHjl4=DH zC34d-N!U1!_~OjjS*3~%k(_Vu&Bx#te5h$p$~2U^K0>S8YWlluG|rV%py5P{PV5Oi zJlfohER9!-rC+f(eGn7cu7$j^7$BpI)a3=kmm09EQ6_Oz6D~sYcB;0LbEo8tgV3+t ziLLUuM~lEMcFPNjKkx$AV@MYHFaAKo(Kgd21{i%sUcEDFg0ZzjA|!x>}?P0^>ONo-@veWTi`*vSHSwRjxf<}p(~P?b{2+bX!ki*e`pnT1ysAm znWJ@hGq}H39p6ZToj#EedvylhtHHVPNK5z-VW4d-AsHn*i_IcMeJp-wiO)7Kg+hx{DD0F=EQnXQ*-nQ24H!24u9zl-GbOqIa#a6MWEF~8{pdQSU9VXuXE`i9o-~B#W z_)A?xE`&chisOeJfforbWu<%yTlPZ86d5=+i5vQK$dt6!T228tCdL$oP&i>Xo5)c|6;5EF$oZva%W=or|SO^caQZ%NMm&nDffb&{Jz$+}Z^V zTT458%j4-q1g{_6Dv&!EliY1=IU%1uePY%#HU`BYX;n+_^ly~e(ooOa;HuC4EVge@ z?YIkbUjiXPnA!Bh)F><+M9O6A+&%In@}N0SSA9$Wjna1XL4F})4K8FGVF(spZjsDk zUc{{x7B=W?2#5lD$E=4)C-)JlA!iiQhrFlC0hq)u&hcTaPlp*0LP5aHYD4&`VKj;= zmZLRt<0t&%d(f_(rVi-_hSyi_;1Bcy=A_hGl>i(L2=ehxk1?^rug|gb4l@fjde#3+ zL0}cC^i*{W&Q>R$)u>`Mjb~n%)$CM(q&=M3i^%qO>T5gO*{oKAdQuHLR0Fg!&CN z*-`SsEc#bNW&9a zBUJ;k1*+(ML)HgM5Iu7gG&wocHU8+9yjaGK#822oFth2DPg;A$l?4M@2;fKUiW=%Q zS7`<01i@5e7WYj3(JU`#UFL-Wd4o0ZXL^12p_tiMZE+?DgvnXvZw?uXt3WO8v64a} zg!CpLWpl0kgXD}POJtdrj$9wTs2?LT&>%e_F<8KBcZT60EZO_Mg1GveH~qe1+3R}O z1wHwH5KZ+Q2%>opX55x56oRCv1UOxOLE*VopP7&bvp}y(fFfMNJi5LSO(uou9n3h> z$gKZT8}vi_2|eiYEp+VaM8UxyiL4asY^FNotX1(wM}Oqip~N-#;S8^Y%=Qlrcy~ra zoTg`^plC1<6Jw-x(xGHo^dE`X#4?v}xQ_Imb5>tT$(Lz7#|izz5i`&+Y(9rj<3kZo@=_LHc5A7#6?bLv zuu}(o==plTbM0>=9~0zY(wc+E(S5Zi7XfOOy?)PVO^bQkJl&Sss3_;YuRCRA0|2g( z(|b?1|5H%S@(4-+no&;~X;lIC&4*gkN_I8vDB+!jgeyKD3P!@{1-Fo-9iQnEcKNfp zG1|1YjTDtp0ObZ;R&N?=YyWE5mA4##5IpEK1w+qZqJm!nz>*7)P_6LNQ@!+5{kF+N zkdmp3Y`^$bdET|gv~EGw*l*uNQhqs24izh;m4XY=r98c64#lSfCA^jl<9H=ul`A*B zmUq*p=(qQrFk?nK;V4Bas@DB;26A?le?FjsXhZ0A<}K}*`r|md*5MD;8^eq0Wfo(9 zmg4#V0Wq^17E%IU1Xg}B1G4E?++r0sMM76w9&B4awl?mFaj|I4E1?4>Q=i=U<9%JI z_N4ah+qmv8e__U9kLi=V=hX#X?={iObE80YmZ_@wuCN}o#GR~v^`^#v zJBWVi@z|~VCEh78=l~WDNt(p~et|}G=3!>8fqn=$LqyucD?^uc_!=PPDoTbhdoOhvI*JCNz!;!Ndl#`n9O0j#F znR^1wGl9`Mi>_)QUucMG<~Lo->*d+y^RD7w3N@#$=#(Os1^Jg@B@RCjluCbQ$S*d( zDN6<5SS|FvBrI}fwG}Vr16QJM3gi5pe-oAG;2vGloJUL)yZs06yh>T|Y;cd5DLcpW zwLO*^X=dDc9kdoT$ad%EgaD_ym(mg+^*7oA^zv8#JqCB<0!QswCr$oMFR%qw#V=~K zl7C>?7@2vLD$#Eh3ab9q9SY2z624&$mjiClr>_j-8S>xjzHJvVSY)BKaAsD_9cgBH zlLN9Q^+e_h;SSkB=AUcmJ?cG+ciq`{irU-x&shHkMX4lAAgMpekR)8_kWJ%sv~kBt zNGqkCe#+A2ch=%1oEYA!Ou)}tk-mJ*a>j0U&$h~#XynN-FO~DE4Fe>V%Wq_rJ-$V9 zHxZLmj1fn{Au1=TU2WsA3lruy0Ty$%m*&MrA9 zM<3OEV$$=RoSC7h=lJuGs$8cQ>TGrIv#w0i~i8BfU3Krkj zx{i~NQn(<|i0A*(SU8TGu=ofa3*c_)g3ujaQ{5T#`l2u(<1$)I%!2DXdhpFA=&~DfDl?p<8th)m zD%RK@AP0xZ&%EFl1rVu`g1hIE!e@gD>N6LV7!MD!H*#Ii<{b56@GW5%0}y z13u3Gvhlc`0x*X8x10~}Ke1+MZkx!T2^HLZ<;-)l-Ap#@UL?1=cH}qIxZ+Wv04e+5 z9(=%3yk@R&D%^V!`mLXiyVKRGm8*Ki?W1Owc+Mu@7slTugR`fLIghU$ zuh;wIO`B(-OI{t|J-7QOOgM)$DfdO02iWOljK9jH>36SOQ|KdYCO@}wR#yRfi;hYy zKkVo&Tf?>+xMBq_r>DJaoBR(K71UNY8*-PI3daMc9q;vJB!AxB%Qkz)O}FnWA_Y7Q zRAI{&4hvpb3yn~IPuFZ+^LujpPl`r<S*S5&(1jpm|w%Z&E?HU2Y398qSDUE|Fdy^ zICZZ%{rWabY@reD%w`K@Ik1S5M`)}w88dtE9#{lBI{bdLJiJa0((qyBhv_L;$2eD! zCipBM-nbjca$v!tCIY?ZnA~9BA6@RZQP6#qM1xQ1#n)!2-}H1xKWDYyC#1P7-tF#l zG~ZAQl2@&@y$~W6sZNE5OWRducLXEGVYov{Is= zH9@d=(dR+QfW}v;Zlfl6v$5Q&#ZIA2D&K>-agaSv;Z}9 z^?y1_rA)^0qF_;mwmiHqf8L04M7QG%&fpJ($104GOpNh+IKdTJG*NvsL@BLOIgBgH z;^2LnaUQ!*x>+crMaUxYXiqtIu$tN9$*n3+6m9WkSE^n6w~#jr4Wv;fN#+4@RsVOvGC66{xmW+*F(U3l`fd{i0o^SR1sIoq*=0*FSZ`3 zc7U=@Xuo%*C8dV0qvG?TZ|EWEm5Uozo=L*a|C#&Ynyy)|mgb*g^-Y(b##K@?tG7M! z5?nPIocOH{*(0a8Nlx1IL4DV-w6D^%LMzsYR_4!$LUVRdFR7C5$?2&ln5UOTGhXhu zEEC2B@-s)EJQOZ{iyUpBF4M%@-8wfn!Y;k?YX!Fnr8Be^j8RWMUS}&>UM~Z43n8s2 zgS?;v;z9!4L!;?$9yJ+C`QEN^ce!M>n7ZC*n0w$VJGG6?*=Bi7K6p!eKJ;WhIQYg0 z0W{+m@kBm&e$S$=zPuf}7o4CYz@o%UF`o!Q$t~f!B@c#w3srLcW6WQ2y`UlP|1cpV zqcV%^GOnFFlm22h6Q=8zqQZtS1pbM)UQ@SP{<{9VP@a|gU#Wk2ms!B{GG6*|jLr3= zx4krLrJBD5+H+v_l2_6>ISht5FBnNPY-Vug|Ie5ebgA6@wq7$ARY`jqB~9?4UD}`} zO~iwS*%)>qK*N0PN9i~}W!`>|k=$x2bFh3Ah(kdhzh-#K!*rsqEcylGw=v5%CmE9Nk z>(a&HTdK7O2smFUq)lynkAj<&v{EMk^Rl7jZYu>F=2gfXI0|}*Z?q1h^cTo_4Z^;> zL_GB5eIqQXEhYzrzQ27(dPc!$|AKB8==T+{_%Gum6I(A3A@llkkdStfjudpGZ;$Wc z_}@f!|ALd+Ag`^$IAXce2~9N^QcB3!qS8N}xwn>@RgV22AYN51ktKE30S)6+&rkrha{u~vXKSY#S_tUNi z9{+rX5q;@5eN=PtXUrfeJ>@3X-dm$HM>H__Z2Lf;)ti8Nx_+x$yu9f6u&KfsPCZHi zUky`Z=>|6}$^zwSbrnINKVwpE6ZQBjj>>j_5x#}-H{=~%ApRm1JjGzbq9QlfdeqkD ze2>$f`R(6Wn?&aM%GC2lh^uuF^=Qmzlxih>&e^Y_(e2@`V-r z?g?~GBs-Gj@9KL417A+Imu!9<#zY0VEluCoGb_&}X%cqLC4(qg+EqDu9GkYM%iYxT z$RumN=z0^b6)vHsT&m*7Z&@wJdq{Q&nM2c~=8uu1YjdV5m|H@B-os3UA}A{poP-__ zBYY`6aFTXp{KPP-7Y%k-Yj(jWDP|FA{vTCm0aMqyuH6=jyE`oo3wMVC#frOoad&rj zE5+U2-J!U9ad&qp_D}Xc=l=I5gak+ku)_T0ea161{kS2R+_A?Zdly{#>sk-VvkG(i zNmj6gM^TgMcuDD|V$#G1HlkIMQC*_4jJBiSD{ZM5_IHeGx_JaoVK?_Yg&kWF9iG zYa4fAZK|r>O1qp<-XB-q4ALvV;a)3?oK4*iVBWLyckKVM%4s|Ppn1s3U{+!Nsjc7D zFbw#fLNhYoj+b#VtcW=r&l4ZWHUod@hQx$p$T9}$Pr>Tnf_~?I- z>(H@jsn}XIihLmGagO&WwjnHU9gPu+7C-D4ik9aHJS^uSXYM-%x``Y}HdatVR}z6| zWgnS-yzq9OqpALOqFSL7XGg`C1uio}xb;HH1GWluEB{ZG(^6h*J=T>X+X}LpXp7*Y ztLB`(!UCXns>W?r-<8=K-6KJMl=;~C+mcaYs!qOqv78*6kWtFO ztnC&BCxFx(gl^VbcvSTW3z7lG2-fvrZ#0g+`-ZGLCtGZ$STmD>%ez1Cqkg}%`=gwC z7O1^TO^mt6v06`beAPy1t6`f^!zzgeEe}HZkfdVuWnhkbPYw+WlDRoiC~PWb)jzH} z!yT+Ce`SXmpB(G%tOsu$fK#&FLR!e(AMw9m-!wO?OuB=A*faz#7MPnui+?2<3iU zz$eN7uxFz;5PUz8-G2?7vN&A5TZ8WDe?rXAA!5XSH2v3SqD;fInk0eM)NBP41LSyp zXEz;ufy;bDzLoJ?ZTTS{{C|8Vja63(!iwFo?f3*rzbV9asARQrD_lsgZ4_yibakCy zpwJ2tO&)xK%_+ulN_d#O(-^NN1*c8|TH(TE;KJ_j!^q#EGp^9nlg9PE6YY3P`zlYG zBAJ{1*OY5J`sg1GCK42Vrr_q>)WHm(vHLdn_lZ?#Ayy(WY(&-14>YBkEiE#zPOxP( z>2bV*TyjcXvGBc-Ao6X9AMacGwIa~)Q|a04fnaia>z@yuTJ=D;@yGO4DlX@4U_6f- zrf_%y{M#1TFiCFKH$4uA{+fr<<{k@rGcB+#qhfMxh0g4W^}ud4xQYE8CGDZwA*MUf z!zA@r#Kq+A21sE0_#}X@A!dcjQEZ$)J9o67VM9%45t{{9{qk?jo*K)?gpZlD@DE_I z-oomc2Op|xBkTEZYfyTV-G=(1(VVZM=?dLr^|p*1_y!JunwNK*2^I2#{Qwnl^&d!c3LhqPDY zETSZ@^_Z95vP2z2%hF98B<=0JIeIhuyUxa6WT4ki?mnZ-3n4j$-`-Wb!%E-Lqe%PI2q7%9^)OFg>$i|tZ6vGh|d z%>x~)=}Z31YUk9$$D#{hOI&q7;~0wwoF?VbBZpl}s+K+N1jnffZxQ)2T= zxz;WrD>V@h7?IpF(r8s{PWk)yq1OfiGm>)jBLOF?#Tv~~T6R&$MH|jW&1OwoES(IN zbRmmCq6QIL$|ctjNo`@~-=YDmymUMtP3x)d7teX&m6mcyPUN|9`;)2)IL?%+xz^Vt z_6>Cj>|atAFx{YTGKVEPFy;P*beMIw`fSNxWBOiEff31~&$&(fcGdcC3oc}R&gy0^=9^Ag*|ZMRLROZK0`S^e~ugf&o}oNcAEYhA`cckr{5^S zguG@+;JnH>6oi76=`HqKwBqH(Dbux*FCGQ$D8+$ei`qdfXRVQ4r85j2UJpx??}QHF zuP&ag@UX>mSfhKaQQ%J0VH9XOUcK$-8)b7*)ESj-SjRn{pvXI!aCf@)y6oY(*83yB z?|evN_?jK_Nb#^hZWQM7F)oZPmdSd~>9^byA?HbwfADUQ+sT1mc}fKCsh|+1F;?Fv zXSgy`tE1gTdl~<|eE#tur)&3YA-=f&AIDHB67WXg;cF!r6}6)<#j@cbdZIW7lG*6j`<2i+=g3q;nFh0#)abn* z$u%a)djX>iJDFvBEM|GLX=0(8j}vvI<$N4+7`%jEi>4^Qfo z_9g7C`CG4~kDp<&!>O>>jZC8^Tx*bNc_Z{0>O7FlTuD~j_1ts%k%3!o_Hy&0{tmp* zLgsrvwq&hdn(}tXJ5OpacdgjNem(~R3CFuJGg2GgFmjJS#F`71>t!9*0Dog?dRkb0 zzO(`!EYclil5hbs9`EqZG<_AS5V7p)sI0%Uq}z26+Y!-Qp9%B3YyULz_30ld$K5CG z%qslr9VF)}FM|`x7Yi-&%q}WGR?=v5`B|se!w>RkIOEq>=I`41jbnjph*)kC>QKji zLCYs@S44azdVY|lxU}{Hekd->n#d^fkvK0HB~ukTaW;~Zx`Da88G3z3^`N9Cffu?$ z1j;kvYNp+X4DyF#(w#pgEC8m%rAQ&llAN%uhs!xWGGx1O(%V{ZumsJioV-!VMmXR1`Oo;?%roVVgp4{ zH&3UB(1B7Zne#oNXUn3c(NuxAv24J{#e}%=xxU0HBKjthQS~r5LT|Ap{HNC$z1rVc zR9C?d&-27SB-WyRAD>NNE_C4v)w-$s^gpg0XcKmo>XcTTcbP||yYnYst+c9CK7-$0 zHufV8_x-K44{`rnAzuCJvWDuNF_&Jv=uNSLt24tx9T=LTai>oJM8~~(q z?PVQEMSyutWEHLmhjP6Ln%faCr#M{^g~4ftdEO-teZ)ROtkV!3AyzZHU0YpB^G28b zw3&2BJ?=u%Y;mjjO3^jmIfZ&@a%#ieH%%cFYu1I`{x*g2&PbD3bOGxc4s)_Se)!?n zR<<=WBbZV-=|gSbm4Q6&{BS3U%H@qKQuR(OIVVIKE}h!c$L#Inp5{Q7AB9n42Vf7OUh2X_gl1r}&o%Ii)CBBAskc9xnm1 z_PhA8#j55JKFcdFC@sA5`p?sA3quqz=o zH1iUa{-Omvk%Wn_bbKlGnm62t5lH-r2bOB|xpyAgt=h&b@fVamm-sPLdKSItc4s!& zKsCS{5P zJ<5ZImc#Nh1&DjTlpp8pcUSzd^+N&zf?6`YgWL5p43J$6Rw2w0>y|9exrur^)2Q(} zueaZM+hP2la|apTjBrJAFN6rDNEU}v9%$c3K0z29sC|8A^R90RZpx->BM3`n@&j4D z-VnwKYe52U-~!UU3#aHibX>+=zykp)>~QuGNa#~^XJ0#G=7Aj=CD8S8ob@1|i7=9= zC+8loDOjnBg8X5=-kW_m;M*Jr5TiK=ip|ll$J`On(r## zB*x@McS=C@Rl-S1v%VC0h+mjfJK+z$TDn>&n@T*pVIJ{@Zc%+s9;ajXBuxOPnki%j zdB-&Y5c=AN2*s+pI~$-U;(aLmaS z(#aUeQt@@s=~@U^Jc(aEx@wU%Xdtt}-c-sr4~El9rFMv{)1E$5$9uwG8R2XBW$7%} zewY%jSLvgIr|)qp7x5o{N36SQD!)5omSnR;XKsv(3q2J8cwEbQ0DxzBhbF9*%`G4> zVBueYhnW)&M^t=;gRLgQtrq}zcpv`(c&ycTKvH8V`8-apw7V9&d4o-&pRo> zxaT=v63}YIVQ_a+=!r9dY1N>x`uQ<@?3B7|^TTKY=>}y-PJ8aE*St8PsrP<3Fa5Ry?W@@l#CY^2YwN?>rV!j23?Ie5Y8Q z$ez~-9csCEr0{W-Bbm{HCF~Ke+;dRBSK$uSv3zAQwW2m^Q(T-cjUH4;A&cHcR7u!^)? z1Oo(UVF+a{TBe%7c$)-*`N7Ku3g0@C4{$1u`!kCfhRHD)AMDd3F%wHKCaTIz|G-P& zL|Kqs1V5{F5JP$^7a>1@H!#4$Zph5QM#D=WVX(6vbJLk9>xt9=By)@quI^E*RIUgY+An|w- zq7Nx|#xVA2muS=c90glSojQn-3+Pd4h^)Wx?OtK@Pq|n z3MB~ThtaA*$1e=YUt});EI962E&+%v!1mb^sSBbUec#VWRh^a^cCbLw3wDeI!L=yF zCKvTg$Kc>WV&Aa!;opVC+X}1kGW>m7dcgmD(K@eR)JG zhRlNIua(m=_r_nQH~E|LXMYT0nxT~ZUQG#j)(;&FhJz!1s0*#jYMA!>fPM4fBb-@b z&6gReH{go}hx=HKjhHONmT<$~+Oo+y7BmNMpiURkoi!pQxbGHC{utwb>H_260z#eM zk~ZhL`Da;Vy)I!k+zW$txx*DEZ5rRQHfJVnI)f~m2F;cZsm#?I=~k}`Kh9R_hgOgs z^x%h9#bAIx0gL=>=d<;n zBWQBEfECD}qK4ueM-@S4Sbkkc59+x++gvxSat5>#x_rh|<|>psciAx-6oX?nWD@2C zt4!-HCeOr*sz^G=wfCP7_Edpa2ruhEG7`u&PgJiBjOIzK;G4&3Nt~6)gX|YvDD1YB zjIX@&s8Y6qI}g5Z5+YL2f`W}i-fA2;w)`xW2k%}G!k ztYN&jG8hMh@Zl=8Dvr`Ug%QRmcMkq>5ei*o;*rQG@HWbdPU43~MI^Dq#*hQKE`tgA&Wa+YW z+_ef9#v)oDYDw&Uu!HFjfbhkm684wu029;87*&itAdTHKPU%F16cn_M7FzKXVMtK? zEZ!v_XxXa#>ErR=EnPMq(^Jfz9hVS<+Wz*ku<^AJNXTvTXp0=^zjjN+)DvySw;O%yFMR z?NH3o3(}uZSP9fY66J=<CMD2Dk@O%bCvk{x z#yHJo6E(X_u;V;q4K~W;RR6X8szuqpZ;<*h&(&1P!rEG?XcP4WeQ`t>aZc$$a0=eN zbDjO`EA{vvrBN%|Bwl%j)VioCM!c}3NFR|$hNlGl9}8Hu@v%mA!YNPk+Neh<-h52P z$pha<@cro`5*Vj#Td;@PVvRI2kLx5hbVYVD_sw?wCnG#(G+XUW?=E#mSXIY4KI%|R zg9K&DF&wlvWA!tOMyk8wZN4{3LxBjdk95y$8w9zNDDUFSl1C+1C9fL4B#h5TkmAEd zC%$Q12p(+9UdJ~bK0_2&*cA<2LutgBtu>?vMa4Dad#^iD-gR*u&p-;?HH@Oaw7U|&x7jVT6=wlIo~J zeF>UVWEW#|=~PX)tOZ$L68Ju?n6t#J>qy2{ltb@v#?3o&4Q58KcgR_^FebFrXCq#z z0b>HeYDPzD;QVM(=H-dMb6;I&HTl)+tV?L@cbZ?|cn{5?HF}xl+4^3WkT>|FWApe6 z!N*6qFn>IP7auBi5g{+k7OS{PN9KUb22HGHGA99AfV8E`f+t!RLTj`W7@~;EtI)KB zu@D(5y>YV7Zk!2Ni<>`f;kfc_O|%b=HcR5DSyLp@<{*#Kbdvq*+D**CT%kws;Bef< zFTL#Rd_N?;j@WQ*YikF)3?Mt!g7aWz?2h=Fh)vs>hOo<^X77Q*D$hSONj-Z^vE1Dq z6QRFt*4NRN_@`+1*8^Leb06S8K~A+bOmUnN1h`%v+QA9tv6A2h;1lS*oo~8Pg}EOt zUqPZ+X6vZJwNIGSQ$1_CakZA03P2OcdGv+CsfUqUiqmKk} zfFP+4tmfXIR9@_y|L{5k|MEIZXxOaGbUz=baL2Y^r{5T8UfT9Wd!{pS>0gAlgpzf> zQTFD44kz9@MYGM8EQdGj!mMC~5KLC9&W@OEM|z2#18ALX@nbx1nRi+z-CH>PNL9m;mMj4(1=EWKUfc-9Pq)^EvJ-V@Jge>)oW%o9ez8emovY z_{0n+cAS7*Hb4Ozuy}N0%RQ<65yJEJTZ=CW7dvn!nS}3~!nXv9C=l>r*e^;zON{2C z&2@*06J_R-?+UL^klJ52zvQ+Mi;*5o>~#U_#n zvbw+OJ6%bxUvV(h8;gx%HXpI!b~YM&4feftHnPMR^mOZ$=2)-kF^zAqaogvWN}qXb z%pdRcryGr@?ms85!0rr&J*b^mO07jj*e=l`GIf87IP;(%WK!_^%b}Pgx&kwI?thps zs{lyQJ@2eq(%IY|0XV74r6r^zWoQGcr+Ae#4cFAOD7EzGcB3IBpP&xrG#RkJ8cHa- zV=VFX+fvAk^;XtPAx(h6f857)_6kaZ^p-kuPx*vU-3y*X81r~E|20xJqMN;tb+BE>@FU?%#o)PYo*ar z#*GHtym{f7__ei-O1f5k#;l_gYt=;}cd6N{5O0Q-7M7hi<6LBsm{L0FiM^fL#ZcTr z%+yOdVlr1^k}0yxil+{WZzM!Mq9avk>+NVx50Z9xV3b1eZ8F##trflyZLZf10PaX7 z0N{>?0tD&_qVMadF!pdw?iraYSLg(dFEs~-ylWVBAo(jX4}|GU$u&B!g~wHDbRh$1 zvjvgM#!t&7Y(=NLxQ3UpZoRvTU-tR1_oP#H+Zw*g)?PIs(lJo!!&7qmaf?mV3fvv#YyK(AXwj0U&h7Nl z*B{Gfub}x-N)e$4J29O%?Xv!A>b{=gsz7Fm8{vut^5FD6rvi*PtJv^w8~jZqx*u>~ z%k=QH$6-BcXCc;>8QHZvx@6mk?;`L$3&PtY|W~Y8Eqv&^yC1P?Y~l08KPUT3Q%> zmZcqsAlh6`IK?{z-rHw!<$8m}8#e!upV!}%F9H#^D|C%n#({BUt%9ht<+KM=6 z89H1 zO?^VM05%L_4b>8P2YVoMt95X1{xaH&hZww*Jv`9hOHjg`EUkVi?MK6)u>#sj4)F~t zvxZ?hW4P8bbSH6jB`%A#iE*tO$xoDM+73$dfP}46s7fwp(=rgrHY!ndu zxncM3{QzN3`J6+SXfSWtZwCX%p1V`74Ll=WzL$H=9w*>+?&dQ$W->SV#7$57$Hq(M zVC&A1Jt*Zlqs#}cjYCZYHyBnDz?*K8hmz{Td1&P*EM~|2sbeop{^sXE0`8m|->1Pk z@O#3Fk@optbo(suPw0nsTx5`E=iRlDG?z(lyV{(i?$2E13VZto(EHigkz2I5`-~|~ zZXI1`jSzJ)^ITjfga&vT3jpe4IaJX)1&*Z+hc@v71V1NOGDB9;Tyy5^9+{aQ90}}z zBt%+({zqH>N-GwP^%{PS%4uVgX?U8kl~7yaKinE0NTl>%zDv!Am4^RCNDJtm!$D!M z>T*y&>e^}bHIOHQ_iL&+cPtc~-M(!%{+h|1f5ch`YxN*U&wZ-51v+W_iv3A1r#4X_ zN%_T@g)Ab!Gj~XzNurGOr6`2pM|zpmpQUaR~453Nw5PHct?Y;oi)Zvu$4UQXLwoAR~G&t^iZe>kbf|~ zt5t60_f{b+!db|(nee^tFC+e5|HXVJ^Hk|1rK?wvOPs0y%zW0tlXIRh&6!?}l*{c$ zeMo3&4K?z6DEyiuMeQ&4O!r4wyR%EQ5ra@ zMu|`jmvRy6&0IgN@dJ4myr6>Ixx;{nn(vRf8&r(aGvWGE5P~lm`b}7h^Djh95zZo} zq#wfS%g3MEh4O*&fvJ#6&(k8gdTwF#rg&g_b&3y6ueR#L(_FMdj8h6mLKiBk%{~7) z(%^|Sa)-4}jbAMWKd=Z{fHI`be7y}LD87{QI!I>tuAhYx!V56|1Tis}5l;6xxdG)&{V!8u(I2+ z_eqCc$-Gj`(ujU`hW?3!II%aPnn~u~0!FXIf$Z;v48%2rx&3-UyC4$-R#cY=iSKnS zKp?xI>aPF@gMmJJxJnJ?Je2wn|B)fWfMbED_|hPZrBx9S;^5C*A)_e89c7m^4ZRmw zBh^asR=dkAz_GecAO%14nJ+~au3YxT`kqrbEy)^84G_# z2ZAn8fcQgLfrs%9!iK?*Z3E%=w>-y{n*Q3qHf^K~So|rpx0?aOf1ao_yDMeBCCUie zGA*t&w}*}K=bRw{IW!WW9#Z5*ru!exXYQTzv27unjG7OQya!{TV{&){{Y&}CKtN@R z-plkZ9L9dwE8_mhI$rf@K4(6y7$%(@R@(`+jkLVhAsbEUmmJ!Y9h>{-d5vIvZJ@`y z@QbK?5`G-xu_m6xs1)a~gGE|zHMvKND4e=uzk*4)CRcB9ZnR3FnDZlN3=%u7`p)2=PNw_$ZQ1*{hkYgMf-=7 zX5>EMx|aMFo>c(1WCUCvWd{y6PEs7CAUyFb2!G_#OP9Lp0J$&M6RpY$8v5W1G^ zd3obsj_lgT|2+FOj{(wUoZvCeuNtiPHxJar`-B<4`s*ghA*m^zSH+LY+8=O)9GiXK z{~jb=^N9_pqz+itfp!iLR1=eBgn*FR-2sw$I-B zW5Lu>f)TjZz#njk;?ZO$SHgI`80YYQXh*0!zmkVHsDc2AkoJ0GCfS7nEYI25pa~

    (e`1vcw`lyC7dZ?y!@W;X3L^wOdzcHeVGtMeI)F6n*tLpByBFaPJ zBHzTc+tD_cEc>=cp$Z(KNE`)4x+k*3NtG@e>T{mL! zLOeW@|Ci_C#9k9?gPd<;DeA@_BC*ANE}Grg?W)U{_x~I|rwin|vVRpHG8}(Gkak*P zK5YY>IQL4Y&v@Ta_a=-c`;h|oCX~_oQ{QN9UL}EERAw)15j0tx3md(zXcb6=q`HjB z<~O6e@;Y^TJjOJtNs+C37D>&wGv~A2Bby4zXHay522lM{&4g$HPQ}o{ozmoyhX@q& ze2sHs4EN&IT!;)4{UDJMA=KBv*5dJ<%(hn@=EHm9YKz!6j2*gDdVVZQsIQO=q(2*x z4qo&iX}D5hkBxELtVT{;WUZKHAXm-es5m;3BQ4lx<3K3V=jImC3P$Y7G#8mw_R2$j zYs^{~AN%)OQ`vh~L_Xb9crCdNw^J*5G-kh?uk2R?-$SN1Vf3GdA2S?xp_Jj7k)~?w=>GYglhr{ss#A{4gNB2P-4Z1T3LvnSxz=F zF;}N93K6OWR`F=tq17gYFXi(^mo`U=E@5Hile>_wm83!*Q^YVhN_mo;#MCQ~_d=|* zF8PWs$UD~b&nb>_h=5nLQLe4mgi>>X03qGi#6(+@g{7O$yS+3lNb*-yn-QqIt`~uE z#fx&S*JeLn>BTX6Jx<_U1s7~zZW4~gZ%yo*-e*O~_*b!Gq4X?%!R^#6umRhTy=y}$ zt6hD>1bW%PV&VxbCiA}D!~`5y5<}%a;BPE!AK>!|$oZjOaZ(=eg%OsTlO$gSdv_%I z0#0FLfuB_82>66oAR&$5rK2Hfd^%?L(fV(--vv%059Nu%J8`#hpM&m(rJfP}@qMJd zqbol{e^@?{hoEa{c*$K5v%`^qh$3BdfBw;aw@2lEYQ=kX#xhkvz!xvYE$Q{#)>YS= z$#_6ZG*~XDDPz;5ybl44r5kz|#{OAB0HjAh0X86R{&|C!@id0y4PyHNqt7~a7(bK{ zK$zkmys$Eo72iTW4f6jN>GAIS7wLJm%)bG$Cde7MriAMFq(M!J@UfrplrUn{)V5Qd zn!r`+@Q6#6acEBiaxObb%ojiPnm31!FP=*iDdT`O&JdqPI@FJ-zz~?wWN@fvgOVOa z)ul?~rV*oiCW3M{kPBvhmJ%DTNV0XbnY1+nP>-_aJJh2g1|~*G9u0=uCa+|%v}yI= zC{bl*h+bZj!!j+`$ZA&R=ci~CGiF(WzO>Q+N&-1&+Pvn?Reaw)JG`Z|bjtNq%F9Zh z95^B<(O-FiKPC+?fgLOyao=Jq_h{Jyk*xE|zr#JQ`+LDxhiORM3#^$HpZp2IOcS?C zBc{Dn6Z`B@OVU-%pm_vKdDONwhXX74Kn@9Ypq>`o^6&18{h)S5szeioED)!Tp6CRU za5a6{fN86Yxe*Ce=;P$3eq~f$NeS$TR9OR8yUr0;dS^=Mh}3A5o!pprYHAbzf_8U? zlAObh-1D5Qfg>=MLgDt3xomc0IDflI~{wK2jKWGng_4g?%#JtR! zx_LP@Wy-*@Tl^RdJFTm2Gmq=-Ab+{?FuX54>R8hppT^3AD{rY1))7qt=i>%eN@qn)l#}fP{B%A{JAEh92o9$pt)^I8NQ(X#+{qb-hK^pOiPw)9>oYS2>W4xh99FegsW(NM{reTuCihlma zaTLCOfBykOkI2X+X({*ka`Uy&ID8HESc^XRiroSwzjE-JnYFxPIC46BNOHlVUFGSD zv=O86?K9M{vB`-sQ)_?6N|4S(8kWR{{n9c*O7RFL7;Oi#iGaGsk;iFrLv?u@{B)L! zr;z}~(^7f&rKPMatWLc5vf1RFdv~?3Qa20J^pbRNgUUwZeqxFhd`{&9@DZ?xVdXr; zb^uw6$cA+=%f@J-vJL{OrJW_YwudgFbyL*MzScQ9lMa>Q=>jdh$0GNJAUA z2Xe~IP5vG*0YSQmhE~(awHalp`!iUPn}$cDUbKEK}fEhJy-oH%}ET|1jIO$r>n-MbRtVr}3WBo*v4< zzX_PiJpEpZFTH==uBZ%{^DO7(O=;l0rQr}_O#GGn9AnG{QyMLl_w>wP>}a;NIuYjV zbhLFFHQ%#kne}Ow6Zt&k?E_t=Xs^MWe~^wyZy~!y?~{g;N^qs=kyod-HLDtg>_spa=6QMw%;_J~QSNu1O*9izY1JHzNcaFq;iGwtQd8RU^wL2`OU7)>vU z4|*sjc%B`vt3jJa&JR7Svb++4BDxn;@4QZ7mCk_+)~IdikM+O`&U>nX#$Y43QfJ+f zE|*yZ40Ld8=iS?PBzYqgOX}m9MzD07&T~0JC2oGqLACs-m61FB2@=xvgl&o5iyfB` z?pSCl(bZ-*ja-2FI}0K)h{|Q&++~R@@uZwzmVR#;g#q}S*f;plXXBhhq#r-{7}=Pd z#b-Ew*G~Rkq{PfgKl^dTnL>1jK0n(?{P2OvG`-Z|YT)72^`QHal5VhKGf0V4eUZO< z*eg4+W<9d)sdY^ZKy-)!M28zj2TMixA4KOmj$=8*gx!9VZ?E_s&$fx1@g&)9`1e-RDdJn<0WaOuHlA>_c~%9xaEniBzW%zOa%Vi< z#{8dlOy=JV{ieoh{5jQB2;3trV_<_arr=_0WWN}lm=IRKBB2Uw*GFByaqKdbiVmp+ zQqUakC>}UbJs%6>_fmzAY3w^}N0sE|xsDgVc3X3*Ecq5OlQM;*(BXA9b65xcz@sSV z2Ko<_R$EDZ^B<-v6p0e4q?$O;h=iX#g0lLLh_de^IWN$$tpnu=8|b3K{u=)D|gEJ#UZvz8?>Zm8-jyK7&Q7)aR)M24_H!P)2)?%{FN>_p0;A}z z3r?E2%PViV@m$^L*RN9;cFB?^nR%BXiQx&Dd}Zd>IAX^#1Z~fO{jJ$xyzcbcdDCj_ zord+D%^M;5;z~cX2IhZ7nkKqgS_bNTkT#~Ml)LRDFpbE;>UY2pXb`U#L|lO7+o103DPCfIrM})rb*-p;OQiepJWMl(qbBaEZN= zYTxj5fvmo*)8}#QlD-xpfk3?W2s_DIn^w02@_9+N=Q(UHES?r%Q6`2ZrBuvZpQSaJ zmKuuKNQ%YZNgZ1$C*?Q`%TT&9P1-+8CF=EACQUzbxK-%>y7Z=k?K9RfrSRrnEt@cd z!ZXQfIvgD*x5Uj8s`^Cn=8P~uF1!36T1Vd;{&_p7$s(Kf2OIKOeXq)s8T1+2>Zdwz zGmGGs<=m!3hR(?GMW9#}e-uI$Bw19!H9Jzh__$)B*LsQ%0HmrBIizu{v0Uu{1a{t1 z$5phMr;a&&_)vauaWNaZ!)c?L^gq1Lf;Dm4BEk0F$`j+!P%oEpTWk6bn$|E?0C3C1 z>;+9%Mm&y#)WD@u+=DQ*gal`3nYRXyF`hJnFpm@OsAN0dr0#|gk#datu`;$;IqiBF zFU5;keSO?T={VwEd=+P(AFY`Ce{h{D{`&*G9(H&k38-vcKQ~dxskZ}<-t3|V^?ITU zmdls?!Qwwh^45uHl_KSG1d?fA%2`X}YuJ*6`J)K-0Tj8=dZ)G5e5l_VHL{WxsE&~i zjjS|CUutea81&cM{pwl68nY@ij*c!(9bH^YEX` z!zo`YL>(C%Hw^(?M_ESe94A=T^G~GqTx`nrHxFg{;t+vntkWxVYm6;C_B8Ouw#JYI zS8}zOGm=|N(tb`sKZkW1u~OdH=GhfT?5YYltrwbuC`mjA_gkuqx+DZX+yjOUlRd(p zEYI2H)h10+y=-mS7!S6laBa@)13w!(>%t=^10#2THWqN1dY{vOb008OCXGBFUyQmg zW_VK3oxgHKUazN=7O3{gICz*ur@H%D#W%W^x5QFQ)d7jj;cQ;$b2j$L!TU>A>L>Pv zvF?FoUrV_UHFN;0L-5Q&z}t!6S4@dHo#0uahQKHEds8LMgJ^n*i3`!$VTVO=5teBY zw~sB>&vth8*R?%x)S$vJ;f~~g70i=>FKIYLJ^gEFYFT+bu=B-r$m*oagg81_A0`G@PAO9wp!u+T6yPzH5HoRRa#qWN%5Ey=&0X9NjLznM#01#juV&z*Z0&I0_RTAWj1 z2$$8t!vFF22!B}IF#Ot}*+~Ojy{;X?whFct{x4p~nN{TbN8l=UHu&B>yMGNxfy-QZ z86ceB7b6yEJ(Cavg&QjS->_pPshC&)MAO-1Si#tYA(&!|0eg+nQasvg{!>kB?}X7_ zTfGz1e}a(eDqPi< zDw>VgBstk_xx}Q?g0kUy0bpn2cc=m+lCd|DHJo3rIPHjU4%7~*mFz&S-wR3yUl3-L_N;lo5le-)sr zBYz7rUlHc+uFfs-Pi@X~tQ*(j30+^)F=}Cq8?Ug;|Na*^YarQ0$6}cW9JfeiBS`A0 zu6X3v=Q~DxZ!*&8yLgxQi2jyzY`CDpA1Z1h$elvvHuBR!8tEG}C}lmw+sr=nFZ~o* zS&N2iK;&w4RGZ&cPh-gz^l{?vaDUd+WSFr)3dTFNqXG+lMoCyiQC|J8wP(O}F=SJ+ z{f9w7Xe+yHR|}_MQ0M^Qwgv>C_{X&ezRDCXdvlR{xStYsmta5@$z)K$%oQ`*H+sbC zo&sOsM4fVE>TofA-{$Q+!6Cv`7^`9t@K`c_pd8iLp@6pFvzgjPc$1ax2fFJ)Y3?Oe zvKUbdEew}=WcO|B?nt>EcU!6+pJ;i@1ynjwf9K(6!ZCOD_(<>FL4?`P{R{0}7KwmX z4~`+tlpkd>iuRa2)wQpQDU zz-CUon6mhTB8u;JQ>2G@qiUGu0^RO(G#=VWT3=xHeS+!sI{8i{50lN<9cQ}foDHm! zOV@*b`xxW12T^8b?Wd?O9Ysl=dAfI{ev5gxDRyd&OHIcMo=KJ$MIA}$7M<3r-r8w3 z{O5~fPXcJyqrrL->FfE?KOZTHuB#Ke;R?8kiw~rzu9YoC@$1iHd!smARIQ2zq6p_p zhKi=>SboUK5u&X`))hSdN;IC}MMz0R#BBvC)J!?bgQJ?`g8U6r8cqL&bxO8eE*e-T zoZDP;|1K80K#`1anJVJSl^rVzQJ`N#!S$p+P(G*qDK`fL@{6! z=?T`dQyjY&ZnFPwi0mP5?u+Ol(_o*E#Imt$n&x8Cc=utz(}(CM&YOn!^=B)ygjF*b zpGt95B)677fpt6vPCCXAv1K2ln$?8}8FQu7E50(L4*>+Ru8)lL%OUZu? zIjxlc-;lE)9Bz;2P}#?$ld{`Itg>dB{#okB6wbCg>)2&;T^qGJZpfCo$s_yhResB- zd26|CZs2f&Qhw|n=_!LLH;DvTa?F$RZ*#{xo;Dj-ho#uPa*mt68LtD6YhGDRkp&c> zS<_nijdQ$%zNQY-{G^rbzAIadS|=L#o2o&OSP@fbx+YG>-fMmu&i!2Lc`MhffHz3D&f} zrSH-LX%x4oLO@#ZR|1R0!X&f$Wm%LpVxfY4E(9yebPZP2ou@Z!&RogHV|r}@W#eI! zvf-;O@2*Q8`GdRW;APNo7QXoL=XCta#_n|>2fe?$!$I+MVRf3s#>v@$KW#N1daPpk z(?Ha6`K0r+HGhYa69nCp_vm2D6`jr<(%>bF;{}%6J^SL|uO1Ox!-(Lfzz^#jxw9W8BNi3VQe1OGLRJ(1!DZZwrYpX2jLB9d%_CTDjRde1|l`)@2;HoYxcw~>&VaODP$QP=JI9y8I8X)jUA2OJvoj2|MBE_A!i!y z<06EqvGhDSiVOmLEJYYp=oIjfB56YsX_7DSDO`(>-|=%}nm=n6!JW|VaR~}P2+^`g z4qd`iQ&S)7Sn-Zi26}VMG!5hn&&X|a$CYQ6fKR_Wa#+#-b>!6Azn%_aitA02hu13W zzxH;WbeeCM8~v)n-Tis?VYr=Tj!LUe1J}rZM5zsaAOHdaSGo-{IFuXSGJt_4$aH=Z zn$MCLp}hRR3{L(3WpM81Cq)XZOgf@dc<`9&Hp|iWNy2w?$;WEnq#5eO$NZyHOy~oB zS0rXw>UM&-RW~D~G&p$Mt-r#xtLV6cSncZUYxO-IdW=c1wzt-~}XvV;mX7;vz(qO{vU&0t|Q}Hgtk9x_xn&Br=)~TBB~fvY`lTVu|2(VXh!+ z2h+(*=|B99t`a%{^MPkm1$Try4&$_0a#HiGgcEtYTfecWw6<-mvhrav_8AW4e3#7v zX({;;lg(gOJyTGZ2Kvnsu0SSPnogl4Lt%W~{1l9v7K;}h$OiwML0czl$b40-?V>H? zom0&Wwd=(D5%47Zm!TQMrZb(HP%VFmKFO&YxiyCa>U5MMzST78*;nNOe~l|n$5_@COG&3Zf=$-Wa9bvSPJSj{`)P<16#Qa{)y0*A}(>L|uGvhJZ0y3&QfzDaXb^?D^Q?g-=# z^nt1Nal{AlBrbFelpdyY9_HjERNWda31tkp<96AH9grf2-{?HfGLm(XdV249{TVPHXL;$Vb?svHwlp}s;dWeAmuaONJ ztlCoo5S;$OAs)(6hJoTdJ2d1f`d%iK7#&&i{ZpVt$rjDMPS2&lM{>Lkt1=-uEil5s zZG}U-HiGL9z~K<&{KMe{|A4n!O=h!mJbrmgiFLzLe3XrNW3N1df%t#i~Dok*PNk2Yna*95WEj#@rrwLQCEl{_aDHhbCg@z zDSWg;R96-2=`h8A=AF{@c>=}~rld%%f}&K8|HBiD|K+Hl)Jz939et(Hc2Q_c`QH5e zhl?ghzKum>!NH9*aZ^|wrE6%%X?zw!>jLEIy1YN9VWH9k`63t{`ywl*NnS4wQCk>c|<7H?* zrIGjk(%TsQ>>BqxXsP_Opj_TnLf7*h3B`eG<g zIBSTm{6bF8@#E6N=Q~NgRw%+$f8V8vG`p5>MEz2X0vxyHFhc;)5cCIg6^!$^eprT$ zHXyS8IIlaSzO29C?NsU-Zr*WQ4iWQ@VG`!^fmKfLFpDJm(0>HZ2*$n8t7c3{X9g7$ z=)dZmM#}9Ny@RZ+d?U|(a8=_Gab9sQDZ;l&6~n;1O_lEN-zW_V0^lIAS>yFX(Z>1# zYmWJRrHwwn9^NrR7Hmif$hY29CYwcY>YG~ZbHv;Wwoti73jR2a?4(RM)HWwrpUGTD z8PPxHeDx7pDHnW3@+iDU=M9@er-_}Sn+6bS87Wf?emng8Y?b;ke=bkIpVx6>^Z-TC z@Sy?@nZQb8xeP(O-y|IlyRuc#~9E){Vp1Rr~x= z)RRnWI08$?>AQ>c6?JULP?kHyMjc~dnVXy-rum4B$tjdBHB z3#GheB_rrnCA^c@7?aV zwK?~u>~#UtkoPAc5PmFQZL>74&gL?Me)1GgmFe#CDH&pj&I-JZ?-6eYqh6%D8c1%! zCeNwhm(NdBrX|15)mUk{?aF}_>NtP@*aVn%0+pZ~TA76YUjU9GIp^h^KP+|$;hfz} z1I|aI%m%V;g*)w;g)bk)|9lc15@Mb=!=uDq_e|QTUCMRz7G*)>=n3pb{LZ{t={id5 zd{$%sFam@BXm)&GaM{(9_PhteFBCgU z4OGlFfsrXEdYPP{zMr7pQQ8Rt=!Q_$e`~EeU zFdGU6DzwM*Go}MHZzVXGMkl&FDi|6=8w_Y2VQ@gmYN*yeQx$(_PXfIH96kMc|DL^b zAdkDV)A(Bb*~4~}Z6}wpk*vp9QW6tWlj=nsf2}H0U`OI{Q_Jq-=(xz?i#e9}A&+Tm zIm$H-7LW&RGYj@uL=-CrJAd2@29Ag+NtFxtW#Rm1jV4W+*>^4Bgb#dTzyYx>f^w_E|+_SR`c4( zv3NcS&1dWSf|vcKqqdf-$4ECwOMhy3m@Uh&9YP zm?u&CHLX1+5=RBniI9(K*fVq{O$FEd!;IK~mxskPdsfBdxP%!Iwuh}{1ynVo#)pcG zj;V{+TZC-_%{-(;BV(V==z%UaDEhQ7u!0O;#c^^+tvSEE3=zR`1tb*^#+KTA^cTGu%? z_#^IJG038Eq{?0c-5=hsDE6Mpvw~-5r2VV*Y}3GRx$ZU0>&y=JEi3ZgtC!$|e2g96 zVTWFkhEid8Lhn3=0QUmK2uqwsLP*s}^4-KEUK4)DOZraSQ>BW!;d=dXzx+8JkG6ti zu+gA~H8HflRq%f^+6Gk)|Gp;9R6g#w)ay)7bS>94I|MQju5(EEV(VF%hmydQyir0? zMtDA>uDyrmWEo3*Xh(hClR8y4=@Yt&;yB@+O0$m87wQRSPU<%Wd5XE25vH)8gu8 z_<#Sp*Sbk4IApzWCnRwBBi$`@<@_$ZjyhnP7Jr_raH{hazXj)G?VBeBhxUz~E37Ia zIsfPv%L)#&S%cPIRw z@&f3xBYaHU$OO{N1zd|Y-;Vj;jgp)i*`i`VqLlJuSpw|Az8AH~-iL2Irlx5h|IOw3 zc7Qb>N2^G~`T#!zaOiyutuNB({440OQi$eTo;ob|@i^-cnuU_d7Pyyc7y1CvMvW3>vV&Y=GtpRn#2$Ri3cDow^ zkk82x5XQ-QAx0PQchv~baWiD95(!-GKTcAoNO=Rw7Q*fWjcGF7aQX=pGPtx!6&FU< za}*bNE%xQ~?32X3E6*GV8CLE-wk6Pu)~B|^A6kWNF@O5TfAy%eU4sFAwKe?*-Cx|i z+2)g-ga6C=m(O~?oHDJ4v4k4}()DN@`hB@oSnSYC%Csv~inu1HwuhjQ!(_GW1&Hf7{KE zWknF@91Ug=I|>C9vPL*mW^cs_JyC{clCOnG?0ldM8?Fr${99I;DB~8T0vUB-=Mz1$ zM%H4o!Y5l(sy)3)1w}1 z%yPF!mdsXe$4VEy7t|b$$~f^>+R*GOikG4Hpr}%pzhcM^_{TDGs2@Hv?01Pb>U&rm zhhEqg+N9_QnrYq$pOp%){#EuAq-B22fciZWm&uBNw)@Fh@CI7<(es`DLG`>PT$ROX zeLiLlVT*seQ<(D74-1$OdCx1;k400W5UiuX}!4yXb*qZ%} z$xzV+_@4-l%W0NV4-^J?-kgs1esT4;X&FDZy0vTRQQ?7|9hd2iLtWX(G$4aj(Dnv_?F}mjlE-DK1Pt~?&Eao~UjU8hNx32X$7*P6!-Z)n8&Re4P zB^Gn}g{C%b3iioY3$|$z?S%e__EY0=!n4j^>91Df@ihB6OO(^j*4vXT)K2K3#mrek z77P$Tc6m2#_S3g*t0+21G=A~m9KTSAc?>fhO0E4ia}J$ChqgSKJt>sA%PGt zh>GCZ469dG2%|j4e%st&uMbkc^#H7QW#Oakn!C~%B*LnICND;G1O5qT_!Ua|kIf^9 zu1(&i-1K&ZlM2?r4p#r}hvdaS5uiGCXu7iM(~jv^ipvW!g945MpOj7-gAPM(SHMQ+ zejLKl6q?!C2smyn$6Jm**SV(#9d_7Kc8!u-No0f7+etuy7adD~ZqTa5q0BDef_ZMv z%5^Ll%cASH#;9djZ3x>Opydo20}c=*p{rPtACHJA|lB=^Rvkhnx51t8 zXfgw8(r5MVn(C?!iAmRnnrS#(C@Oj6a9dCpwim*AB~ha4B<EZm^_Dhgl>Ms_&WH@ZV09|469E7OqDF-fNOWO{0YISG zGZRTn#Zwbfc%&hQY*$BHi&7$WH)zp&#!xkI=)dBhicIXHaFPY>JPy2bK>Raib>{8* zvqpOwe6i*E$2|Ty@btp{24ll-*zhWak6Rb75!=-wL*mB#RQAD1iYpb5uLd0v6YU<6 z<8q}BH{J=7mb>tF7074(zya+~N{EZ@Z~QB6T%=WZx;s+d-b3>c9~c3g5ic2 z*m^1H_(N^Q7RFb#Sw`r4BnvYX|2dQ|xAk9B{*vOT8L(*;vBd;;XaBo6<8<)xv;0XI zsL%!@%;O_|Ntf9xMYx@S58q&D4f^57*20eW%IegMWBrKY8w`bwUqD;WjdCXBV#xeF zP@C{E@sx~AdtJEvrZM*bcdH(A)tS6bgiAGcP>NZoMfBS=d>2ae+#M&WbZC~|Pk>=g|!vuJLUchyRVFc0UOqWX=xrgd1>LSnP zg}dX2DJ6j8&%?7(0Vr16{FmEtQ< zU@O|ZePpGs1sr%3zr7&F!zBI^Gh8V3zgviRi1YyOyC8eVfg+g4sZU_uwLcMW=z#X; zHRrGP=hh|9@Ui{ASQRsnHH&9W=-MSh&^yZ7N26Q^6XL)e9Y*{tUF~LA0C{R&uy+9? zz%MZ`E#^3&Z*HLm!HD=NJI_=8yy+HV=fn%Zf-q-AH9(n6knSvzNq^Onh|QxTQnUDL zN^5H*%yGs^y#y-`MX0@+r zcPJFwHSk1**in&^J&cpN5N(m(SQR?Dmw74-3@TtQdX$U4r04|qqcoW!lrbw=rp3E({JJ>;oeLc69P~G;pFs@CUi{CB+muFXj@Ub zJcMtMnASpHGPXb}sfo%QOKIHl7S4sz?1IY;jE4! zJ(DFn;LBvmKGa7D19{ojvDP6~Y-W|$8o6i$TtIrd<^&6h{@IBt&XQ7SMsb;<6hH-a zK%zo^XZXC&J11nz@u}xs0;If8@Ar4Ojq-p8$j)9~*$LAS0+3?kRH@5Gl{)m(UB7@Y zrk~fEL(aoAE+JBrHq)HKAK=@PxwAqlMnbsPb<8J7q*7t2>qEDQP^myWmw&PnBhZ{W z%(X8kI`L?*CwwP`(AFT|Nuh0qa}`sFatS;H=iSc%V+ovx+Pp&qrxPIzmiAlfVaG(a zUkaqMi~_%_v!F*`ANEh<2i!_h%knLxD;UX7SNPMq9A3E54Df$gEC@n+D0J6NuO-I6l1QPNRS z^wrNfYUY2O5OzB>_rTb4xuomb9M4YR&%_5HNxcyAd8nTCx7}@b{m=SCWdceG_Ns_^ z{w2T*u>SBkp1!H$xSquih{73P*2I|5z$4GMKb^E{ejbSr(S8^Ii2oJ;)C<6bpUn+@ zK${=fN4~MdmuOu`@#6Uga6&gKFTXE%6$)LNZ;qad0ryYJpE>7;->gbuXXu3k(R0~^ zYV|s(l&5qGNI6Ew$3neeFgZ?Xe*f5id`gpeDG}-lU38WT7l_EhI1$kNCS5<@h_6(L@9qE1P`D_0v;>5L>-F%ptC9s`9Rf6o#JlWV@1NmCq zEhQhMP()jQfb9hiA5|0vf5=vN5q*1!;0m- zL|_U(KZ+D3yj`uZN$wG$d&V|e=mxlHZJ0w1FyVo(H785v{gW9eG=X{^=xgsU&L&7I zG%8P$q&hjLfxDAI?bmDQk5#sJOoIA80UZ#?AL#of59yj4DIo3JzT6`bP{{4eixts6 zO!Lu-#c<;3GPAPFES+u&N%UQgK;iS{`{#SigN_&-Nvj9k`=S^SUHlXJSB~>(`krZk zSSllDe1LwQob${*6me*AT@0qKV8mj%Wsyh6y>-^czklD zxsFq1xWc`kxyNg|uju!q@CW4N9ftX>xr~)2`he{t_^(G(N8lbH&G_a@nevmkx)j7& z)ln_(12|@X068s;@~-^#y)^NK7Bx3hkv1|+bS&|^1!x>H`j_ZG$dGK^*JR&{VJNv@ z=8RMr!345sLd2cJ%1?nl9vQ{Cra@s1*;*J1tEwgkQmCpwlvRH!>l~%NI2Sr8XAxAg z(C7zcid62-euXUmRPLmn#dj4|Q%(^s#ifbT+`zY0k1NQRd?}R0*rvkjRcrFhImvFS z)!uYb3bBq4jqjSseQA0g5fEbzk*vF6WLUs*;fX%J9GVhV2x;QZ=J}X ztT*W6vzlrW+WQ9?+8*nY7vRc@nmr4(yTeqRlUPfGB8c#uRpDLbnUnC!aO2gQ$KIXh zz;h}$jal9_`z_Exsa<12D$d>)HaCmjmBwRZ0k?@_9ab+=nB2SCnsj9~75smZA(_kV;-97zvjDRbaCvV{eQs;y*L!oHGcI65YK)HPlR#!30lnw$L=NsRg}-Gx7c=B;ak^S; z=~4c-Em#428)9=c>tB)WA;Ms?|CdOqcl8J$3=Jhvj4)AwUhq-{TtMKd>|aDxfdq?a#S*=0z z@(N#(g@Ivp?J~6N9+yM+aw~x6YqVxCL!pIlJ|y4#O(*=g=pC&viwI9wIvpG9gWK*A zArsHuroPJK{;0MzZH4*z!BnnY_?;RW?AOwOE*UQ6IY|Jhp#d(TNvYv*?*XPX|5+aV zDV^89)R2}{Z?n$fmJDtgc&~;SbfF)?+N0&+7g|KY?xPn-c)YP9w^i2XlGz#}AbcA8 z+{~q-=M{VZ%+>URY{T>ZSu9%_(>~t3tgNe)ALHTB5D5WIcB2=#8J7p|>Q*?B`*a_+ z{3QVTQ2R&I9}3$2w)(r`;8CZp|nn zbhsJ`b3Q5q+&;VdYXk7UxLac}z}DVPq$Ko-;0hvufQzQQ$vktZ>La~JnOfWi<8G~d zjt|K>F-MzIjNtA#R1%w}vf;_m2(^FB9L3kc79?yw1hl!{dAH-2k?`U>;7|~uP^#m< z26@y};3-%3Xt+%(f;8Q>eG=Yes_-)b`so_+;>uo%Tp z)hb|~2W>VP4GVN!aiZF<6h_7kolcyE?sCsDRs}XKlZ}#*ahF}2xTR*Ma7jYHwJaVO ztgyu07eIetqd*>5$Ck+;C%TdeLrwa_G39_z1)ppZrq8_O8X#>|fVE}bmAw1?84*8L z#r<=vT&FbC8rdV4$4k`Ze+<-!0QlW00sO%)2eI4x6HhO;ej zrUnt}xq+C-w5HmOrn)72z+~^B2wdVu6%GE#dAxCat~yTAl!DH%GFXk6+OV1s2qY36 z(0Dq{Ig<7{vgFgp4=f_rUJx01!=${i_8*$D&`{s|I7U}V)J@+Lqi>$D@k5FI1XLzN z_OXqACEJXX9G5f4&(>V;T9YjRR3Uxl4KC|A!E{v3WQmiWHo`BSc@a z`_K5b+yk3_Xn(D8&zZfL0=H1kZTP?jxm{CTYCrLCyO_%j}J@wB;$r-sU8~smnIoHb-jM%4Ta}i z{3mC;yzycMr!5fOVYP4PnBf%!!i5`(^5tSUFU$BP?6@uok zKb(?B6pH8uV1RWj@k_ZU`+>ru z{q=-71eL z04;U>?LCeCgKum(svoks(V@d z4c=*@w7)dbUCv=<6BLnAX5O8AYT!kNY#scfcyApZ=1<^lwflYf>!|QvIHC8F9c;&> z0>lsTBX7MIc#s8c?Tzt$%w;PY3(DRrq7$_b#o_afsnl>gOfb+-Z-T5=lG*YH<=N{wO zPM3S?Wj{tFwtv;T-w%szZFUOO_mDm!r%!TS7kXOF zIAZFt4(E0h*ckyZ*T)qo+S)Ut)@qiFP|^anY6>R*@I(d0(cL$oHk@3pzjEGGGhNa& z$acEou@ldzcPh<&_!!b_%BKc5J)dtQfE8Lb_E(w%J#ajrhZIpYQ>ZLorsf3QEfDE^ zMo*Yyq0~zv>usHnv;Tc-{!^V-wd48O>DAsJcd%B0-aF0z!MUTNo_ItD5BjP+>%iDB zKcA|W8+8{Ym8hjN`-F=k$8tWh+}@u}vJRMku=*LBmf{E-8Yo5DWdA2Sim7GL{)-S* z&LR`#nNW&?num_wMLp^RO?r*hEch(mw~s9}F$!2?JElsLW}k`6;i-5#JLDvoN^PJ| zod%BWnVliqPQOcYiL!yT!m_mSuLh7i;g5J1+dBaZhPN$90t`xF3BnCGD_2HJMBfKh z435I)$zFH)6`Y}D`7~|f+wwE2OLO+3HN1&~{j&XycZx`PT~Us2lmjoOkD~&2>-31N zv+a!DYOK|{ApJPozHfa|f_L%{2n+!b;)FwX1&jvU^+}bE@f3E!cdH*bBUiI3S$m=W z2NEHQaBK(V&36}$_G4sX65k|0w}zp{Ir%l)hV zp}N~Y>S8P9m-5ck^?fve%Ps&|BEG^~ml%RdzKfU2iJ}FRuhtdFAt-X_4=7~fM@88U zrSL|RY+{`RaHJa}&?2V=hTA-V`G*PUr@4`~*dA9eP>HD68>pqxpjzqUIC-(!cHLwZ zKL=mk*|UDW6=8lw-s{TZv5^r}*hYIN5dS#El197N4rDahG(a7RAF=$$63vGRvh;`C zmwH)~f?gn24Odtfep+wPLtL?2BUIT<`YzyBH&YMcG1S`n;ebOeTE(5vzNqntURMUA zX{{zRY%L$Ai3er(h4PUGdYn-Cp z&4RTara0pgv3+v?MYtrCG26CW{drGO?^Z5dZCDGxZHzoQ3sXd{#%rUtWk9j(k^X6w zaC*f3-Yuh}u`nLAiSN^dXZ8;(D*a$vepG9^G`hqKoS9WxCY2;Z~oYPz?)KH=Vcjt?d|K1TyrAf6s@><(pU&R;laY1 zg8_%uhWIM7t{Bb~eRQ%UMxr8{=5Fk<=9y~zh~Hn52oH+t?42ZfqTwzMmW>`z$V?X1 zniNl97RV-pV7&uKq8ov3ycx^xc8&QJHp}^y?s&|Hpj7rUevH>Rl!A7BGTA76@uQL? zcC4T?!2GlQFGlnt|2+DR5%G>H|FHd3{MiJ0g}-B=sTK581*V}{LE2yZNGGjiv5!@y zf}P`-dH}HfUoZx%YKcb4tDB+aKE7PHSf~>!!&M zwb<+B_87oh0W48d${3^ch&5nY7ltZ(m#Y4ox_ODed7U!c+qCpLPz9@WXMVQMuqBR- zE_RGrrbu0B@aeubOf%dt=?k&wvB_8Y&EVU!ibHP0;kS%KTCM~4 zs+HrH(K%;43C1IEK2n4;R*S`|ZkfH6tY&A!zKwk%Qh_>o*a@n}{yTqjAR9&Cvh2?i z1Pr>6E1KdmCp&TjeLZX(d4{my25=^MCc3D z9i>NFqYsHxN#6flKq}Yh9LCArNZtt|&k@SO)|Yh#v|~`eghr-0wM0(4Nqb)v^+t@W zwMx|jn6;S2_B@<>{I4=sE_J7cxq}BEt6OY#%Ek6pl15+eaXK>H_WXD(FJ>c~N<99w z@|b<6_HmtA_0C%651)rjvIH~v8`609hUVI}MxEV2?+(VEikEiNjobFCscCjf8# zR*kl(gZT&jAFznV(f9P51ppR#j8xXdiq4XTP#6JU$J8P6{<{T8d5lJk(C-+TqyAW> z6ab6f=f<4+_uVoRM^+^n8w-qrVf|S=)i$CWB}!CXN{^a6z?I!{h>oXJn8T0!lD8UE zdk;M_ggNQJj)IToku9_CMBa*8c8=y|9&lS7lkYteU6kWOysfI*b-s|c;g4_j^{c&D z%6o|n3ApY>hw(Vn8#Y3Gen#=uNDx|D)}2rlSX$mP=aO^}8N>Rw`T5Y}JuYbr$UjaL z2bU&K-aGP*w3Xf-A_}Zz3I*Be#9zHyV|teWiGj*{j}Bh?5Tdr`xAI*RmTCR;*XAg- z)lmu<(++}g0!YP8@jvVm5!imzK8DxiXQtZii$dYI5De;5OH4C4$0R&M>@IOffC)(B zj{=+usb`NZr=t+ZS@GlxM15vnN@FxU#F-RL3rmBaK>0CQqa7mUDrMtuZ`++R+j{#~ zUnhthOhFQpTz9jV4t;~*?zR8>xxBWQ{TR62dQlJ_R;7CQq- zWU0&}K3{NL5XtFo(b@CQ4lEDpKCe7CGO=3Ug$I3^g{}5JTh7*2EY9CkGrHdN&i7`S zUJI@%2i!mr|G0sg>D#(59EFhq*a)%a26aVhY`dn zn@Rx9qoLbKyV~j$Qs8D^O5S4taz%9At8IZ6f$$oL3!6iT81@bpKY+$Ab7uYGa~;(? z5G7>Gt*pD-M|rO0-vkTn^#eby_X2Jp6yb*H(h0=2Toy^lHWNnJHZrr0di_#>IeN4K zm?MxltUGtSE5v%%L95#P4xotTiAQTkP(6~NxuV)vJpCh|Y~TDovEBehAwwUsNpFy} z+^kFAw7(528c+*8?RZ3$O2ZuhlL}sAh^UTH{C=0*VVl|+19>FMZRT_M7M5(tXE}R4 zLY!=%Ph7%*a*&^L&GNYM@{;spcVs_jCiUD{w8ss_Sk#Fju!V=pgMm~X-p{2t;-O@6 zE6cHIUO%1gX3fj#PukplfCW08zK75>Zidvb&TcktXw}4}?aZa3dNK8m#SA7O9S$|s zLSequq6G$!{(+DjBgfj-cXq$JPe<4va1J@VyMWFqLnWIcb+zg}s~=qzZnZ2-(<-XY z%+7p-a&(DOdRm4K7Vq$wM)_P=ial>V{c5daQ%|A?j=I|RLVJ9_jvUZI)xQ%+f`9>t zp}M%s+^)%8VTX`^qDh+9N6{@DV>dG-?7~svXQoe+T^&g!2}#A&a-^U&8FVX2nUteI zw}umss~z$zy>|1Q5|KK4zAF{($pswMoN$S670%2}rqP?-5rhcakZnY`QJK_0m3x*> zWG;&cS-%zQeX}%C|HEbP`Jp0f_+YXrL%D<#xa{*=|l=7X>bGB5cGkHzSH!k~+bk& z#%N{f5SDQoCDcb5Px&ND8abx^fs6WVbNZst*Y(Sc)Rt6G?BGReW>+w6D*DTDFi3AS z(rb~g5W%XwD3T>@^CrR%zkke{}Ls7@If~|JD0UOqd-xo^zFDu?*x|nlwTWP6pmec1#4aMWjVh z|B6a3jeT(s&yrE=fBRSN)7CVK-aZUt+zP0DcrM^geC`fQ#`8tfk5@`DF^op@){P0plL-hjKiL=Q1-zDi zopO_B`{f1|Q7;$}ba4aa&ZmUY2UH{gcjOFXdA^RTdMlg3F<+vRr9K-OPstUgCM>4@ z{A>4F!tP%E*c-bdfM3EoTKG)_f=9~&Dm)W#;C);r-Nv|P>U~X>opMtl+c#rg`+~+E zX@FS~HMR3$6vee{JOoH_uCh+=zz@7)c%`i#R?fm=PT8WBvEO_Tq%<8xClO9?ak|>SSJ7#FIP(?k(0F%SJ|8Ce<&lU=zUUjqUK-+MLO35I&r9naBn z8q^yIkhBROu45p6@S>l#5N?lG6PL*eGTbVLRBhlr9d<8_hvxhrUF5)zqO)NR()(OQ zOA#4b<=OWsQ5>U2NVGFOz?UZl`W8qGKe#JN)GJFkC)V>TUL6sZ)S##z2J15<-jdW- z+D8L^pNfC{KGz2w^Sv=@d0H1o*79c>sOuy#2S49eyvAV07ww!&4A_h(p(?&KznTOH zuoN3ayO`cYys%g@P8D#J&oX`f5)K}uiTjDGyix>{7i35JO~zAWN0J`v0{D}Y52Mxva%pzgx;GwGi)bqokz+{!7Kjw^yaRb-9!D~_zTMvhZTKI+5OAwu*O1d|f z6nuU!2@CB_8cN>5{0d2=Gq_16Q0_)j;#CC!^R+Q4@LRjEUa)z>J^Y1J%(b|>dHi40s19p70)k|} z)3RwIeYUN#b2;t$qO<9ABAsQPHe})|<%GCWPvSDSzgTxFhoeOI=a(ml*e}L�Ls} z*<41?=HNTyoFqu@yAhJYHFdQ9Al%m?=11|uWuYH`6QlH3a^+N=9qH@UF!TY%BV|z) zq)Lrxj&gE%VP-@CMDU{2xXlRTXG#gT3!+D#TPrZ3+vRAdy1Wo5@h^CEGW`#DR6iNbuoS6abHm$dkzw+RzKDkbv2S@S*vyesgjml|{-SeBB{6taDj6o%biaz+WaK zUvd%4S!2Y4ynxs%{g@1-JL=VLN8~kLBU4LC23S7ay~Ry3dOY~szXr0f_|*A)`0Hd) z)$8L;B1T^No{T@L*M|uoWjgj6%}0E=@sqC!+F^?G;8_K~oRVfkmGj2Yw zeZoq}RW9$w<2=q`%Ev(y9-nu-2PSg)-*|%du}T5gkE&i=yu$reXaqW!NgQ>wo|=Qb@Bh0{{`l6uZrC)s_ z-c&>W^vZYWS--pNP4%I78vkz15hwMgwyTlBa&w1QFME|Q{TUQFyuG40=Xooo^KSJp z;f&f!tosQFdDi^`qY9S;>+6z%`Mcz~MuV|<=`T$x5)LOpwA7CN;NqC~%d@C~ZJ z-XP>n-4f_>V?%pb4(#SC>C(GOPWulq;`XC^ENTI!Z{A$G=RVL^A$9%93G4n%jOz%Q zy|j&A3ESpGBVqIT(W&)$i`3IRP zog{^~Lk7JsYnxeB7f8shLD>gMSUzjrga!t`0I4f(Bx$6uLWC15actBSTvcObzKVz! zDsdUorc_O2BzF*{*!zKwfjN9pKn8mLVXtf~N;>yyv9wj~|3IMre?TDie?TA)t;G8a z1yTe8!ytFT>`fzkJnaGdhKq|aa-6f4I0>q?qV{5wZ|&}5O+Lo-WRiZSU2K$+{++3% zu+A;rptPjmZ#$mfe)tSgn?Qm^PtHU-{bhk{U_u#cVt@zQs20RoRwkG6q!FK+Os!Di zi}SY)SZHwYnP2tS=GW2c%~qK`?uRC)G`+&1XDhLQqeyfhLvVVgPy?<$-M{8GCiPVH`eyYg4U1QjSXS0O3oCbm5fn!MMNn8QxuH+H6xMc|b3L7f z0lX#KxK|LSGTXSnOj|)aUeOpmlBrsSpHrQcyN`JNu*VZHL4|_vpG;NybS+z(*Z2uhy+Q9RGFR%ipqTnNDb;5j~ zg=os=S)$KAyA)z?P$cr4Pkb9&FHTu}zIObsj!%6<;XlgRh%jb+=g?9>hd%x0&Amg%i2K_Wi@(VU{7*{z5_4f1#iOcU>Knfr!&)G{l;U0(YTn z&zYAGy^n=l$-69)+co`A|3N`s>`)w>U9a8)H(yclChPye5v~ZPsKdUnPilWXZ@hMJ z(ty+_29vi4-g5ZufH699hu%5G6#5+e?l%8) zlB@dw*Z(IIbcuz!bA^lo8(~O(70^dQ{i%8$`ke`q#~8BV_-^pd1abV238K!<2F{BN zhZedsTZQsQC?#e(q%m#KhGsI4S;(eTg5I<*-%tsx+e2Xt+Y++i7P?qSb;z$|zLOg` z(2>PWekm!rq3ElhV>9}C8==MZr*!-%a#nfutQWo9z_BeyY)WO$v@Zl?Rf26FTn<~a#G_s0FhTn-AjuZ82S3)RFLE&v39 zIe@7iu6zK1qXhEuT@zs0v)X`Z@ZnSX9}YC9*5SMk`c`D(WGnLHN^Rv;am$I#CNs9@ zq@B=qnT7NwB!%6|e_7Udd%{~|T&}w zX-#Q1uLc3rRF0eexIy{SDw1h$7+Qs@ln!1IQw?a_kA)Lb3_>jBZVk>V*;bmhRKzC+ zWG3bbq(0P4B!v+|0USMP@~!BJYj|dow`@P+u%jkVY9@#A)ODd&Brt3fjTjr8ityBp zVGBgn;iZ)A<1H_iE#*u7*4PBg`Z5kRFK-L$Xp75&vTc9HROpxiG>|*TC23x0%sN?q zr;HSEmIk)nHanZ@&J{QLI0>W!gBSUovz;HwxscRNGB)C&cQL zk{LQomNJjTveKay`Ud|nhv!u?RY>lMCFN~SR%Ei2Yf>n}8qAFAYywCyA@io{lDXKX zvGPas*OuzyUEotWKGcqWqARiz4k$j1?cy~?f5@O#^NCfZaU7`8@kxTX=+P>f`eZRe znY;O<6ZrsDUuBf;H|> zLYZ>9udKeO)CR{p6tv}<6=E4sfM4dsDQBA*A-6e%zM8h4P1LknkN2DvB|Ds`zoB zM?lj+`4gMt=h0J3vGA*p02EZhv}qGVTV%{wtOYzBEpqI*MM+vtNcWdMAMB?Ni?331 zEDTnE{y6+f2UWx(AJNyT3zs`i&ct%Hd}{lp7_7etYE|J{JR6Lsk=Wl?i8l}7dXD`X zoX~Blc%~gkQr*)5;f4FzN!sT?R40%~XCIdn_%|{oM`yr=o^xF0F0t0aMk4h#GgUVI zR{&&mwxGFY#Q1C8=kLVq4hA8ErE^v!C2??=7YrZ!(#_6td<_KJ~m!1N#W4%QfR z5CIaoWG-g(h+2tREmLcJ+YvJ}lV2DH{Cn98=*g|0S)Q6Al#*pMGHri$IL}zbYtbS( zHMUktO)UuojqWY0jYdi+y>XAz%q1U+QDxjoes{FM9+2HQ86zjp#~a+BVTSvHpSn&* z2=8A5aR?AVPd`DYBebBFt&e`3As<~FwEsf+O90)9am6kw6D>S|bV4w39}kWh?S41L z?l)C{Lx}vY+an5q1tr>o$An$X-9n@fU z?l2ay*4XNZWU`5=*MRh*XmdXyI)66vA3db~p5x@wX=#1y-} zJ#r;e8|e#oPodUbLBXpp;fcC@2<^=K2mTQPQmkYY3oer}NL=!*HXz7q_Rn>UrXAS* z1K9%M0||#{6#cmYq!avZ--=b9mw56^l5?8yxh{VVsHUZHY}PY-bE`{7;f(h{%pNO( zqN(#nm!H|Xr`sksrX?9{5ZRW`;QvF{ImXA;|LeL98#hj48;$KWX`D2+-PmShHcn%k z6Wg|J+iZGP`aJ)=_xbE|UeCLkHS34(echMe$hD1#!+Gn55{ch{4oK|S;U0^H4-;K<>sa-!EmQR^QsTQUhb!9( zkgw3Qr^te-A8VP|#_5s5C9<(*?HnoRIfTxsqei*Bpu0VBdPA_z#0_VgA*q!;1I#HB zvBC1t917mx9~l%;&Ze0RKnYZE0KuC-1HeC&COq!NqO)tL@*8Gvs&2Qx@Xsf^ty_-V z-{q+;zZvYx#>u4jKaTetj0s)7Us?>8!UqZvFuGE>;A92Vw*eba)OvaZez+K;FLgCX zA@SzWYnIYoNXVNF2sx4ADgL+On++({AFu(9_s+ZKW&O1Q@$e7TvDZYN`|$)myQX(X zM0wDI6ntgBrNcU$B|H?nKMq}CUZ&HJOQO?vW%B!vvli2Vq3a|DWlNhzwWIO23Eeb6`#cTFeQlQzdOCjC)rUD$$k=H98vEKNYM?UH z8@|I0x}k&Bp682b(aN^Jla!CZY>Dk~0tLUGcJ0_j>>RLmE$T?B9Pic|nQ4hN&O~wK zdGIRwMkU#P;GT$EhvWO&o5DWOXZChndatG7b!Htkn>84sHSnH?iXJT!7Q-%dTbQp4KzOf#|%_+gU|Vo8A#G`hSVrH?=}@yb%c3*5$+PR*~z9Xy2M^(|IDsjsxg>* z@tP;88s)_Gy$%DpZMoq=Y(fVzNI%{t2zIlLG(b|F;W*WT_xbLs6o{ma)hfFX0C6GB zd66W$aqk#sLv1CezrYVuQ(}}|Ft>w#YgcN+9I-pEVMJ?o3Q@9h1SDzeldb31O}#uc zbBFiB3Lp??sEVF&#pI2#RVh;|Utt|O>^IKz{CO4B`8LG96yD1|ieS}n(Aq@u+9g|g zS{YMo$G>VIR1-JBttvnbREHeM4XtBMeraZ%)u$<`%i_7jgK*MH=i;3LG*-9fT$`mr z*NQ-nS&t2rPah@d17mHDNN3I~-PK@4rHAhO>=!+nk{{<3Z)zY{YEjB=cJw5><~KEv z|6kx|EKxxvJjGsYede}%ATNAlLfIYS^n@f>|B%+eGa$wzJo{t!C$Zm;A~~I?z<4*L zJ^A#SwoKL$y9LrqL(#P9@>7+ypNv1S&y|o%l{|r)1H?csrWgD2*gRuXPeOT}dymWV z?=n!^5RC~$GQ?S2l@J3H;SkO!_qcHf{U{N%DOnr9AC$;hUF372ngjEZYNe!u)cQ?r zTBfzX?^|MNrCitH6S2qjjAV>vMi9V`WR#JIGyfU2|5ppt5bze(7Vq+Dr@h7bZ04C~ z|3_nK;Q3gDO`jvSAVS2#EV|)!)%tw*NVi<-=1mJE_*V;b0tsk=ngK1)4@7kLML-L5 ziSXO4vK$AU0Xo40=SOI3na&@Xf3!d$YBzt>LX~G zv-K@3^tXa&FEHS$F5H%5#7cvfuS&q*UPC1QP5##m^g?-SLb$Xjel#wt_FEoD+{tI1 zGgd|TRxeeXz^m@*^XLC3>$8ABte#NJCz5Zqx8U1*Aq!UKT;(d2|@aNtq=7ZKt6 zrQHhFp`W?rgBJNy65Kps-MqM8eltB}F;$wI+8D63(0>9cClg(KfLzU#B0A6`hSg{u z$+!_yM;KQ0(}s>9_yLRCZvgLZV(tXKZ1}=!O6n${ehlRn?YMCq4+9?=UsAbNPhSHXmmOpYlS+9@E!W+0>1?T7w03(BqajU3!L4?GZLMjIU#NFd2E#63zO_`OwC_gTb%lB@n>)@(<~Qp+ObDQ4)8d zm@W@*3&PS*#v+DENHn`c0Dt6D5}!uwxB0@Y04=|IXnM$khOVnbrsfzpwwUq59B&BT zx84ky;78NaXfC3n;Y;{x%SZ;`2GWS@&BN3Fks&S6Nz@{#utucVr!myWjvC>N77?pl zFdJdQ+E7F4v!i!4P|&G0L_Cc+-c!-+rvx}?kY>M%t^Q-78KL;)Znoli&g5OZ(hrcM zbIM%KvK3-xH8`F_LXf8ce9GrIyJ!0v6pfC=0W5b)8jlW2bK;Sbi{ehlOO1h#4r4)S z7AdmxNdEXpX7~N`Y;ku5O|mS0Yc_*6d0zeCN_8%>fpaUbY zNI)F+s^%?_z~;8sfbJCk7vm!hmeXW%MA)?iHT* z6)L$y2dqHPhZ{Fc+{o+vF%zwtu}jEq(G9WQ;bs*bHlOIr3I9N`qr52Wv!*Ctk66H? zD~K_6Cy~p&I;-xyFMbRx2^;!8)FZ3D-f+Znks1j|f&As+#r1iiv-<>rl5QP| zKe`dOxZn21%QO^P@J>E<2IIlvR?qK#BA02rdK zy)7p^1BO80Fs4dodvlgFCmT+B(73M?6Q=#YG7w^~nZr{A3#`4^T4)8Ww3Mz^xWpc? z5D;PRHljfC%fKImy7!};#ZUI7L$c8ZGgH}@99wE_dVn@ z`YaBp-?-xL%WC(|ZaC z=(a_8orx;QliUb&I?3o9SvmrKJJsTM%vZGQJ7sFxI~#2r__l6<>2(;9y>X&{4&Pn4 zaxZc)$3$R_%}C&zMmIbglT=zMXX$OJIq>CiJtP}>V(`jAb}|DQ2>#yBOI806KHM_B zO9EX+7i1;aWSaOiey!|pgpY|>=eWy%2p@70D+)-+Up$-Db(<#HKQh=Af--twoP24g z0jx1EVA%EJVMub*c%d66LdH+?ZWz@5YgRU;tp{_*`;$XlGg&ysm+@TQG#oS8@-+$q zXG^lubdysvy`|U!ZC4XYF8nV)ov5dvApjYW0R#5{SqP%Bb$q0ttpbc=ZtARED+@3cjFLVEptOJ*zF39H=lF)RA>Xn_rS>>`a_pMCE;#FcW?9le}+-A?r+NwVifZ;y=Glu~)8${(#5CG)e-YzA*t*q-@gwr@-hz zB(p%5=*s-=3-%mDHFc!pq!xOw=MQcdl%f6A77a~_v~x8>uP($!<7W~r(RNc0s0aMD zcj6wrN*KkJGRwxJ1v%f{gSDoTlT90tbPJAN`z`2dUOwTFvQkOVd@cSv`f0W(I8rmC zdIrZV*_D~KZ^9b-c}EOKW*wll^^>{11Rh+*$jvWi(d$Mkp0H1vOCEx)g|1jI3d8HCIwjP?V7pT( z6x5V9VhE@gAP{nvWFR{+ApvS2eL(~5&3>9OuB9XS7o|-`Nv?F*R=OX5)cYz}QmYqQ z9A((osKO*u_EU=?+IKb0;N?v`Oh4Y1fA^3fH~Fgux>sfg)Ij%SzDzHLX^N{zL&ULr z%n!F74v)gXCG=8Xy@KA3RVqRzVLWqiOtS^;?KYD)@zoy@O<~~HnXP85^6YV1MD;i+ zP0ZT3mz>xe3K1jcIb-`cOs>>%M#~2qj?UM1Cgn>?p-t7sE(CBudisWm{>|vq$7%+h zs(VhiW00Y8J0*1jb#2O}qjn@Czh1BKCOZb~@HhXi6M*VDD~E<}j}LstYpN81Qdl?f zjJ&fH>L!~Hfm|=y%JN3B!c!7jv1fLSPI~}fn1YAHm%O|sL8g8{i#v|7zp2Iv9W6>1 zDI8`&T^iHpS_JoIu2N2zlg)ZA2o2*ct+R}2s&gDUHx*FgMnZh%j)Zz}=;wyjebob> zo%9)35(BS;(C~imo{*&~^X_O-l}_`~~OY)RP8e#-5%*O^Ygy+7@tsd-4b^bqIS`n){wK zgn3{>2k6Z<>!se5LG3D2Im4tkE0Zq|Wo}wlih9}=cd_0ISKsuEZomL8G&TrmvbggO z`%-qHZr%O^_B1OuUQ`uybz#TB5i~owwkC=B;baS0k3#fv=wAoxNaIxvW2<+d-~E7} z7hJ~{^tUGmyP@FZjf^2~`D!(m+GIPY-Jb!>hpKV?ZtnNlue#^cgxFTp ztnp7b209V!tnLtj@UEaHRweRPC6gmbg92hv0%2qv z#ZHwFWm~+&Uj|WZyFLgl+3LHfc3bIRSx~oJiv%6)oa3)vNx%t|qx%XeX#p2sITE|I zW--L6_RK0yM?kIXMf%FN*uf)KZG<-B9QWCq^uaM8;87;#^Eq~km$I>W)3;$^J+Er~ zJ#GyUFB7m%FAc_b&V8w_rD>XwmT_uIEWtoSNR)EeANoRI;u5>h6R0d&W1`5H$d*(6 z;M%q29`_6|K7g0|$bc5?PQp+zkl7Vm38W5`baaQJe!g&oJKCuJu@=23ZfZs2ajPfQ zoPLHr+E!@Gbd>J5Mbh;`nN|aMqZ{JjDixCiv>Xff|9XO0pcs zlDqQf#Anu2#d??nhZ|)F8L*w|w*3xMN%bk=Eyxl8+DYk$@n>~{5I>{Esj z#iK}~B~<|Mre%J`rT^XxT&B$(t=v(sd@hamqF}TTzBTr<>C?2T97`%)CK~#5PT2}2 zI_ij!F~3lxX*)(^V)_(fYRSEwR>sW7?r4(w;8wLU6mpzY^cywyG4}=m(Sc(*=1$b4Th^ zz2C2t+ga0MSgNTJ@!M`eIq3xyYC}fZgsfbaX!orBJF(v{R?>)*A&;+dG|gdMFOYojVrlPTVlSF zdzuh|7bB;~+mCO)pc7w>F^!%fo>gk^Ukd*AHm^*ZDxq-`4R zY~Y%vr=V6N8-J}dP3yBg6y|jM%ro8-N>3=Ud5~bADb*to=t1)FI{G%F{0x$4#tNi| zPgGbVF}S}EwHZHg7!A$6Tunda$bhZ!z8X>Yg53J`W6KE*p#k4TK{pi5RYH}(m_W%Y z<&$qk4os@S5@qHy*85e9Fig^~Hn~=aUIlmyRUy%{IQne^M;raT2d=E4&2lVTFeT(E z>?~)fwhz}|UY{|(9yeTYtW3r<%kR1j>_DL92tjTax)bm31Cnk=^aH~Pek=7O*+eX@ zGucGKG6jd%BwSL4#R$f5a=V2C(4eDkY=P)#2eyWKK@q60)a+APQM^Yu;pNWRf}=}w z{@H^=*ckIS(S7<>I}Fm;rfn{+mfxReY~}v728D|(hwe@$sw1Lgy~cuB*LA}7eJZ{s zR>9o%I&aPShUad4>n+!6()rBXm@7=VP+bc<@ByDf9n3PgkDAbe#^-zKJEke@f@_)a zMf9y2)Xi``ld=t|w_^;0elA*v3mWRr3ol~xRDVB3*um#b?6nMyGudWJs@nps=s8y| zimu?DJmTqy>qD^P_+qy|Ki{N5@sp5yGYE;CfhqBikkrZ0+g&Wo)RD-_uf>&iktbaj zg~VKf#A3eY*p6RFfa2g@eKshz8-Vp0{nFhJus)b-^E?cW^Qp`VcjPjd!y(gT2VJC` zwoNQfTOd?FkZVdvtnI^EvplO8{lsG*Q_nqp=%6MNu`aE79$0urV~!z=1G#RCR<2L; zFvN-@vW=L!f@Arf=kmgCh;F~jmipiYbB}@6DEh`jJSF81{GD~uh~@X~W9nieen^#wud=QoMu zTHBD$i=JX>QB)Z)KHUD$3~y=EjBP{4P2p<@1$qX~u~v17Ty2k{Q)?3PM*BW*sA()> zv0$rTvPbVZEj|aZK((}f-guw)b_Bt3eD{zRQCmP!joh8AKG^pG#o0R3$5Ap29@RSg zdQk|PU-HdPy7XfsS%E#(VtLW@c2C5864696r}bc11oRXpfDw6;KssqI2QgTmV1Yxa z`r_*=U9y~csT?LpGbLJxzk01TewjW}{J6a_sOTctMqN7DG~2VCuww6(;eu6Li`lP_ zmy5BkRZybCsQ)c;{6{j5zCg>&3EP=o97V%;c%saTuX!$0Qrj7+^w@nG*d`+FZH5hD zS+heYbrkcpF0-uRs<(d5FH^aBnjx{r#A3!>K$^*rsx<5@av6a(vd3{cd1K71~WvO4-1DfIJ_PAP)N2 zl$#*M0p@T-IA`Scr-Zg#`C1`c)f*!K>q$X>!+IV=Q#Izm`TR868h1-}Lz^G$au2M#scy^;)41ZY^-zwvOQc^B1(Q4 z;1U>o$jE$%<54XgcEvMP6Qf4`AaHJm-}59Dkgb9CEX*+V#3OzX-;He&)h=Mdo$U+x zppF%(xaml>|0SWr^@fr}F;O#Q(g_2Q1u+Qo4yjD#fPBObNqL9nsiX8OnGQ)JwI&xR zhFn!5fT9QAgr=MaDEQX|^#x|aL|T=$Sj{4#y!4+ml0zic5yufa-ce3o8%>z;8&7xC zWDivg5fWA8Zmniav6lnebPe`gM1DBSSDSv}jxo7UhZ+@!j_^`jFZ*~YusKmGc#_m4NGfy0{x8tuXxv<<-o8H{x>j;5ZCijdGb@lcp zAD*#oHxYD3e^^^0ZJyl%*NG)PyLX6zGvn+#F}zs%I9R?RChSqB5JL!W7Jz-M4tD<; zUadliD7834gM}9BV ztiifU7_x^2&$VJ3YuLfBHd>@2Tg16J9v*y4J{JBx1=qD%?~7lASWciI&urg|dsgr6 z|IPPIieNl5K9z(`=R(`aBYt5vb+tElC0Xshp_4Np0d4{z%1ZM3+Dt+z3(-qgPq2;6 zEK6(7yBix7zc*FEX!TEXJp(~%j_Bcj2Rxv=>Dl;pPX6w9KwfQ8Ut38lG$N)uEZbDg z97Y7MtS(w<@;(*M^Q%GrCA@Zod4}h@?kV@L)YX=HVPa^wXMFXO`tyQH_!;t*w$`v- z>K+GYONeUd!9%O&(84c1nU2XGU;0!6RpNts!HNgs04%C1TL@k)JZ5H(iwy*(!fU%Uo8rFIT(#RP=64lHMz_E92< z8T#?gLkhyH1dClNgARNJpHX*GX)?xkilNFz&!6)LX@U&g{u2N8j^_4DQDs;hs*=z6 zThd@2jeT;|BJb|&bU&LZ0c;PmU#z@u%xm*WCl6jn4K|DoF5bZsaIg4|AG5Gt4Xq=p zuDH$>xeEK+dZZjd)9IkYOv`!eUu;(q(-^hyGLKVef+M;|{81!x*tI%E#@28WGhhS1 ze8rM#ihzjXjI|WsHG!3{CQR~h1J4T5o|<@v1rOmFIL956b!ac;ADD39cmWYu7tabZ zqry?Y*KTY?`Y-f{8RRw$c!iD)|KJ;#D$HH-n`gJ8LD`*WGI~^a0@>+M09zEXA}z2ACD2wbPLD@Fr_mqvQ($5O)}Jfu?3rod&jVs% z2-iq?dL!`If!oOUOw2#KQ6hRI;fL)>Lx0_rUVHHv?XNa!MEzd?5Hi+H?8hBB4}=`T zUkODYBZ1&JM<_|r^;?IV4nYXM*lr%!p>aH4gl%}o$4F6IsRpK?c|0{eRWa&O7=eEC zcLyAsV;NL;`)WVuF0-)Q{d!a{qC9W)P6|T{6*lR$n;1BSQD;{OcBpB4d5YzF@u~X& zeyF3*BkYW1bn3;lGkqVz{p)eue<46R0tzJYf<_V55;~%i47MS^N{VN?)C85~p=DyZ zd`7;%P8EO`sE8QHw}t9t_&U7?ZH43Mp#BV$FuiGGu#w1*8*^udA0m~z^|LDM>{e>%ct zx_^az(ZcR0Ep1HmR3n%;6m6v@Wpam8o-B)8$2OVyy@iHjKhmZ>Baq^(Bh>YejoEXo zbxo^^n7;^6U8AmQKa;npIlusYX;SNN(SMT-VX6cOcxfl6T4Ra@^v7eM(2JwVV{z^# z4xR-`G76}Bd9=5DQ1^9?iVdGDhqmVXIk|)oQ$p-ZJJ`c` zQegUBQ;RlyEx5`vaxZ`Tn7nwUpy_)lYc#ELMbF2DKx!2od!?RHA)aMef1)xChjK69 z-ZhQbgWSXjC3LCHx-Zxn{y>PeRx-ldmAr zJ+JL^GIf5ekU7EQ(9!Z|=oxR(%a52RRFA`sMe~0Npc7$$0CLjFs*m~r(5GUKnT;c3 zQTUPo0d%GDKM5dZzx?Zb%-{97A9D;bPsuJl@5nk<{-9hN{N#bmQ=n zpCU`uwg4iifD6_;J@x^-?lbJw=J<8Y1L{DmR3;!Fvh0UsSZE|g{E+)gFZo&2kG|W^ zx4*1uuu`#4CW7$3`G_rk>kCb)qXLG^y$q*G6^X#6=1FiiT-9Fz5v$EDByDXiwj7ul zT8?SBKB;`UBC{Z;_`{L`q-gA%B$v)|58Is`3f9e3P4Vlp0o0|hoO@+<^6r(I;YG+_ z8fXHn*YqD6D8dge1%1~~R9(HI5MvJvChAf)i|nhg6JFmv8P$u?eHch#Bsk?t!pt0)9=3=fPhBL%Ah8Lu(F!!8IGGGv-WcqO5Wa*MV z3-Y3}P~VSiwJUf(Q&CUW)aFkgo_O$Ng`pL%05+e~(aG;B3$rGS`3SY*ObK;iS0`>! zNIYjLzwHS;tw}mDnP)+Twy+38sj|qsox4=&PNs0*mLLUu}O!YM%3fGbgiJyu@zzc)tbMd zpSc7O1Mf?8yFc&~6`sBebrzk$XKh8w)X5#@o@lsb$V$rN) z_|`s*__=JG{Yr=t3xppeO*%ELpSk!B>YXZlQ^bn*f6YKXDlDpa zFnaJ}lTe-(lES>yrr%HWxQjUYbEf3K*)FMwrWbHvw~u9}fua2X#V&q|PeW5m;rlr> z42so{NE<=XlM>J4zl;D$&?v<=CepztDjnIclvE$`*7)sHDc>=IsUQ4a-Dz+?Gp@ek z`4OhKyD?jZr32YU{l)@m8p5=^u|UrVohOKZX0|pta#615I|RrT#hBrauo)ZXy+**;U;L;mp&>0Y^7L`Hu`}J-~2uXJ%%U^zv7o@q{sHr>aZpi}e~@R)OHC zyY3FJ{7v>%gmr=64z%387qBBlCUOlVr#_?G>WTWY#cpPq=f4wmk_(E0`q|oZUJNEX z3m&pAX@ZTP(*CQ*`rKPehU`+KeQ6Psm=o@00b55&+%MLvaB>~x!LM_W4coi1?Bkd| z5$@CyJ!R?zzD)`%20Dl5Qz)-$?k@+RInV}o*?tGOddWsoeE_pJkWFrd5532an=^~uN#XDYAljXeBkx8JB0cK zK6RaJNz<>SG@#Xv%}P~Br5daemYJn)GnEkqv(Wp%JNN23k! zypW~L+O~mPD7i#Q{8?f#JEao*@_Wh?a^7=~%U)X;Gc5epROwa!#wHA)ekii?+~rOn z+0Lamj)75Gnx~wB4sg4j>eHM7b@k_?Lhf&x->#9ljy{N26^h4{VfCd~O_58Na2C^}xC(b{Zuj;*(7-o$&3_(B6;>pZTD>a-(< zak0;f8JX9>AA}@3xi{wLSQkbsNB}Ew&@o2%znPya2^L$dHbhwzv2QAORS>UvJ4$XE zvOKQN0f3ePotcM-Nwn-EQE|2edkUhOOcJ&m(03{?l;szww{)5I;|L)UA!S^F103 z_M?RBD4|tkN-Hp5(UD}+OxJZQUOjE#I!`bd6p08;Bqp}-#{k&0n~{2Ij1hLx-C$T` z^^-42!ty1ik69h>j}ULJu{t9TOfj%9ujBjWNe}-9@Spy}k0l|VOcyQ)`mPkP#rPw9 zXZSp-@z?Kuv^K3NoF7g?mqdmN(w>X>x@zzNb?NUQKPj2%XYI}0qq5R(k}|qml3_^! z2@;`C^g%0Ou$p@d0lcPa<<%T-^qBz*`dfXsJkI+ZnGjf`vzlTy@{k*8N(GB4r1$}U z;Kv8~zxFKzxzi=`_{dJL^bQ zONpDI4(pr)kO8@Y02xq&f@7)GFOM-kp3dYiDa#;wxK{#^E0z@QSV>cOlZ=^14Pf6^ zMcFs`KZHP8P4kTOm|Nc+PLfejF~j#S?xxG$^MskB%2^VJ+5<+Q`YFH&Byq?-KHc&3 z2sT&p#rQoSf%LgO5b-6{NaOW_17(N_X0+3-3gnF;0JqdF1D2wZz=C%QMZEzm(1?tvU;-BUE@aNKNQ zYo(A(%jhz~Y}Dsrb?SYvQ%uT9%EDG@&2d)las*pDExvX)pyVMe7c(`srTK3Cvdc$k(*IIV+hvZaT zYiv!tfk8E1fB|BtLgwV0u7Pv8gpteg*CzZA1Y~PbNYN^t4NDAG&iWv?dnc04a=AF0 znquZY#Z9ZqAcI$G&rqb8rd6AM^R<6bX}v4MS$Q^8+0n_-oXQOOzo zjlNl8lll>(g=!*}+zd<$x0_(uw~8iE0bSAb~23~6pQ!=WCZV!ILx zG$8zrlCBOg`R9 zh8*_A!c#XL8jrRwP=+(kcEVHJ0jikCcg@tVaiRn0?}?zR-IT2_W#Tki$V*JzGExk0 z2M*5J8LRdtG7xnzBgT$k^pm=3MnG%#QE{!-iQ1eBWR}?Bu9(y=oPtvdP!j!56y2vy zY1!4PA%O(|2{f+i`{$Qa!z|;@2~6)xX}EsL_TME*IKK$3T<&J}>lzp8-}26Ssi4C3LHnl@7mSD57S6$(^>F%|5NNJ=r_~VC`-&l~nEWYqwGTj@p0oU9r zxxUeJ1za0B-Sm{Ks}JFoyqeG+kaOG9-WjqBV?{Xh3ouUP*Nvi;*Wi8##x4-n`)4>K z7Xz>y|Qv58Q1RVuAv||ud1E3XGDYA<{^h#I($?J*yJK>zrOM}LDwP28? z&Z01~rAHh!^%QB#FH3F+6n7TGG8D0~8$g*$&J@}lNZ4xlFR8)M&fPd>;gKEUaC z*W5=P2%!THrMFyZ?iv8If^P>kWaHH~v5v@G9y@-$sw~vp9Au4rN!R2@>BfuHk5Fng z(`*N4FrikeI^r0^=E4G#{Wig1hDeMKo1x`~uO9wZZA>LeED~^15hsFDtrVxVC=o-prbsMe zD6S|`mrWU0c0T^d!psOc+t_2Iu*6gdc_s_Vj~ZZS&;x*`hC$#jWMd_n&xM z%jnoQ_cr>83=s|0IBBgX$z`S2TS?Q8*;6~q907??6vV5ElQHzY{>UjP(}~ezf%+y8 z8yDrYu$ed?G<}VTT+$Zjt*8##5(gk=PP2G!(Yu;ubS*u6u3JN*JPXaB!Wh2OEt(Pg zC_>VI8m;-Q3RX;4P39UK!TU^Hg5f6VW{w`r=1bD{GopbN=aL&OVHrZmO#|_;067HXS>>W|Ah>6JlX zG8@KElea7QurUbkI1i0PG2Uv5Aknc3o6mwM@8EUv=AjGCFxTh5o1>V)%tVX$=RKS$_&N{^B%0Pcs zD>tf!C}UDPf{6Vx7f|KUeIVUjd!QGm>~4^2;~F8HmR?%iGf^*KQ>6OXhQJFNkbg)g?lyLmNY%=6yNdBrFSJtUB(z^`bnt zi3ml;hq|f6E#Fk=Hr7s8l6Gk5+t2Q1wIZp)m)hbCND(iUrwlNnl0{^`I z0mk@6h%)PLjM)vvukiOZL>Y-u(t8^JRy`~+A~(|H6xQ39bf&bNZgMIdmNEm8j_h)C z(O!ph);cZKvYpVK*~X%|cq$y*5*N1^xiiwsoBRR;Ek7Cvw>PjS#bJRHQLooCoFZ<5)gQ zbHUWK89n2v!_lNHYN7vg?31MKIXw9@Jh}S>mjcH0XQUKSywB}sKFBlUXc73U0`ELh zA;(7B?p#!(jGIh?dbuJ0my`3}Q2OXqlLn3`l90OTG^BwiM%wiPQ@8TR* z$ujQChJ6wwgYGq+jh$^0B+@2^rmq&BRcB&=dSwKwYjK{N`byK({gZa_z9l;2_%at% zDiaE$x3W|Thv7&@Em>SiyFw~|x7kMT0D*c3qzGaQgZ)O57E()>!@GuUq=f5}ql&DwV|l@$cp$v&4Af5`!_j@@%3pB}$H z#if;BJw~ST9R5%(Z)xZvK39Wxx289|T@sccf}FGydgv}{iq~tIV#~$PKlrTYa2poF>E6F=P zUuc_Rh94l0_a6GDV5V_+qB@7XA*voeDeVWmVuAxm5&X_*=$KEb3hyyd@05L?=^lTEr6Y{H_Z^qD(5G@JvU152_5fy^+`LX_@?wZUlT% zJKz5_^tpe0cl9Xe0~+ie+L9{EQ@gnV+c1mFt*)jwxct&b{9z2^6bJj3re==QR> zc;Eg%Z}lqG=)UhSg5ki0PfJ#yv<^Hm&Y%=_KU+b3&VmIXLpGdv=0a}KA}NGBpHz7!-#a;EAd{u8BcVX zd!qtjpc}2PyldG|ieo`Z>j?qRUlw1#HFnZI_|I`y+e8&YTN+@U8$(ks!FnFNYO6Nz z>p&0o%6uq#q0zc^I6o#_b1c=kzJO9Qn+ge6bhMJEtzoQkY+ z)hR#(cF}N4`VEVXC^Hz;!KzCdxx=_0BzmU$QI*L|#(lps6(zA29@U1Q$G9pV*{=BEOtVLGh9i50Wq%{3JgcX=aaH%<3 zO|f;I#w?;13aBl<(@ewj_}*DYLIpo<%x|W8V2E}Hl-xr<)yo*Iv)&}-wbdkgJBN0R z4L+;bX^hnfziUkt^`gzPUWp*DDt+id=ZFj@r!>QwF!5_ch+nQ|tk-=`A&Q{reLQ#8 z?+ntl8lq(#4QC`tQ2~n__}CbM_&SB|hfm16R*P=JMPnV&va3PC`ggV4k6MPj?E#yQ zXa^&7NttPN%LFf6);m8Xiq-DWT~smH`~oIhMGnW( z+-S^B~53XOq zkxhQ{!O8yqrHJvqU^wKrWCQ;x#*u8@Wb<`ex!>$+Ex#6iJwJM`X4XNxk*H4JC)v)U z_}r=dKN%xjY`1KaN3DELw_GL``EDhJ?;JUb*pK;rhGTBFw*GAN*6S8)*D|aLYb=%7 zVoIZvpF+Dov{3Z#=d%RJzc3ZF+G#55&B&LVY5Z9H6&U=B#(l~cQ^8hhf8i)w#D&&z zIp_mRPQGiT@cO4hLEt5lKosJoUF}zsDy^%&^MJGmS>|a(^O0e~+Iqgb5MeB67;#T4 zzvg#BHoTVB>{gnag_dhLM-sb(KVe8iyghF5M5y8&%wdbCQ-@60?GoM5TwqFb$Pl#@ zkA*m5-tUw{gnk;?Z_F?g<}}k9+-{G&Gg-X6M*6#^5#Nsjtq7yPNVCz-omgZvd45r- zUuPE1x4J)4vyB}1JmcGiN*Fw8MZsqBMJZ@KYV?D-UC1g=u(@BU?sr(n;cb;#)pUZALVJ)wvz_tMkIiBs$>RB5UiEk=BDjghgV3Q z@8%3EMQa&k#?VQ0#-(umu63W{Lt|J#Z^XziHj^j$kD$9)m`A~<(^nS1@)_KBsL94D zUn{F*=lZnw3{OI2iHv(RA66s!kV;NvWO?nt5ay1S4_tK@sz+qq)b=tJJ-97rH=&P3 z_BR($(y;%q)mk`w<%*rVjuUPuC?(M(#kzGJ%BtoOgE=(6csBjU>Z&=vgexlKhV~0@ zVfTH~3so34uy83<>G?`^0t*+d1HYyr)6vhqp2w@2ve_NqP2HbksNeIlSfWXSqta(^ zVUP~jMSa+0LDz}u1o7Mb_;S2lV&9&04aT{)3_ug^lM3eo4zReF3g3JBtC9Z*CM;2W zhQRGdcy@6+X2A!O`LN2cIgc=IQ%>$3sO|J^b}UY2=H1ozm3KcuTPRx;U+K&sVlprF zh8bQPtfK334z}A!5{!6Ixk70Euq5>BE@fGaGa7MlQWRg{U1ujA)+e3M^g_Z$fYfRoVYRRvC8l|!*{`)OV}3ebfo#_uNwK;~`!)V=IvP1ZHQI^)dB znputd`N|@sAU$TAf!wO2Tn;{VwzF`;(I_8rLgrWictr~GZhRF-)iD^z> zRf}dxKeyBp7?yz9REj}ZXhyZOK0_Gw{o!h%Bw7@q@LjNQzCo+9^zbw3Zo48CkdbE6 zH(e=dwMjV+RpHGNMh0wjC#j~2VSAutep_2g80%*@?)^`Zk(f2)!d%1WqYme0=M94X z(~NoXRTDS^IWR}!2i-chv$36xZEbAZHa1QswryvVY;4>1Zfx6ja%cbV zd#i5M{Q{|))XcB@^f^yAMIE1b6sE|@2@7$uXHL@ZD=^#xQtQp$b_|sACes6Bk}Uad znJ;A<7Fg{x=*s|cnJYLuySv@y=KXm+^-Y83)u4gP)LY-Y3y*{MQ^9jmnkxeenyWko zV*>Hrw#3W|5TUCkl<%+Br;dp1`G3)_J*yxD)=XdE>uM%$u#AHiA6TA)Q~EZUqMg#M zfK_tG*+HARn*>2X$69+p?7EBrTU{pjr1V~%)E;9dhCI1NijVBrsz@dmG(f)!*0e85 zY<$Xmyer~6R-^dXZM6G+`N))fy@sc_wy6VJn(&jc@q0mej(zlE>67m{^I|izGkjd# z!{~th0kd0l8vfeHEyw9~w`pJjSY3N~O?|-fA)SdHHf|@a4}~+DY*IhJ5vwM-*7Wcu!2K|T|d4-j?N58+m3`$JQZ-7 z9Fpb@3Qp|xoIOVVOW~hI3|R8B?GjKzIS-;o`lujfc#&C!mp+c#(sArJlGhT71`#o^ zbQc|>kFfJ51(b@RqXJT3pU6xrM5Ct2+CV0amvXqG6r8dX+602XUbIkx69t4QsjqEi zDOO+uwxKxK4AS64^qGWeKrw)KI!<)4J{!l`JBL-o1sVEql2BK$em!TVLR4GB{L zRp|??&8q)nZesXyR#oG+ldg|Cx+VYjptQ34Tf}+Lgb%9T3Bbxb=0-7UKuIYu*mKXwWpP!(R}p2HpaK3EeCh_ zF8&~bB^~(jo?|k*Ye^ewHt6Mv)AMkk?nbP%8$`#IHN3nyNvYH}HH!JXX@g#@FFu%% z<@I5LUa+_)zr=1=`;C0vs3+GnlV-+M6?8m3%#1!MVw(MiqMIp(q*`P=jeRnr9x!nG zE4=GjC7Sa~i;C)bb@)Bo66GTAusv|r3AJ7_u5l7Z@`m_6oA7%^f)>x}i(dV3L20wI zrKKpvO5iwcfO5R5RH|>Uk82JtYxgj5+IsG6Grz=h)QW0?GXJhjg5XpqE)yQ)uF3Ri zyZ{fTv7ls!3A?a{YvFG2T;02=i)MyJl&Q%-^qGeXwA*gk zo*@C!#HB-~aDtQ?_`)I&i%I?BB8 zVqR>&{2<4LHh*c^U5Q&Z`sUF#o)OlDTtu@-uzCA zqj}A*@mFm;7JR-gPuK5kwKjMUQumNLS6XcDX^DLs0^s(?d5^!T?0;^;Ctv(YAF5ls zBRF$4cvXm0n<$BYeN~O|go1!-AufiG!98 zW3c;4YyIYi$o3@^^|(#Nzbh%}AFwGMpMKiDfppaGv}#v_4F(w_kBlvC@P_Wuyn?(b zvWzsTz4~;$N~(wUnIeiF0Y4aXN7R)(bsBIeXE^ zQaV<=%ahCh^5>bKcTMo&KUK=ZRm^}~`Jztn5wGq!H|C)20IAB6U4f1R!5NdfSYX24 z{|j@OOb?n{q=HMX%t!`7`GC0iLdU8AtKZXy6k@-2ey!d6f>%!6X?5Fm&tLJJ-h~jR^P@99d%9JLTjr#`$_ozN%&c00v zz2qRd2r*tZTebJ;(zpCR#gC(;Cw$(WfxQErRSpDO$Enxq|^|)a#no`&SBZdBq=Dtp={Df;<9R<1O$+2m1{WqX$R5eK-thyB$ z#o5${ts@AW(ZzX3So$Y9D0*4T9BStU7FMji66WUAzl z+O<=(Me z0MgUmYN1KK6gA}i!`Ge)VSbNXO9BN%B_HoYUNZ_!D2cPyge&r(@OEx`c$o)xkA=)t zhB!X5Vt1eO9E;yF=+4AMk*;dJczu#LrgT!w;H->JJaNDc_VIU zb!&(PnG_XRJ|XR{Ginf@p#?TF)mS`n+-AtijVwD5Rp4fk0@NBO*xt4x#_qL)^ZJHK zjUxYsP>R4I)XIvHx0`s{s)%;?%F0e#;M_UT%`m%x*{!BxZ>U?1cBY6+uGLI3*vz_^ z!TfPnx^4T%Ed|?^I}<99gaCX*(@TaHGAB43;?s${U7n^Y(Kxg}} zb7c6$YV*ir!lI&}SmvLO(92cm0Gb(P_%(B@+{`6SVg%V#o13009K}8q!dx!k0ZC;i@L8O1tMn8>+dJQ=&=tqB=h(F`3a9CEWW2Mb>9WPA zPU8D&n@MrJtHhvb5J82Gq>z4{jucloG(MH+=PztgJV>L(xDHy(8XnETPZyOm1SZh5 zGTwVPQ`YiUudUHUSb<}=II!6NILq!0XNi|cmiQ^g5l4L#NgCNNy;Om))!$zI^H8}A z9c;62oSpqB!5_L0Zj1d1ggE7{O;w;k{>rILHy#ggxoZ6S5OSZ}r^z0M`mH&YUgM~o zIZ&DvyAMTb(r()LlA`U^CZNm=*x8ORo@QD_;lVwZ@^1&iPj@{31;xBy9_kSHNNy2j zI?!~`@fO(E2@J#XGvT@ z+r4rEwD&_A5FbUc2dGELgi-X{PX+Tw!{Rkof4f9DDF5k`5>?CT;gQT>B=L5&z?19u z&6$spUXDjo4#3+Hcp|+uO;~zISA5rL`z5hMTS|9?TYU$G2yHNb)eoA6fWKV_SeXSy zwASUB4-K^xupvrZZ(6GMtWq(3Vi=XBDMjcp*m#@m(+?D;tVM_wnP6M$yNf=~PDBtu zPU>@A<*!lr0ac-#{G(AM*(OYrutg-9%P*=ZT|K+#tT}>F@{QBbD>xI+2+YRpcjd#V z*{L!(4F$;7Ya;lGM6F8++Zu9rKD#nhHwB>DB?-ctRjWux&#{$aITkN(qBUikmh@?d^PKP~!K~iK` zl622bmK&Psz<*J54=9CU*zu)4kty*&Wrxs@P0%jd$G%7qAvV?w`>b%b}F z-Xg_{d+qTkwZSgdh+Cy772VqfS;wJ&QW7ikN3(mo?S><(?m_mQAAC^d-wn9EQ-IEa z`R}WK;fB~}Jaj5Q4%#o&p=yYH_k@(VuoS^r-F*^wl{l|b&=ip!y(j7S4yuX3OEMef zH+xv^r-}DB&esbCU{RtZcEKmPa{&G%Vl-t%kD1<9KkzoFLSLSKWiFCO_-S}7y?+Pq z$p108k(}%2kW=^>v{cxCw1sT_rG7BgEUbLErL(;FzQb1HN4(sFKDPn=;_~EMUy}FY z;_h@z>VKkVEwNe7zYpK@m$rCzPJ}@U-1fj;Zd=n*-?YUCc!gu~9J7%yfdaAT>|tL* zPgaBiag~kbIl8LyS8g5iTzYp;FB`6FgmSDjZlhBX5tn5XPZgYe#Oj-sg!y7>ruBR_ z1b=fHxt~Y|p~Cdz8EPY8(#Z{Z&ilUuyDSQEa%f94>bl*ub0(}Q?`q`t%jZqQ2Z(62 zKc(ZIE4JT0d;s_i@}JRb4uv`Au(t_R&T7G2h#-P$yVP@yl)M87s!jX(?}vQ`@yRyC&cn;f_X9`AtH*1H7%tRjD& z25umRti(Zij6Sw8`R7f8<_-p0r6O-z1cPbxk+AO+{m8<4k*Lxbv-5~?UgnXi;cjx7$&t2{b9){L^NY30?A7QC%C}4 zw1mKxgaEGkC{HRJt6-CpkXa&Fac(R;7`(I`qv+a+eN5f4JUXXyZoF6uoR@m%?f-LG zy4Qm#dTjYp^rTSUy!pyrm0ggYGWVhNOFn3mT1~;Fy&!d{s{e%Bd37m#39%d?S@;7E}+x*nZXSwn$K!qbs zTar=GpQlqy!NKId(9{aQJ)jpjHR#hFKetiX6IYJCw_nz^4$hV8Icg*uL5>KoC~xGg zTZ_pY8oYc%QA1uC%14=nZj0>2rUpca{(-LFeln`=DMoJ;Nc;}?-t~@h3L-E}YCyzT z2KpMb(BwNQ4x*h05xS+|`TYCVNOA(pR}dbtM_kS7o*;kX7$Z-`nW^hY9>%jxbkzOs zw?(Rv{~}i3o#BKK@gZYfgxnMymw003MaxLMNhN}w*y74M4b{l?I9lP=v?~q&M`Su5 zoeHcUJN_Q_9CILdn@YzsE|q*|f9*H!2qaR2vuz*I$-a(K5ui9z#Y=(3;2@0YX!7iR*n-{40y6N!d_r+?(yjJDL)Os#qv{Pi8_x^^`HuZY&w z6dmqhp^iU_Nv|P;)m6<_!<9=b6maH9PN}1< z64mx6$tm?geeQDzrK~*vo`L!tN35dZ5Aprre0U4Xv}54;FsQ~QE9HaFQJ+9RzURht zt~h#`;1;S7&$aA0(28N^-EYIkJxc0+oZYElLP7X@R$*dn28LRLunLD6=_ zhxdO^rs0MTCg^;x4-JO${I2Rmxq(Apxi1?M7hvQa{U>mh8&W{MWL$#W>7pRvY<)Rl zf}6X#JaYbSG})bE8c%s{6tWFhC2j-wuygK&cgVz7Nab#!V$~H$%&v+YhL0{nnUx!z zXGoBF=%lV9|2-j0Y;i~313K69g#1~DAH= zKV&mv&=#*zgd}-gcfya8Ph%!4+N{dMA$&SExme9K#;Q_0N3~ccYij8O>efb$kT-6y zUG@e5YuF^bzsjagdrIEvWiZ|_NgX;YYL-Lzhh&FL`3u^Zr(vgQO^z*N3&nEwHN&`l z6?HDKE%TIO6zc*N@u{`txmNT>U1*<57{l)DU9gzTshMsJ!%MjUQ(&>q8+fiKx9kF@ zV^=&s;izWE6Mj8Ndfz9Ng(gI4-u*_X`hy5jJ#Ywb90QymEgt7$g)ZjVXuqJ%Fr9vz znB)=ra1;^wbPTFi$tJ5*L7121))62Yie&4~7i}g+sDkI#Rypt;e!#Da68^J#Up;!- z?zjwHP@K+Y05;X)K=PN*7IGLK%;uM8!iMj_d2{j#y`^h>z@Z$#cmB^1TPIC*eWMy@ z)M?bjx{M}$L^BFo6;)R}9IpzvjV3g|Tf(uUAm%$qEu75kszInA%(A;_xseMb;FEkH zkJn{T;{6ln*b3-B%>bOn!TYZ*a;7mS$i>Z7HB;5>LMRj@E{&eSUtHh^@-FfHB3*z6e2;1%n6Ca%hc z-eoZQ?$QS8&FO8M_+1k*Uu`mkzlEqbv3kKjO%ku6EJJq6K&UN^0zDb`+~u(cM?7e; zuU_*l-gkwBf$7-CT+!UuJYVqoNr3g(+Sfcjyp7q%93=;aU!lN5R>qTR9ZH2?;#(k4 zT;%2#Q(pElzpYkP;rh7vT57_)%7irxh{8LI_nF+A86%mE&S{47Mu}nnbn?)jUED$C zYvdCN%p4bynVFq6-jmWNz#)l|4of9t2k@i2TYh&!q%TMCbS)rlJpWR|xpn#Lb)_j1 zWn$!8PDnztP9Iguco_Z;cSr0$qZB~s!uCWAh9C-(2TeeBOUy3&^lBVie6xi0^q25n z`}rhf`j|4Dy4zR{(#&7jC4bgQ21a7%TGgH>|rQM@-j`M zYW)vgF_ys>QRg!m;HC^g>j?)|%Q6 z^O8#-sAf?dqgL73rYS~wM%1y)D)S09!(g&Sr^Y;yeBPAfch@p9FzK#y zgk><2RJXyaRLoQo4tbgcy7Y;)v=Cx2NTy0-mL zLrd-cC|#2#oz2Ug?sc-GU->l&bSW&9oiSbP?=kXfbEp$@cihIGi%L^Q7uW`CO1ZN_ zxj@dV5->!36*i{FR3KP(kcJXFwkezMvJQIr{Q9^pb7*yj_!t#VAiYfon4zQ=m3qvb z%kNfzq7KXiV&|wLYrHP@Ss3@+(7L;i1HsfS!E_LEGDQa18zj>PEe`daMW+UM%jks~ zI^%Y<)=tS4?*{$Z=Iw(0Sthd}t!3O5(YOL|P zz4?0J;#2@FRH3K5Ol@G@tJA8Eum*x`sSEw*ON{>G&uqx^KaAaKd$U)}dx~Mx-xuLh z5ku<WOlgjp~pOF*-gUV*0zKEGCRPrcQozM7skN9_BkBA^etaLx9-mz zm+O8X%C`!AagbuQ(kjHDs>TMxN2j&+D$(t<^3E7oKx#jY9wJFt2X|@I$Lyr2rcFt% zmz$)jI?O^7R^NP9Ntbs?D(jCWp1v7Q4Q_qhv-LshksNif1#AjwB-F;4_2-TTBWfp^ z_EU<;?$aQ*!sz^}!{l&>`%1(^Z+@Z*b)X=eCl*FRJAxB68u5>j^f)xV%uum}Ve`87 zJQS(NfN?ELNi4kBi@czKSpfUuVu)QJr}N}k{9T|by>4UCMlP1h9uSVqORE-Suw>=G z>7!qU#H8$sY@aOM1E<;kaX8!SK+6-o;O)*R3L3E~W7?$-Kji~Ewc&4Vc1rOTTfgA;0m+VNoO)Bcf+Q2}#%-&wy=iXy?8h%6TeR zGToqpod{M|n$szEgIx6KqhSJ(9JRadE<6N(F)ed>1)^j=si%k}r(@ zJaEwlUv+RPipp&lOM8MGe97t3`Q5t!C+4Sqig!m49#NTR&Y&*nwpUGvB(HPX2;RK^ zxEjd1C)#LFteo@V(TmKUkWB!>EvqAmPb%(kR&AAySv*j-%tmH9EvK$5U0NP@Ga=m8 z{B7J!6zbL>GgusCW!k%g<==TYedH*O+h_)SnPl(Z!Jffx+okuITAUESGwvIRq~E$R z?NjC3poUeuOX5_$5MFltI@2q57gI+h4Y5_I@rcXC?ATAJO=-*QmHemgIGHMB|FA%m*T2+j6 z8u8|KO_2M5TX+*G6#ei}Ki=DNNZexvd1~C5nOe~f< z&X;>6fjatlR;y}GuV5xgr)hHgn`JAwm}UGiQ5okXP17pR*t^1HkA!(-I5BZQ3QNqu zIHl^*34Se2vpepQN z$KB>v6Ev*w&Mf4qn4blrrbL+sw-CpuetN-=T&PHbQ8}$|;zq7<e7DNC7SbUR4a zR*(ML<@n-6+#%{V?xc4bdiIO zsIp3+i+5P6=sMiO!WV(_un>z%c#pWij|bBgdAx#mIjzWEzTj}B#IbFpmrA!dNcP$C z491F<;;md6Ir?3b5$|sUEm$$1-lytW?F+w(tKp9xZWm~On2~VR2Rs9tW^6wna3i}pCB9Gr?`aJ8Ulp8@0h2N8cf>SqJPIa6VJ~5`FE_SJzP&;H*!!MxA>B=Xwu397$ zWHM|t=ai9R*6M~Yiu5@YiU&sCvI~K$8xhD?xUt_}YESB6xla&_X8Dh;6pn92S1^$S zMfv3nxr>DhByS)a28-#G{TKD?C7E@PsJuEvBW-8MC((l+>H-g8`}K>`Nn^fY25d-H zUWkC%h|4)+8MWjSz5$#33gUA=@`m$NIufk`X+NQgl*x+((U?)?0n3=8UA2`;&*16) zc$F$K<%D@oO(2qA?tvGP$cjj@#^6}PG{c%xn5>&oPMG_#F-f^-*k$Jnr^Zhi3QH=( zOW@-W!TX9yDVMT7ZkS5xGjsX++n=d6mL%Y47)LXNkx+t>p==eaWeKi_?9pZ7QOlEZ z#<;-}X61!0YHBPl6K|=|nfMB2GDZ!}-EtSMFfw3TN;i}`q9cmJkwbEVLg0!-?C6h* zz;Y%zsYmfrLR`z3Nr(obvl;-DA1gFt41Sg>SfnWeQ*^Khb z0T$z*Dc{cl;q+j9X$OKs@5q^2u86U(u;2Lo3y&)~zTDFLm%zq_m%wDn+^Yxx(fV$R zl{?Y^%Mdel6{#L?LOi8;7qW>0=uS<{tkNG>Xv`9V-j(w@qp>H4d_ceF;NWTU$V zfVy*h)Cti}$WUX3Z|jXG7QDJ>Y5=}$J%2E6X&D1VHa+U~FS=*5{Z~i??XWR_WZ)Vz zV0GH@zaTQ}^ z8){|PRWczfo}x#t713%~=!B{p9$bTN0$$GHD5y+ziQ!0f3E5o39Jfni0c-8;Im*q$ z;<$ykbZ-u{)jOkZ5-(+9&tz^GYmq__@vJj@xsRl8Pkpf6uKMyJ8)FlUp1?lp^%)pG z1KfMsI*vbrXBUmAW!O;- zZpO?qj{+07L_cBExHt@yn~d=ayuqmg+YCirxN7R-po%H#z?06PVrfFSMnvM_b7V*W z#WmlIzpvcY(oE&aiONmLcSz|5=NPbrfym+??W3GlUGiq)4+ryRR<~SMlEm7}eA#a# zU3@6>3v7Kk5_Ds6{UBG{6Y=^gv+7gy>d?0r{A1-Ot+w@BGnq&LP;*Gu-grgcUnxhP zn{-mfXztrGj{;e$QaVzWDTE3(ZD}8N6&m zS-{j{z7m|j==2uLhyUhNyKjDim9By`{)F}9zxcu;W)NTFf*|lHLFoExaK5?z+=K}a z)Kc%8rh^l+!t)k|ox=|7SNtqHWfn&joxbq8p7d0nW_Un+n6&13U*}1@?w4yBaEFxa zsNWw4#u8NBA5%=}l6H5SIff=*I(Tm>^25_2ilZ6cz9vM)O0#awxG_ZPUhMpONko>l z>RaM7HUr)rS^62RK<7vx$K8yRXIH;QW%g?*I}p=V^5Gei5yl6T;6(X7y(c@pTE67y zQfP`9B#g{V31maaPy)b%sc-SvqyyK!Ma%-~Dn+WW6nstSX@kW@6zc?SE#A9})rgfZ zA=eHrj$vjRZCat34@jbRsIRjixS^)M&pNSkAzp=uZAHSnp|>66hb?tL48CW^|Euwr z(Z+&RvL}W|T;JE)IF${(T7oeP-G#E#dAMYIJS(`+{yPhPwO`Ju}K& z9MG>jBjGMVCxF?pqp7MTg!zwzQT}!mfZ~;;nb*I_%0AP32B#Cnw5N4?gAa^3qcWMa zL$ETCBrCpk;jqH|n9p?xT)bnM^#3ozl@U%TRctQDKU4T_roK#mKq_|ziCTMo7O1h} zhSZcun<(g#ZmpM5kJ_^2ouK$gaWh$5lBNsfUtTLIe?tD_UyNki7+DVj7isIaSEUWm z-^!ED)qcuFoy+b19c-d!{`}*obXCCM-?Z2PF`c?P!C)mtYF`)8d0y11PUb~huAWJj zNpjOt?etw zrm*{*m}fI-oxAW{_1{8AAEG-#ABw9j?I_0|WOoy4!+tJCEayll-02ywf}P$JQ!?Q) z+x>!g+%&H5i7~Bt>_>0hOdVpI4B}CNo^-Guff*i6H3p7$dPhiq+CMgp4Cs6(>_*2# z?y#iw=7b#3Oer^lfO`iC{f>@PEaY+pX&$vX@5-Flj<%G}`&wLm6~w!sis z;|5AIK+b_i3;i=;{dU{{okwT!L&Rv{f?UpK%I;yc`*X|B^4t;9>)gq}H`6!wy1uaz zBg}W4mhD0*eTkp&`k2;z9EDHv z;|p8gr}Kb`X5)QiNXa4>w*_x6$n8SF%VZ+w1 z;aN1m5}~sY$#<>#7wop9Bw#|ttkk1ip4 z0K-hPku!#+;mPWSq@qti`lXtqnOai+gPW#$40#QhR#wtSFJcLFDcoJc#h8i3!-&e( zz?eE;7ad~Tz~hToZFe@Wq)>`*25b93D1OSGxj4!S{%F-tu&2+gtmOBuxG?&;{}3nRF-p@Ho&^^2$OLgVRktegoO31BdS#1r(th{BYlk#o%n5x|G{y!EKbQGZ z`eqS)_>=_^oqJF#aT$V&<$> z4uCwTwwPU|&LaDiW$a1dc^6j5VtRe4w}k<|maObOqF_cu(t3Qc z%tXqi!-yQdX{_wX4PHuGH=}gukd!OcOGCgM$9aow+flzNL=-rfYb_hRd=?1KzUmYo z`yC&&6u^XLy2n2*8hIkh@}+ejvk8P>E`?>cenfUgWnzGY9n@3%1BXZ<3FQF@QXlrTPI_O`WR9%1cnyJf*p4(FObh zfFkBOQGq=@+_wOS9aKCpk&EtiC_tU!T79p<3$%6>T){Y!!LK}ic|^@;fg8^s`LQ~8 zX-`Is4g)}D(ypb4Q$3zUX1G};?;y_>l4{3qN8Pm*Ygn4DX_nD*!h$HXs^ z=dQXrG+GK4UEf;kA6$M9-kTI%Al=WSu2xg> z+OAsHe&_#XusAf9+$o&(O>PYEi4xH|)>LT=q) z?OvsB&E@tqr;@`_)cAks7w^o$)s#VJ5Oy<$(5)DNO9_-}bKkX>q)7IIn+&+cKX*DfeuPBQ5hp*2W%M14+do>H0D2pWI5<8SZ+L$@EGxqpW$(4UB<`k!` z$TxKr9)sWSP*Qigzn)afs~rWToh4&N6z3*}yZ|b3CO`;AeAbsJ;t5}4yQaz}@u;Q> zylT%ZJsQILM>Fm)Y&dntQG_^fg{|Re$)oJLEY0xUuqi)P=nnT7+0H6egzg%(5N%XL zb2a*1kk}-0@8?*+N-U?~*~F2>AbXmgg6mT!17#bI%LGUl8{MpfygJqw%)%8i+$_ zAC1jE+Pq%W+p-73v`TF2Tu2yyz1Rg)u`^+%G`nXlT$^%`k2j}D;JDP{lbrk)~FVL z?gKcn8amcjurUuf^|N^Cyq7Q2#k#U5?W#?vx{4)k9o7XMG04)6M1a8?@^(FQm&cCZ z^)CO(kW9tn(2|vm4Q|743=GPgvz?v02mHJVE10b3gHBGas(ugX`5DPIn#rHIr1m=R z@tMP=X#_fY$uL(U{PyHvWTiM-+p{gK4;P}qmNQ$jU?tGWj}5g#2DXL!_Bj5CfV)1z#2r50w$$X=ZIXW}uax1~yi<7P0wHb%>s zl#_Qiz>6Z#wx14MKuplw0Ju$`aB+amwu^8C@>)T-E6v3M5d9H`WhgJfGdA+_j#|8C z+jTesAMe+^EFqqhor%~q={lInRfvYYdqyu8Jjc)t`wC42LS4XOh{V|waw#z&R+C&w zV{=E2f@KGxk0YMJ<<9~61gss%Xi05s$KKWq0XO>OIt@acDAWZd6NYX;W21D|r(Px| zR=KT-m;>dMQWRq_@b3HI2$0)ENO4G1jl$f2t`H+Kn!wF}Ewy}F9L{wejI;VBD6gOZ z(XO){F0&k-XG#XuS2i4u&OOSO8^kB(K!4~wSR#_vW+w=?OWCl|dqnOm;M7~E%z=>V=w756?9^dcfaI%O_vf;kBhf}dBqxtnzNp%X?H>Gp=S(6h3Yuu##CgPuK#M)pE*5F)x z_Tb0MBu$Z;F^bKRnt7jHaGDQ9yOrVmU{So}xh;w+;IhYC;=z_tr6at+&zB?A=uQ#t z##y1~UDIpO0izvd77q0vPk%+$Op%d^@n?9JbOPEa+^wXzNi^8<9c^yK>U*s0KO7{C zJlRB&kLb^wa@s6%0K;Dh%3dH2k~5n8#;n3%=|c+t(e==Hqe8VpJ0ks0K`1}-BV{pY zskNuRWQcd|nMm;Kh^?^X*6526K6-*qXrxUnvZ!UN&h64fCY2->oWf$Lm2r9UU<#ML z1VY?XbUFj=maA--ydo%7!XS6~MDjygxcA?-aSi<%v)bS9rbk?iyQTMYPE&^mtH(MU zlk2T^6Gp?s-8t&sF!-z7V7+WgN0u^sRbaeqC`O+VlRlj35}>Ob%#4uH+d$_*(Hn8F z`x@%m3I3aJ6L0~eBqE2b`?FhNA(PIH7@ymw*fixsS}uIy6v^pHr@?3iI#}t70L|uH z6CB5wu^QwFUj#jLW-Vt1p6dO-Jt(&fq`8l!tIPLEv2s`=gjtDjhQjBb;4wj=#Qc3i z7CXh#<1bs^ud0kh@ygo1!6W)Vt|Xq!;X_fk+A7sN1TD_6c=V}{FtP>8&D%1-tX|c6 ze3=2mpbJ(vYn?01xkFc5mhU|(tkE9jAd2=0LJ!`Q6DgJ3sEy6zrrcm1!Q9r^enP2t zriwAZ9*&*ep&xCso1_E{RrL!8Y5^m2(SX#fj8?i!hb5_;nO23xgCOWM%jtbYC?Kh4 zApeyJX@N7ov-&`>i~d`=UFBE_L3v4)DHBThm9xKfOgiUabUMXv$W+^IZ4z{w2fwcj zrNa0K*D&F5HO<{hRyLg&qkk~RQBUv0_Ty+J)_{d5;g`VOb7qKd9CVd-NWq{-k$5iw zyx#wdbFjCDU^9lZve$mE=EzvEyKm>YS8a*^ra?`MS}MUmti0to?+umSvw)!4=K~B+ z6#t!5_z&pNyBoCa5@*5(w-tdFuRKM77X0tkjbyx=tfG3EU*UC|K-Un}kts=$)5a3a;lC0Q^rq8opD6)at>D1m1kC z9^yv+6p2V3A+Y!ZwK@e(hix(@1Z@&ttz;z0WItI5Gf;ZRC>LywTOJ^)yKwGt{#H5In_&$&RP4rSFkETQf)AlN)hG?>)_PA%p0vf9tmyLC&fy}=1l z5vkoLj6@X`sFb@^Ax%x(@A?AM2w+v$8pawPf1A6GutL@uBQ0TuyvKERDHz?h9DW=@ zcav(Vss0CdU@!q0nDgd<6>IWNYRrOSs90~`g>+J2eu_e&K?Z)ohG$kq0_13)1MK*6 zFy9N>jfD%wEp@8z$ZEKqi=<-2xO~()VI+&~cBU*tVJfyXyN0nHH1=S}pjM1|c9jtb zcMxy|Neldy(y&H?QH}oXfb(!Hj#xS$0_vJJxEJm1$1fBo?8gscNkAPn5>IRzVT2!S zODYwuo>v(HKM7F?_~2b{gp(IAzoUE1O#4_u6_N&GMe)ohjJHK*w~;u2Bxrl@>`ETGAddo@`kM zoUumy$XU=r#2m#3KZ5lz-)S@OmjDt3I5hRyAMR za24upY->QN0_GRkHSwxD6QAh;7V*e&sMsl zXLg(LV{+7%VC*imHtTFX?6rR(T#gnIDaq>q{=GO8Xy{1H9~> zU2zc&OnD2+TAs{Y9@=m|O|L^QPawG}tpa8roXIy?_2j|ir$+BKQse7{@YKw!nFUv0 zY~-L-*RRwPfqN%dQ>-Z}vj(V)(%{ z16gmlHUkpo^c(-9INFIO)LF6X{Trh6>eW;rFLfqPpy1dLBkCf{<#59+gRPVCScNgT z3_=S2@?Oy-j~l$wup3>$m8;5et)I&7D#=_*^|+f4STRoTh%&Izk4dMgo}6j~X9mBo z{Jg^GBJ+xXc~X6F65RmaQAMoQN2+O8Uh7H-6W5hS(iP&tkyc0}(Zbl=0&qXH3ZoxK zN%G60l_^*xyp9Wh#U$msrqC^PXX@)q5>)>xv0;9lGp$3~5ZdOku9nDVds|hxP@(=o zk1W#^<$~)N^l!3$fVTtbmjD?+F=)@sJ`k~iM^di5LJ{_+)Pfok*_)wwACfp#T2)DI zM*dwp<7eQa9E(^SjVccmkp&rZo0hRP!E%mL;lJ<>=0751sLI>z2iAiykjNNH@2>^0 z{hO?Bim%7~E=KP<(uH|(gN+JG=R}--vf#~UpSBvQVRdZ_0`eFeup?Z{jmd^tR|R&T z3{+W1Web(*97-d=%~GB5XB)LIz%H!)8K>ypt6%qBH|K8iY>;6FT5lyLw(;qtvC?MJ zN=pg)NO=HR$M@ z3usDok%vS4MJwj62}>PQNM;yu&}w}Y@62KWc#087epO-x1EKj`6=B;a*_qH8>L1K} zIkrVq!AO1|05ENK@4=)D-145AHw#hyLzG+Z_RmOC^qL5EOFlb0Q-SOrY6wI7u%-{{ z!FMH5CCw86|D4f%{g>L1#wq`aoP^>X8|*WV=1#)lRDS$;gD#Cv>0E*?%?w6pu9_>` z2Y{!`0*ZZR00y%W3#V^Vm|a{E2b3(GBBG5Y6(GTE{4=7b zJEsuYr>vyHt%WQ`&5$vn5`gZH&1=#n?DK|NWC}(# z;P?{-k8dV?`ukYELps9d>?QY%6qGeA13M0kyu}=nqx3qG(rG7a!G9nI#D$8~8+`a3 zXPFiZI`*PEM0bmExeQ{dEXZ>#Y-h^G@e8JmJLTb99~N-@9B>7}uc1v2x+RP3&FQh} zQp|H}3(@Pg_W2LTn2~~M@{W2EEI$9T3>q}-^~-WpE~rM(B-x-%62N(^nx2t9s%xfplsl3TY^`>8v>Ig>T(+YBp%&Df;398`vKI=lOP_5!Abxm2Y{QqWSEM8;~wZN(&g_N$?YlRDT z^u5PS{~(zDF7x`4I~c}pJx#{l;nm>{UpbG6S7d(luWFd5%(h9JV3l@gsVj*Q-rw*@ zGRP)E2W6|yVC>^5;pl5?D)6qdI`V2OIZ0W!7Iv9&t#b29aBDXiymTSDqJ72wY~($CzOqB%S#_a%8fxeG z_Ofd&Ce6*dr!N1?*(lNx-EIi6cJ$Xt_rNP;<&Y{cEZZ4aA6u?T=asXmn&YAult~bY zUoLH<9H$Lb$2{c1yqK_u%1u$rh_|*AD2=DzA`X>ZPYy8Ve-ZL8j2!zV{&oa9%|OMW zDq08>jB~9I$z<%*a2YaHbF3#hQMzvX&m_=}YAMtIhpcyujx=hwcB78bv2EM7ZFf4h zZQC8&cEz@BqdPV`&R6~Jz0cX-IX`NQsvk8*aXt5%>zZ?ty6xthVg7X2jIipd27>~# z(kh0w2`a>uM(Ymv);l8pnW-?hAzym3eR^oG40Ur$d-o_v?pniX{w6sW5(@%&qJo9( z^~Pwpkv$wtNM(HIpm!>#iS0piVEpN5Z-ueo-2%)JkP3On)O}qasgx0}(oU3BryknM z23`!C1B}VWH_ouK#+jcGoMT#DIaU|OnY$Xr7MW?Zy*8rK^?p@_?Bc{Vh-rv*s&{(e z{=eo6Th|*u&Zo+S;`_fP|Bv~ig|rkinPhWOl>$w>Nhrht_z7q)nG7BQ500|xghL6q z|I2(q_?@tQNQ8j>rGEr(t2JLL5>f4J?{2M35$=q zY0iwsLr^I?HL(VVpA&!128&x46k&ZWdqhToAvNic{bb)qhrv(&UH13>Qm)@*uuUqPMWnn zC~=%WQ)rSyV9C}Mf{ZCvB;9Y;%gh|%pm_0`q8S`2FYtE`$jqocKMTaf=RB-ykMi6C zYghhbzIdD;dlbDuugLl8)hMndRTYTi63op+)k`=RJ99)~&rd>-eFM(<)842fBi z9S-CDoLtDhKUU)kt-G;78o&9(^NkO#6vxT!kC`4_ej$r)F0)nVb1f41Ij7~otXwh_e8866wD3fLEz#WNWXnIUL~N>Ypx7yz(zxD)vfrV6H=Mu|w=N!BimQGZR~ZX=_44{7hU?usZRfUQqzRS|(xHWX${Y6b9hQzEM%2nbbaeGnX31LF zncMOc*1|8Y`T?f}?Dw}o(tM!f;>pB~HwK80>QjSHZ@l`XSLw+O()!8fSw{SS4HtC< zkSlX=UR@f6qvu*TytRFGl5-sf{~Q+x_e)F@fw{gW&%t&?0nff*bHLcC(Y&2Mzc@qo z6&Z8r5!0|Tl#R5_G_=kbb#W^hbz@;CLmEo7jK&ueDd7Ey@^A-l%ppbzQQ#Vh2tQ-s zhY5=m7W#+OXI+lJah|r5`4WA%P7%9n&KZE6M9u|NmJX<-^InR2`PW&=QcGAKvPEli zusNFAzwgssxA9*6Pdf7dbg$a>F#I(jSJeRCdo{YhT) zrTI9#Eq&dqX6wXZKzlsbmXhof8S3)I<+yOZ(MVItC;&D|&aG}}=)>0C)(<>c5O_E! z1qvOV%_aDl|4tS#C>6nf82)u|=}rrCK$Tp5Lph&3W&bMXBX1+z3}PF)F1HL) zlpWPbv;D3T40GTk0BIcA(W%M+C;Mnf`e*YGx2f#DlvxUey+XynriuUdO5}o}|rwu{RMbg94*o*lh!oGbmzD#P}ocmFK@-hC@X!*5U=?m7*uB zb&K9KU&tINfcxw zfHv22#}1b6?+;ycM6ZD5s-)0hIB5;h$llhIQ(Mfs(Cpngh}?kHsE`jb)F%?){>Dp^ z;$qT;&ztj`QI=$0kHF~nCp^`)27KSw+?giEc!xIhgQaPH!GA@St9;AT53wLS1EWk1SN(J|o*XZgP)p|}|A-&zFUurDbe{Qk)>766*^Hk#xGyAF{ z?hU0xX4B-j(dD@Fn?*FZ0?GbL$PHF_f|Gjar``eOmw@<`f93?mmw8|Hwc2H9+fu`J ztvDHxn4}SNb-ik(FAlmg&5{Wl$p+gRY#Up!MtyufK}1ebRK=1iyQ0%rsF9AdBe&Zl z8*5OBy)HR=a;X`+`e^zlqN&8HhX`IHpI-|pn%?Lgd`p4%m;9JApd8`@g-8HT_ zbQk}Wcb|JTINJTYXn#Eh3{C_s_^qGe4}4);;?r-?_&{CAzv!)l>B~=dQHSx?zV5Zw zCd~YY#N)OM`}hqFn8q16S@)N+LB+sSXNJGx)P0?Qx9H1pyiusK;BB=lIJGffo}wN6 zwl`}xZ6g8b%Yg4gRNm<+=6|*%8mL;Z)6o}C#%8Tq>DxgoX4(r(!itf8uFMGN&CQl; z^`lI&R?7!eQ%iNb2F(R^BOO!m((^tvJZa5&WA$50_d7(CsUY!dI@JnkSOXI2A zA#p^m%dNDrJ+P8(%B4Ad-sY~%ok%|BvedSG*1z4E&YXX4PPw>`CqehoBz60w?$7Vl zvU^7W`o=%#qjS=2SpQKvNFT;;+ z=qBF80qSVw^78nN3QcwQ28La4dUXrm&lgV4lvR7V99CJ{7&&~2(3RF>)+je##;Kmb z`|(=t!F71iJ1^eT3xPf`ewz^@CDkT4#Eo5&U?(7`)xPu&Y6l!#slIaZyM!A=muqO= zBbv|L1cLYe-aiD*_kNEdzOs=L2<+~3xX_nENgr)+Usbwjz9p;0j!BZJ|JbD*-3i0- z#vF<5Ca+yPSKm&pd1@@~Pp#a%{P*bW%R%k6(hf6m$G&_+TZXhI+x;g}jC9($C&zC3 z=DQtR$aZwbH0Q0&&efB*Qn)+BA`ZG8uJ^KmI;x$m<>62M>zzfIMm3$hxW*H*1C4S! z8IiAuDYS!KILB5dS%~|HrQSc(V6Mm{9MTjn-c9*#K0Sivfhz*Tg)bwcTGj=n*s&$t zB6l^73GdXd*23FQC8Z^B$2C#^0Dnq{oer%&PjHSDTh)u*P>`AyisMvg;|E*3%P4$~ zzV3?ZcF>;bHIQ91yMXv)0|3t0gA9hWHO4C`bz}5XU?N*`5v(bM7UNBUOsXoGYi*C> z8MZswG>~NHd6LTXfh;M1HIP)%eeAYx9;<56{34EB{%b9Lt@?Aj8=7sobV~3q^+R41 zJ06tQM8fGCAA5@Mw1b@^2uG=!-i@fi7>XonEUagtRxX&sa-som2obP zgeDm}JFIxoZg~^aAGLKUnxt1q+V+|rAUyaVkRSK$6ZfGf|FyN@TCi5dJ?ielo;6D= z__1-XdHTlJA9WBSu_P<5r*|0NH(IqjT+c{r;aFW7%S-`lB84U!>>LB-dFpGAwyal4 zU9Xw(suumz{Q~ydA_MpDp7uo`TS)Np*7M$2yQ4i%>WO{-mKM2VWU*=FV^pd~G8b+! zzKD-TnW7zHN!cJsc(Q2<(VI#u#!HltWZ%AE()!^(yde)W5acH{No)$65%KB>IO{P$ zN#amK7!_uPN=hTH$W_qgNOZSaqKF*)9#?!sg^A0R>4g`p4_fF1Vw0anmjCz1y6W}M zuQ&<|d|LREhfSUrD=goHIG42lko;atcp?8y@(b2-k;w}PE%F%r%&+_-ie0XZQ0&e| z`w(X~Af!G%+9tEjmfg>=XOVdw|GEvmqX4}*&zD$qLahDeR-QMj^iVw446-<;52xT( zkk+kQau|5VDmhtQn&j7x#Jm)enLOV3HqfYOL*8if43>=w;Wf}G?&nE%KdG8-7_?Hs zVzZ-aNaK_leQNG)H1C$|6zU0|!_&!{n)Pe4%iFHdevd!LWHTO!^J7BzB#8BWXy2R< z)>cB+u$uIR2W-Htjq$L$bHx zewEg>one+uheZzj+`MzjMD0{8DJ3GBfd;cbR4QFMrlxLV$TvV?tLe^IVJq5Z6g7KL zbjEK?#B&TAE2Aw>JTZ2JF89mxFMv#c_n7Ca>FCeUK>yMo6=$AoR$V9AwRQN;H)ada z8fVkBoH|3d-00}pn;CD}tY}%8f>a4(cTN=XhSa+s(9dYt|4{y4D$a-wg1~GjEyYzq z+&}+~@)x4YK6%w|uI!;4M^|dti2kzQ6Jx@Ri9j^hHOLRN>|F~ScLkSB5y3kn9{V;^ z@;y9ShL}c$I+QEm!c#ZpXqKX|)U<4yjA39#G(!}Gg2BZJ`cdOAzC5Dw0KWXH=w3WY z9M0iLyDp}|%F!|}G$I?3KYwJHJ2Jn%-i1TT`S&x&ND2q+z84i~6+iOD&$*xex#{`j zvJ;GDX?b$^wfSX6SRYK<7QnT<4VX}wFb7o6Bc~2SHZ;R)Bp#Mk8?E&?E@_@)^gL3m z|B(Ba0VD5~oar09@x@#-FIG02$~PZ}GeOXNo2V=EbMe>IYY&G%2QcP3giBBMhh;|h zetZ4-&M6~z>4M=Y39PDE8SB%D&Wh22+W-i}Qg#-JtZLe902)159XT^IKOb^&iT~)5 z(Z!kUuw{O#=RchYpKD|!%;xXL6(Da(Olzf&g_cG_;J#&Stl7J>xFPlu|Mh;2^-Yfx zZ((Gxe6Qc&Jvp5@5DIQqR4G5!H|p^%%1w!P|Cy#}s=tnor(3pI2g+P#D2|_`Schhy zn!=^{F?do`N}@1YZ}~>>(~Iczg3sy-f@_Q6KS|@5bBo(IJirUB%G`%RB24+4l}9B> z$lozIw7wVLq0x^exqx9px^@ef@1VsrQe)|38V*9PNmQs!4d#M_if|voUYU6RiYf@E zB=|yOJ?KIY!@-C@j1W&Pv>=B$qs22Eu3J^mHXpW8|Th>NiICydeSb@ z7i&WpF^V$c1v&C%mS|^5z+zV3w+io#E%`{3TbiE6kcuzj3ft~Pq>GN zpF{!LuQR0tjFw>WgH{Hq#R_#y+5+RieAc=N70PtFMv53y#Q!4nS1n3Y)T;@{ z{ka-^p7FVZ`G9`yi8VKP_pMtIAA5@ZFty)Lz~uMPJsPCi>sSu` z`hLOb$O8K*0J%v1C;zLT0$()dZzQXuYq#Bu&N*@e7KWFB+rNH_m|4XMYxc(YQhOo+ zl<9;e-4v)cf-zSljhhgi@}=?Xq&Z3j95D z5IdrBZz^EkwCNXVOzXibGTL&3d=@OOeeXx4F?-Q)l-njM44LXi61#NjM>^Pi4a^iqcg6Xt&zX##@zqIIM=gT>1e5kA0djE@66)~Q z)qVp3u0*zv?6m68jCp@&Y=cBgn zX_M8RZUu4vU(FP&K6Xp@E!zLpOaY6`?9X9m`u~ITt^X7I7sh=vJNysMHw41@kkDH(!Y_HU%BkwYnQP@0aA`gZly7s^ zl$k4>*kS*h&ez{>Nmt`xSc%OK`FJ0{JT~^44d3$nlv#^Ew@q0I>YvzYeQs?DkN@2Q z_19iulDc)o4d11+6lb zN&dN~=ly2O`vqw}SE){tNqQy4_!Y>rR9eP^^${mtI1k*+EtQlSz{KucSkH!8E%^C; zBqi8H{7D@1XO+>DNq(Le09I3cN?p{JnNj|11r>Wtu0{a`+h`XUShZ zGz~XRH0ARC9__7i&)RLS3Ef^HHWs^1JT4#gZW^B7oc6DrVuvjV*eaxf@}F`F?|Y{B zwPN!S`fR=d+Lb2HA-7qFO8Kp{^ewqyJ88ul5D@=N0_3Uj+KpCSUdIDW&MElc(mCef{!VZCm|zESAYO4HbZe

    K!nq(EbE^xDXRVy~X$~a0j^nWJ>cIC!&7`2L^n55R=0H2hGPX2sYUcYCCVsw!V+` z>SzSg`j2F#=gBSc#brhLm$!{Hxq8`*+WF8fL7GR>b~u8W>sIDwRr#(lmQ|^$rjL_e z+!;xl7RiOw+UBHeccn`gU?zdr1XLNZ7b90{8=ZZ{q+vhJ^&wU#in5kBOM$36-yrhr-cEoLQD!VCXJ1|tP56k{~xZ67l1fz`pT3pOIH`1KS|<^dWc>>hRoIMK z6Yp4qyF1rS8&}j@E#Jxfsc(E!P-7D|pH%QZ|0mHr`Utm$7`BQ!hY`nCa^byz<_w4R zRNkQgbSeAy0Y7-fXnXo8N(yTJ$358&Yx$6&?rQ6pp)M3K7o7g6AjFa94SzcYPyHo}@Lr{`ro z0pNF;|#*3@ez`zIeJ|@cPhcN@V!VA6uwfN{}{Kv^!Cnu@UgVXAu zxVUD`BV6t{>SO0}rT8E4bW$*aU|KD)7j(48Q(UOTlTyAW;nr z8JvFt6WPQam~%@gW!Ed#D}tZyGA>hb&4+4iH=PCZA4cVBXNI?xbkO1o`Li5cbUCI3 zXl|gGWgw;NSwL6hv;^>znE@`f&bqjNqV;B;3p6YT4gkB0!V@{_0^lO>Jh0sNNP5A8 zSE#T-2nr~1IeA|qlH_#GgyavN6ep!!M$xE<<0Nj{H6R5?Ptrr7)p9`;ncwx~6})A! z-t`KSyLH72*ZQ^*$)!P)JzCt;Rj*N%izma=KYbgF_dP0SC}{9@?XGeKV}F0T z2s8*Lye;Ave45~P^X{I~XmzW;ExtIusX9)eM;U0FJsd`A&^p|e^%pO6eJPsZXL(&O zoZ;hidgU~+t9Gla1$ccs68HkuGZ1GKco6iseSA3hUHLTpySXG~)W%Xglsr{Ey^~v@ z_xbwT@v;Re@7hr(lsXG!7RUAtQOzc^#pKB#3B?X)D_8(xVt7^5xGEZDAGZQ1?@3O7 zkR$qD7xuUVonFZaV)1F!dwgRQOsG_X>h2Yk7V^JpgtlaR@e9Il(Mpv#Gge2T%ukYC zS_Gwk6;xHR^V#ZX5`ZfX=HyomiEu(EMd)&m7QiA2nHJwmMuWTQ*!U6_+qDwimK`~s zTsmtbpa7TO%Lg`e6wX%}Ovi50NOP^(7dW)T;_@I~CiaXlE+GB$VtL0zOEQCzeb3mUENy=QR;`TqOAV zVV8rM{`@z|Yz-831xP@KRPVPaK5*P@e68}^Ux`e|l4mD(}}a7(Pj!n(IIe zx%!I{S`9A0kjgwNtqse2puQs7=Pks=UN_)zEIm;~U?jVyxX`O62V{!q9T21)LUI*u zDMqso(A{KYZcPrAP5*l5E^Mn19CpIY(}t^j8DT1WF3|vQXeid-BF!1t?fW=5$6F4L zmE&I`0>w-j_^bnmnvR-8QaNScL+SFHm5#LQS=MmFZZViRfF`HhM_AJ>`|z6jnPmV* zB%iE)d5KE3i`oPka%2K&v_lL(ZDQpn=e`f=!ymk~fXplJn<~;dVrUnB9yGklS{oFPQ3^X;8XKp|Q%8 zwtxMOF#bWxwaKQPF|fL13#?kWL(aCXA=}jjju@(16HaJzuUbbogr`2&sEJJ#n`K>S zB=n}RnI2?w!~xxM7k)B|dF^5irc1w_<$quot~tTX`SzQ9ktg$8_)}(Tt%D6Pf)>8CO?&Yt zt@h~UMm|s%ZyIL(z~lYsa$wlTKlAtvr%C^Axc1Fz<_Z!C+!3eBv;vJ#`4q#8H*kV3 z^{*%?g`hkip!48w-9t)PcZB<7UJ3%@d2?C7~ELTfEwnV%a$n@|ydB{J0dCU*K#6pC9V|v!Tp80g_IZ z$;*IBPPV<96AiG|Er({S8fZ@@bHrvAIP6`MP{$l1SBDx>KKv!NWu-W#)hlw7e?%yj zAZYshk)q&fl+a584h(y{eM1uI-W|B{Zk`eH!_2_x&31OHEJP5%OWfy7vX9;UzMabS zzB3Vc#5rUex9k54x-vUSE4j?{bmUr)-Orzp*N@ULiKxn{aiHORd02!?Tam_BK!K~_ zWkX_BQ7=VewzqwI#wd&Qt9}}JZUdv8JBa@e&r(1r z57)N4>dh0j|I6)#RDo5=XIikv<3c%bCKaqwmhqF6pI*q%VcKjMB4eWa9W3K3B@f6_ zs#@yJO6a$n1gpW96;Dhv$cX4_met32TW-;fivECss8XHybxTPUPpY-8QWlIbx#X#- zz)8%zgW7>J>sm@GWifTock~kXh-UdgNm9Q)SIb{fhtJ+zu@1+iKgh+x z^)6xIh}a=p;Wb_RuvCwBoM-}*(2Oj)(v961^urjtab-E?@+hSGh^C_8_0u)UrRv$PI9QEYsS3R$?7Tg(EIcsQ-8TuVMqoY0r%~K;?4h`%w7G7~Y6&N> zF%w{;nlZFJ*s#cdfw z+do^ymdD=NQe1q~2&)Q9qs$bIdF}34=WWbxU*$vCx0E1nbbUOhdkY^7h$!~xj zi^5K5HU=i}Uz*Na8v5p_l%md1IhKAimpgD?p2fcFw&0)&}6xWl4gkJizLg&d^{1#Wy78h`)giCf5o?-!zUDK4PXh2 ziS=cpgHyqd9&UEC8%ZcL8wn{Vkw6MDjOkshbMu84TMCEcHM-=8$MZkd5gi#8d zZ=Uv4>|AA>ZLf>_Kj`|dvM-|dR9@9qoR#W3YcJHc>&_@}uW_Z^$Etqo79ro|QXjU< zMl2($k!y5RK@_B-)^i~DOq(y0@03)Hy)1&cfbBb>u=LfP+_U3^ zbkA3(bY@q7HKJx*Q_gLp8YhbKsIHPm9X+=UK=TUlPeE~SK-%OnqZvpu&Tr0{(!W5= zzpgYe_U7u$WpNL*BuXeS66E4_uH8g?qEIc5*ry`lR&4?*ZSexEl9? z)o3i*E;DH`ri{bijx^kKH(i_W7IDJ2V~{7%8@BN2SFkWg7~e+Sr9aFzm9}q1_rN%J z!<7K1-H9z;$E6@**%M6%CG7V~3tVAf0of8A-RSgMk2sK1wriC?Q26XWyx3M}MV>LI z#D2f1@x|a%G7K=E(c@k9I=66jM_ye@3?9VBbWzrwEL{G;YW!j9{VY~h3jKbqAB}*9 zg;*Nuy3tZB{5uz?z>6|g$+SIB1A4GCKhtU7x9HsoVP{%1l)Uvre&~1Hmh8ceKX(zv&sOMSw4q1MzG;e;@U|D9G9b!U z;Ytl!K4i1@{}E0i=U}qNS*FKh3|L_{a5pPk{AGM9ej^iXgoT-z`E&J!6);11TyoFH z<;hfFJx2WSsbp~T=yBo`x5Fdv1Cj9zqfFsf8i%9!pu-Sf?vqAKHB$+m6&3=X)hKh_ zmDtLe@i>|>%7tnBiHf_{R?Pnk!xvH78|won*VUB8JiwC3fkgDS#}MK9%4L;ToSxHqVA=*O>@3$?Q&7f0YPZT^uGR} zx{Nr1xKW9H?1Suy(ML#wwQwG)frKKC{NT$ECV)eXCoFue-dMX6yFAWdyOVMs`sm=u zyrH4oY zvZNOBglO}m2Fc2WoV9t&IW4Zv`}A?Hz@K}l+ta%vLwOfFLV={W9gy_)^{R7;hVbEq z+nHyNBWhQM?QQ{vL<*llavS5$vd=dX0eGF zz;!D&CCho+n-ZwKTcz=9SDmU6PAfC3%(IQsB=W9#qPj_Rq;h$9*UcWr&TB649`&Mj z)+Oa?;_^4>wR?ZVTpwmiS#ftuI(N2w@qH-P49F=yT+Bb5wWV%Mc(WV|JmBgl{c6qQX#^J*1h zC*Y5`*Biy+rbKCL{^Kt?3lF(GO2Nw_92yI%OsfblAr6?)){?y4ioN2t&OH0b-@9`+ zcnH_Ral6t%y@VNfyVn@0q!#?7$-ljMp1n0lMl%BOFwNd{*mApYl8w(@`r<}f`W3o- zMb9r_vXe+Z%O-==q~eY(xDjI#i{>>*cgAu_aXouPJR{;kZWtp#a=$s`u|Ni|$g%{C z#_g$VQ6o6tK<15s5G)FToO@u`PG_G(K5K_~)ro<=Tk9+vJSO&lJr_#v(j>NG^%?&y z0ww73rH9=!JO)v;>F1z@xUm-l>zaR=1s9#l7>4cIS@OPiN8Rz(RR3eZAdX3Pi9GaL z_btNPuCC2*8i7j4t76AXapKckPIy5sx*DyJ^TaSAUoamuq7z!;Ib{kO_*I!L+^{ok zWS?^kyqJX8N6uKpD9GGKN*M8D7hLOInjWU#PMzcIeC(m`w(P`q4c3@(IPMwSv0qE* z#9GVm(068^iiWl*c`52nUliAj+)?`jmgV4A-fj1GAzNcCahLr%4I4EIKL_pB{q18~ zG9}E|2k5tt$^JqmZ!fHGFQoCb`Rq~y4u)l?_PTHk>{KXRO zEEI1uXqlVC*cU4TV7C%l@4u%496CJHv{*KT*>e$M@iZVRiLsEXN&#ZKfx7_QFdBQO}94I)>icW4A1z(xxf3LX-Lg!-AIW zyFu!-6H(WJ!n^8JVDv;M*W^KVGqA!8)zHT-K`Tfs!e3HI_R^^Jaj8_oD-ucIfP};5 z&!HUIkjScf5L6&q@n`YSE}0Vj?|;V}R*8B;dBOUKhA@^W%e zyCnay)0gnV1G)YM$qTt_A&)5r&wv-fn0zTBnk6R175(PR6I&u7)dEK%u%gU=kwiCz z`iL>m2*8Rk*psxfG4yq-Bb|VidMtC0Bh@5RQd~2cG(+<^BciNb5+|PZ^(RNY1D?JE z0me!DwONlG#tA=zwU6z)R&E$Njr8$!yIqc9w2R;HgpV50di6pJAnphdg27g;W~pu# zzO6~K5;y?~lAl8R4P>b@2~?l>2of6fO zZ+G_-e=e|=&Fe%&2Q&is? z^-^~Ddu3A+H!{>badlo=B8+_!s%WRFia^y`!in9Ii8Q&ReSld5iEscKlduR2+botx zoRphe-f@vPTbH)*JzLCY58#vs7@ew|fac#dum`ImueT!OH=8P!%z0snGVeJl9>oqN zT0*5#gYoA(LCLnjZZh9q48ubLTGHBItv>4UeOy?^Mrd*sqEy;Mb%^DS z)LEl52Qnd(eu=!WJdj!J#j#!dDe~F29GRKZE^HM1WseD?LpU{1s!uzJt&rcG1z+P{ z)Vp0P*FAD|!wxk*hqEa30}2N*u#Wk-V;*PmZ-mJC2YU@ad8*MLYO^Um@tne))Uxt( zzG3Xp;`*zhxY@r{aOPb2Qh_${RDhl6B6icO){VrX$DKqApcrW5rq5W|LyN#KefK7G z=RFDZgJ|Y=5jjARF)nP9X6#%|K41WQUIUM=y!@9YCE0`iv>sH1QT1~D&Ko#`288CH zRzHZ4@C86xi29G+3Ps`}{hYZ@zpKX+KL3IJ*=KZZSZYwjIp>@J@Bg$)K=|p+G`E<2 zK9UD&@+(|yU(p|*o`6P~g>;a`*z6zVz4;IFhM%l%Jj^f}A57fA^N$b=7vG=V2i~EN z2oiZ-q(t$L;gd!}*JO>x$HXi6n-osol~z4rOGY(Se?jO_#fF_auyzVScgH{+m{mD~9Qo|s*l$>jI zHx(!`U0i;_;S^XtqT)|s!^GcS7V|A6gx5p6lkvv)$-L^;AwoH8I6AgQE*#pHlk;Pi z{KJ~@4b&&DL1(UED+rot4^#w$P0K|1jQ!(2nmdys&(J5kCBF%^HGivdrSbizsqk0D z`++i79i6QMhZx-PL2+pECZvd$e+=%7?~$3zFGD^Yg&u&z~XARBik1IcpAC=#{h;&UFpbCO;={u~z-eHSREI6FRc$v|iyVsN7kQndGH;Wz^4d*#@?H4EaJ;k1eSD)E#hrC(bDCTruEKPFCmZjm03cJs*-8MOUWuE?sfK+P7JmZl) zK$9{t;JZZp>*R9gG@O!OX(zqW~$6QYr$wyCT#D?{S(`izHn?ssma8d)-LOtcgk z(sx0IQp2=okiR8t#sG?&?*VTtFsU&{1D?NNpa3HiwYoY<$=(SRd~$=oJ;0l^yNwx2 z13^}p5ou>FU9S1g2OdI|r7e&w*}JzSoqr))P4Abkn#6=1u~}Y0D^~9>x#nu4vsxIT0xvkXWc;V#IJIP^ov;&6O&4yjR+)|n z2>Ewxzut8sGx%rqo;=)m5p-6^r!K@_H%EZ0>?(MFUUCL@DUgDH{szH$+%XNmXdl6& z;-|CNG5`Ba4;0|(x&tw1%PsUp@J`4NH&N-4nz26lU@v2-%P(s_gIZ|EPI(vHME|Mp zR%QO&zfLBt5!zC_HVM&oiTOQsu`bKkhtuWIN`8-B%AmNljme4xU3jG*gD%EWI*O%82Q*Gpjb+)Z&T9@rZ#q6a67^n((1`*U}?8%$;amkX(6P zQLgd2k(X~SlP>v!mxrcv`!}NEOHnB=-(Xe9Rhe#hFD3M+XM{ue-o<{A14Fe?P#wV4>FHWG{OXLsohh zsu<;OuYMI9KT~$iNuB;=z9V&t;GH{X3^NdH;H4&dP1bm@`jbS4CgFmg^hed;UY zk(Jf*!Al2sENKXVj8ae~m9QX`XD*Qtna2a}j(Ex6f^;IjjV13r!t^A-Nw`o_O+)=7 z%XTVLJ!JByzG&PNc5S8SwnX(BJxTeO>?p--6tIn5hpVny7u_KYR?W zzm1NQ#@G})nYMm(C@ad6##kx=YxAKV8(z~%9ut1JLl{Q;x1h2=gIby!$MSUra+sg7 z+*&m1_E=>M-bxY4pwwEL@I4db`AizFt1t@~IFd7pZa+ucHBLIz8q_w>&AgQB;ue!vNeH$&Xg=(=SthnjX z8y;$t84sf7uPIkst=}4y0N1nWo(epkp2gmt2rASlMR0JbWb*E3MTUW-LW3(6r--d1 zp3MT&q9l|+AvWP62#vKUl$o^8{%iH#3FQR~I6xpJXUwgnce^diD|Y?n&$)WU4sh4^ zLluW3gcA5qwplv*ye;f1N&?j zG0?ap?a5@dGfWq?rgLCA(I#u%Reo!X0u()tH?c1Ba(o*!%aj`pYraekKNY7BxBK>F zu$_M5KwpRtKJ|TMx4X5hoCv-xpHCUk(~aW}`D)d>LxW4C57~!RiV;?1)lmtm#B1yI zN3>~ytJV$0C-}rKEr;%?P(JEH?C4_X4oK5KceqqORfo=oCXSxgITkQ8ayy zLIz~2M+RM(ettA*j4pVrDm%!O(Ah0g4otvu>6g-GHDI$uOxS$ zvm!@*pi!3@(HiYv$5&3-LkMG5?4a=mpR-am?VL8KiTYNz)C#!;`>oElitJKO&XOl> zEly)KH!S&-J3Mf_N;Y*ZTzgR&egAAazd0;sob<$gwU|>YI;^>Xgn-H$#;D9n$=~pmTFKs(x<;DnMPQ|SFx94j+;t--P+i^4d}ZL=@wQPb z0o(&Z1}j*;NbarXS3%YdIWTi{^EO`O-5=s?jCCHc$(ouhu;3lA$nL??A;FI4>V6x! z9_h7~{&=MzL04ke^*l*bYo)37QPq)z!r=%WF{C;T-WCF>5TLhh_Eg7`6>^QHkv2Kh z$?eqWGV_vrT^^6(Sm7iX-tnM)8UcqXZ*39rGDkdJa)g_`Cq=6mTqyc?$0<4Y}pV%(q+a=3fLIM5<-;yJbM9$JOK!rus(AWAbO z)v@y}kX!+y52<1_6eq*WItZFgi9;ya#dUYvq!FD-#)(t{; zf}NCnhq`S?G-GNW5o~<=*ji+`sS7&A><*RLP((48z@L;HqOgt4IBV1eQIlg@fU1U} zjJjir+0|XqipZ^38`@)S5Jx7+J!HIM$CKJdvaeNGNJF_Us^cI<-=T$IJ(5gBIp@HR zfN9b+N~W80zAj;`((0fM%tY#*a7#mG4Ce>n)k^Ciru1Ak^FolTmO*0GcmH<2PQb#z z)-GH3j~{Q$UDQh=aHdy?isa|FScB78)n6$xw$GeT1`c{?=?(D^tRvrL$~z}D-cW+d z-zMp5K1|X<>4SUr*}eL`|CDF4KJeuoq>CV+Y-Yh=0(mg*)krTvpK{FW6lZ$a zYv3Vp-=o>y{=(R{(qnl}JMPN%LTV$JI`$X5r+%xb+YlibuX1I0(pd?C`zC)SR_5}U2xg+2_&65?$%Af2(chR52FEz;@<%fUyd+~KX<`XzmKLbEf)^Nu> z{3(C)TOcI8uw4Wb6vC|SZpCt@lki6M{~L_r`X_*bioXZc%b;=5`~IK~9F#Y87|R+T z`ryRPC{}4PR%w9isCLotk8_?7dwt90HQun6+EGaY({x$uJLrXNU`DlHvxPp70~iCg zg-2#8E5fUDl2|a|Pw!UD)7>R>lhhTZ49H#u|2H|#N1VX`U!(~(EZ{iAeQ_1&3;fSP z3JT!>vsZ%4EOjWO*|g9R5nqMVIosw9Ps_J}?t4QMoOiQUhbp*g6R}^A@qcjMVQnZ;9PoT)x_1Z1qLy6&E`2hbadUp8$4MigsO6-AM&nof;T0@(5Y>za(xq*^e4vO; zLsGn44!x3t9~yP+pjNC->V{Zw(5|lB3Z$4O?sa2UgvCXNm2uDoWpU7HomiBAE6vBu z$g9z*VBa%J6<sG(+bA#qB{ zV00N-id!39PCSF?AbXoNXjN)5IyiXnh$c1I{r{orEWDx&yM7JQNC=3OfOI!Vmvnax zEj2@Thk$~#ba%&)Lw5+$J#*rLiD2&ZsS+#66p9e|Iq&XV>Lfh9#>#HJWz>y^}|6IofC@kUE#X+ufVmp zL~z8Q(A0VEjP!k+#))c5;az?9J8iUhf?CWa6=v9HwU6f@`kSff;3$acp{bX82tifM z#QI7=#(!+uyrk!@+Lk)LPfKTheIP#x-Z&ZjSRj zajLprWJ;#XbWeG}4`n|(?YCXbZQkpf!aB*9s`?aUkQgEgj}>8I1UD^~L;47KRSr8} zxRFg4hiP`n0xp7Y<{=UlfBgXi2u<$QjCJ_J+DzDVXTp6#TqPp5NWWzG#Bi}s+un#N z#~{iQh-N7GYAWn=++Xpnmu42&I{Gy95!r@)xc$1fI`Qjf@V1DwQar}mP&=r^-p+dp zz%3+KVU1W0jpcv10xfE2g?BmM8hKkB3{b1MkOIdIj<~coMnosP8yH!Ss^gw)@rbLx z;-BIx>Xb)jZ($q93;*@HcAW6e-QUo|^pC~M`E7biU(n5_B?bFGG69dAqdwn4bil;> zDG$V>o|(w1dT6%(5}U_s73jr7^`DLHgQ5DI9@teVpe>%&%3AbAG{2N5<%HH^9*fN1 ztwyM&hvm9=t|uV6X44+!+Pt#1F5ec#n)ChDVkrm3si!ageyE^lhT+YH*rFrVO4z7M z>zLY!l`FNf!K?)xKt6bk`*9ZgVO}9INHSeWYw%}G^yQ8ra6Ti1$E5W@p`&pr{3vv2 zsJC%6hiBNEk+f<$8<+{(InBI&^wrs>J&tQn9b;Zu?qdl9XXE-@?ze>Lyq^01X>%HJQMfo$Ws%Xdn86?WCn`SW&GmaZUPIb? z#z86KH&sUg#t*_;^jK z$c{S4B5nknK6scTScky;jBNwKI~;%d{lEp_2^yPtI;>)hS#F68u+OCOjYLL?QD}JH zFR`2Zn%^)~n;~)num8MbTS#h9PNNkGel~Ax?}?spdQ;Y%=peKAD7)t~F;Q{9W}0eU z+sI_0H-P|Jgj4!};6p;a(88=wDjjh|2>1eZo~1Nik`T4M`y*7FbHO94_Rh7f$}o_x zgA(&ckMg?lg*E)MyG45YyV(tH&I!Qa@9g{$f=9b5=PP0skaoGDIWPjo#o;hpdv#J= zR)DQsxkp2@IKbBUqFH%r11ii>TQ)USvb}Cw2QPCkzAZE+y+VOkcKSM8x_k+@Zf|6k zw909`a(1SEPg+Fw6J(st6am1$C%#TL3_T0VQ{Bx9-N-RxzlGTrA{hnE*otE2T@RcH zA|;%*uz00347iwy^r!}-k9m9=2|}=#>i7Uh{>LGFyru5D_w>Qp{)<-K2v^9SX)Y_L zb)rOe5A?SSGAKUf5xO?i25FCa=<-cpJ7@klV+4~k2Sm}|>c#hBgNf}05JhdYe5eh) zxxqLB%Rw7fc9^0AG?=2zhM5Iu$*i{w>OI?N)Kk52LOD1hp7g@Eza+^IQ~9#0YQk_~ z+dsn9#coZ)nM%W=!AsLEvDd%7eV*M2m`vS-?NqGj>{Rf*tmu(Jsb_w@8Gv;Qm3-L( zx~JqB0gkiEs-Heio10hBP{p$(dU!YPu$#j|xMZ{aZUVM%D8^FQ9YqD`;1)bY%;mo= zczZ=|lUB9-eJiQaeP1wTZ$i~W_8YX4dhO87g= z#nDEhv&>fvoMt%#e3cA0V@>02Jm4uBaz+MG=Ty~?ZW|2d-oSy~(S$i-9~s>YJ7DO_ z5HpSM|K3BCvE3F0KvYozUEaKi|k;V%UF-o?p+5Vp!;*`YnW} z(nl8e_cb^7R9a<_z~KkAUuTL)C!Ys`a_aLHDU1s{{mKm5`<1`c+E}26fj*pKV9p^7 zjWpYTYYTZ>>yr@LAwRviusfn2C^wdBL4jg3&h^bV^XW*S@Q3aos~U<;>v`~(_4^m=WU zte>hlIAzjO)%Mx+n#;-glwjgqM^yZqcmv+w%%g%OEX0i0Qzd+YAkB)hk&Bk@uyCnA zXpj71uF83LIeL!^*$3mVyvKwpMNu?;W<6AW#CDy$Tr&v9e<0M0Fv|kS8QVL(QO7iR zSd;de{_5jS@+xfTx=;vvnu5rEf4sZJ4tp(BY~W-|Y#nr%W(zkI|WzNM}ki=rX=_lP;53L3z} zu0<%$PoG??)XFv5LWFBtNLl3|fDNKZi7gSjT+$W=l{U;czvbtT!CP7b=)5!kzUXfP zEh0?*by8AINEUZs?nq*IGxaPlX(tBR{?8QC4T|p{4=B*4$?{rulzm={zjXy zQ&-JEs~Tk)SR22IMLp`p4j&6YZiW1prF9h!9B%>F)Li+7yJuMXtyQ?Qfb~nIWi8hD z_IXp5FPqombXx9#*)!(^K8xSw$RNF#s#Bv)nUHj>DF;uw=@S@jiQT+=NcpN6m0M~( zz(m(Jr%P4&^->K)d<#UJ%NQqJ;(TJ1%Gic?vluOydVXYNobZ4W-vm)=)4P z@^~<#DeEU0jWKh810<6;{D>N_T0i5T)kkXlbas~*d*jLqo6C6OgO9C=uZwpp5NVC6 z^frguT#dB&jNrgFM`uA>Qdl_*TQA1jTsQj&bO9?7UO4Gd@aJKg6|ocS zZ6srduVcG=;OV|eJO57!Vevm|hcox;4eDsx)||KeW~oW%FO~VK8OqN^S^|9H^#U@y zB3&kTL~8=06c%|W^RQ_^Yl@xrfe@W4T#ENFaslREM$Uu{G0%DkqG*uVgF@VU zfodQAW}>Rpy`XmdTgJ&OA~aM+kMaz3Q!`8UQ~Nbwx`J%m|5&ZWh+~!Kw;I_SueaY4 zJG9*ICwrCg`YVyN7Q|m)!~c4qK^8@1d2gQeDwqk|r7}Y-9@(ivgzlk_l-?)zfJ~0We7JI4IxW!XPbn7D-@Fi@(&*5@sL6H89Iw9coGL1zQ)g59 zdgdcVl;CrsC(l>t4J=u;AH}$9cYr5Qxr+d&uJQATe-Z}?BrBdH{Vm5qW%iBfbw4~A z(TEXeFqeYH@Kr$likp9L$!RQ6_#K%(dnk9-xm)& zxuQ(=I%trHK5;SVj7PqFoh0C^+X)@UBHj5UfziuE8PsZ559&x5csep1uRIhVH1SCE zR}YMrTR4ka9j+GY72N$9jH4#xsh7UXWF+(phKV-C+r-<-Fh84bB5#ncmr0c;zAb-0 z%Rv1&2i&fjK9#PQ5w*RPZik&YN)c{%AlmiXewG``WsDddIaf&-z6X~^`*vuz?igJj zfEV?RWljx#o-qpj$xk||6f+|D*-ZTp6uPkW=gn90`SalUx8VnIAu#3{9)aku>jbJ`cfE>_RrOmmp*h5%o&Wmd7A zaj+_AdS6ju=pL*+t>Viq^HWx8`hB_NnCY&Phju8oS=W+^AFD&;(C<}_XL|2Vd>Y}Z zk7Z>o9r`RyiU=XEL4I@8%_7N3#)>K}_#n)JZI_(wp33Fy$;fe7E8lKn=t6Vd&K3a+ zIQ@_KW;}HGfPTNEz&K+w5_~W(W4Q+FAo86a;O9)o+?IHY#$#$v+PT41)YmJ7nmG%? zs@2_r^$iX`d(Rd1Nt1dz07LjBtG$(d=Y?r+^sYU^vy);s{IKS40>yb`ztbk&i#VPNw%^Df1dZY3zn<(~ zQ^DmQ!}xt`Igxnvdo~3YO@QFe-GCV_yvPd~%j#m_-wbGBmi_kIGdgLji;zD}$ ziAu?h&)Y5)XxVjW%q4yofzthTuPyTF{3GxSio;UgV+&M)I=9)!^kmjf z0@CiSuoS4oYMN!%pkg2fRt~oAl^ZA9#874oLrW4BRTiz8jm4$)NBc}htB{_Knvyua z$t*eCC_v?B0_Le6PNF8YFW%M>ZzLT%#XT?$+V3CQ@5_-IuZD|4N1D_=@&geay#qR zDByHT_&!@Q_$J>_2;U~FzfS^7ezuoGI9FgBbN8&kISd8Nes#h;T6D9d2ZGh97P0G# zLIXbGX%`yX0kxGQJO>OE5dvp{K!qrzh2t!dvMCw_YKE*t&!oI%2SzU40+ znc(M}DKK>d924`9wI6op@YuW!9H!W-rw)3`;IFQ?>G4X z0mSJfgTV>F+MiFn>#UcbGGd(wT1*RG^KeRRJzzUXDlDgju>BZCqjjJ(J-<4?m!C+^2LCrpK7u7wcD^Dw5)nCpOR@TkQ%E}9XZi8 zvr#zF9jssM6Z8W2O*)Cxj7)$b2u43VElWI{Y!Zq7=t5w^MryA9bY3TgxOq z5STj{*pF0L9o~i2pg=g0eI&!`Rv!rnCiAsf*~BgP94-rtLG#fm4Z;w|8XhiJluCqn zC+<-R~hLIfbNFq_n?M_@L*ca`gF0UWj-2q1Ay(uLfv1<};D^+8k3@|j z;h}!Nj<1HeAdxk?=l0Q3-Tga1DW;xCQL!4zpKw@uD za95}nlyw<8o{{2d$r%g}?&j24(-uACBhSR(EDJA=&*MJ)p)EVDIy;hAlv^qHYCiAn zP~%5oG-AH5AzOY4Cx~fIl$bf3bm>_i#1GhX=eZ%Y8HI>|UUOhO!{d=a;vb%n-no&) zTprky>dieeOiL9GbKF#-KOOL=K~cjj2%AHQxaL%gQyaHII|6f#(iET)l4^9~bghuO z1d(Dfck{P^?4HTA+7o^7_~iYJEaW4Y>7#@_NnQsUW^wFqmC)a7afK=pFOJ)((nlWY zyv8-ocHv-{R+0%zGR0~Un9&m{!=bLmSK*Q5?;(2T)|AO%K~ze9Pa^x(Y76mQsKt*h zeq8>n;fyslW(8b`^x!E9md}#>74a^-Fu~xdmHyG$MrQzmH*79*dx1x^eW!MNkstkz z?FW5oEKMp$9raalm)&e}mvoZ3_QLr{-0uxp{$BFa-5&bC&EL1i@A#5-Ze5(Hp9q_y z$rvjw-wCodNuJP@v{M7s-^ZoYtl+%mNPxnRB&G78JuT$>Q1)an4w|LfDO*{ zt5H>TW5fcDD6YA`D03Lk=a+t$uTe(8`T)v#_95Q2nT3v>L07nk&5e`%&fx+179Ah+ z$=y@2F_5#--(n+6Wuy0@d=d`B7qn(=Lla=4LOETX>*QwyLs|^u=wPt5P7iZNdEKOh@xLSx85`P zC?g;0BLFjb=dgO%gH@dX*K?`nX1nZhDD5ABQ2fC~J*wKd9WAX4EW}iNBnVDKM~`LC z+8!2SdJ0GJx<&e*=;reEMRcR`1vlhK#5LqBWAXI7H+>P^-f#5E9Sdec9lV%8q?2E89=q`Fg*ZcHmA>W`RPWD0dMLzxQw)HTLy*lR5#i5p9 zhS3=%ab@vM8~gxLPyaCQR3sYTcHbnyW?NWXZ}cdUt;*+6?HsrG!|E-?3{UN{xu)iR z==gt*q|})DpZNHXrZQt`i`H=QLH2o|l|N2-McWlBGX~Dubk+=4lmIM?V%gr9GOGxUj0*IdOn8fhw6S%CZH^$&F~F3x*wpedj8QmP zCo6uNkwFVo{w;-FKad~iz&crW{R7q^-2(SXevt?WnonUrg(Y{iFQQAVCKH|qHDWKt z@&Xc_zi2L_=kq!BD?#FzKyZAANPZmp)`B~*e#bzmSNThPhWoOzpE&P{j4zgt0eELL zpbg8XpNl_Vt;xPDQYXWHrA%bV@Bp(f(5BhOPq9{OG=HG2T+nZ7C4qddHJ1c;6*E!C zWAvn&j!WdrCPj2_RuMfj-{ItQuFM&h4{hACvAp~4XPJp~m|{&u-perLvDN&zdlceM zz{M^3c5wm@#^ErJUiqh>bz(*3$o6!@zw~Kzj5Ub>~)JK zTz8KH14Xl%x@?>sfD}7c{J(@{s>B{b-ux2IM&i%CD-+%jbGWdh;#_08;8>H6+o>w# z+vp|3XeE3v`#yR?6N2LtXxDdN9YqLir(fD9tMq7LyE}B7Q46Y>HUu8J5~K;PgqXXx z@XMf01(Ed;K}1iA1eHA2a_>DU|_xIG-z;;@$WRI&}qZ^K7w&0Any#~%x@0DDL z(q$9-Gp-Yk&yo7Vv3ZhZw3pJD{{5c5lD%kMwJ$yk)=a>4$ee%oWc~c#0h|2MCCU8V zil-q2+Owiu#>tJgfSZk!q!DkmZw)rS3JB8~eSw3#89EO;*Dp@X^{9(nHZLrl`u5{E z%TNek<90QiV5bFgERq>p@aajd4Y8#Eqwu$$r!Z~J*n;ZJ$2Y&8Rtq<8jKwB(9}+ftTkF_BjXmzKw(I{$Q|95V>j3JnkCb zW9;L_s<6w8-SMCtz14aD+X28TkmfHxoN2fo6O907i6rT z*L4SDE}teAv-jr4d9-1>l^-ox!)uQeE-Nnxs^R#QacPFPmPs4Z`L{g8TJ!PCS<(>027$r|CZ%LbzcHL#u z_7!p<+K`%#v$Dge^x2R#Lc=e~rS;{zrmI*#zM}f3{hqLY+j<&sypJ0D3u8J~dGU z&DYwA=Jr4#7PAO%FHEZcKnGUxGj`zKL4rN&dHFdEi=p-9SMcmI`dcZuEKaPg!cLBc zzrOf`)oc*hlivgT0cl;Dz|qC}S4Ne+o`XwILC_Xjwj&3)M5&pt9(vdg4u8NBSO*89 z`fCbUmhmS4ycOj7A&if*d`TtM1vIGfklDNlt>5JcXth+BB-5vFMmS^KyY*{6Rpiv0 zf>~l1jIc;R{I+qB_hrtnt!2nv+)zchRz7rnIl75>7=bKMZY?rBoN#2Pj)BNJuAQN2 zUoyT6r%zNfR4C8?s6iN=$C&;;WY!fqN|O?(Ji+J#nSJ;517k3^f{g-^rPy$egJ)E9 zJ#=hlYyz)6NpM!$R-J4b(trye!N`~+fFr=U{$FW@OOU|HLrUlKP*Pvk*rg2#`7U~h zJ1h@65I^GU12f)ngJM(8tkjNy;Qphd4%;fzF5rcQ6b9N@0dCP~ghf9NY2Lai9OPm5 z9{zR2@z|4;(o!}kk0s4a8@8qqq`sQ*CGpeF-HA{|M@n=A=hBgW_k&wd^#aRCh(pj1 zWDrW)Oi#EiEx0(eS1>JGol76OnZfd@`|Y_~i;S`RMB9{?H2HhBv}2cwn>E!a9!a^| z+S66U#fTP{7`)D!n2=!L%=rqXV-I9|tOlOSP=2zPXuK zpJ%)qR1WyQGqfai*Lzg|&K?F)hDyE8^?EOoU4-f-vNO?rZzK=8{UBI*5BB=v4f& zQMhcz=1|+l=J!F_8%5Yq+ktoo{=qq|RDg%DUDqRKY8}?}l2Kx6lk1oJ2llhrx(>r9 z8dLt!kF>8=+8zYb_(+2su`9bD@H($Ih}(1ukXw^>*4h_Mp-9Oni~1)^*D9WC+S{E0 zA0ME^4_06_%s&Aa%c8wNlb_$oJA%=^W8C^!b_v_`B4Yp^el0(D3!Jacy$ra|A+bOf zp0EdxJT7PCJQc5kDhphXaD1nAHEN_jkBD-+6$et6n5jVO%g>^>R~ci?%9@hnD@JN1 zo{;Nr0##WBM&}$0)cws9wE~xm}Wl=3Jx2b34Z(7Tzb2E}v zsGZFhs7-dlH4Y-m<=KCQ~koG9FdGZUmW2|>_>rDt;}^k1uuquS1k9Xlu+!n*A$UT zj?=c9+F2jbJ={J}O(^%=;C44cj^@}f+?G9~Y|{B*&KuH<7>qo__{#1FKBp>flyU!N zig^77gdfH_8Zt$a$V8%PO-Suxw_{~)esv#WiXHH6Vb-!bqIv`-1rAR2p zD{kEpU^$Z{?w@jj!o{n^v3uDi*#=)H2smLwLWWW>p0M(H36*;X>$PbGSzb-cNb2=% zd#mtF4O5OhB@de4WPXZ}EFRR@p98_c)yWi^(NJd|$}8Uh!tx&r9BIyOeL}8%bZD}g zl0A&mJ~*)zYgnj<`B+WmRZ)mX5Ae`;bsu{FNqM2(RF#LOZv3PgnF2BUR$klseI;U9 zbXmGyuzRX)iN|Uf>sl|$m4gKvKSt8z2n?bB*me3sO}6eu@kK}!_k-bIN7l1fEQPN( zu5Il67{Ce{T}hrC`jn_flr3IdoR*v&VLtJDYOm#S2v$C+e3F<{2-C{95nfDLRi0)o z*FtOvl$zJ~jNTBa{?WWxQ@^pyKS8WRlH7Fj_Hki_+XT(fdKr_GZ5R7FO!zN4%rR-H zeQ``W!tru0^|$Ha$pv?&W&l>sH);YZU0iB0dIWt#&d zQ0YgrkEgIKNfk#8lo3dGX?kBk?_pe-`CG{dbsuPxt}DGbx+teCCq%^pONcX;223t0 zuYQW?h$!%5dTha*de^|`ms!-VU5Hv>Q*kt2@vg@;krxXAykt>)cC#0{vQa2+nZ0Bl zr${bVMu2%USuJeK8j@MN*8-mv*cHmDWEAj8;jbaU6`Ih-1Dx?Nm zI`DEV4Jtu7%o*2ZV5kQ9@p_Pb_|`H-_*3M4`CA-lFLjj6zEjLZ)qXu$J3GZ-X?vr8!SsN`!_2Cz#1n);-%oX=kWHAsfQR2g6 zF%9%W(T-0L)6c@qofs(0)N78YTBTV!=ZQu3^U&fTx~L2T1?zD%3RnkF#o~# zZ5BDqDIt(7vMX?eIVH;JBZuosmMFSU5l|rAe0F=^jTOWiF*EmZVYr@b0YvnC$SreA zh>1m(_|9ljZAlPK#xBue=%bG;{{8|y8%uJ9JtY<<67de+M^9)$~HwWQfSlD_<_~w zuP}^RZgqFw&;SJ!?5;&hFzG%ALPI$rk7-Zt?up(}sr3y;kbi(ady-wqr+|*wZb^<0V zV1xA1U6_^n&T;Iw)PyoNiyBUb7g~u#JvwdriV{I2n24RY+!_HH%+Cq;SK8sD$i<6w zm}jn@2J;buhwpObInG@8$m{UIX2;ks{zxXu=?KW|;48UncP0svXz_u`$!i>&s069H zPtM{D5e_vbCj`i%x=+b!r(7}r^+ztKs^S&9?~9q=k@$B^o!0R=-JU6{aV>2o(OaCU z;DQnzBT~(kr`9glTVU?U(s^x4>73FZvia#(_9{#o*IZZ67z@*LFYd@gAHeTj#@0<# z10BGymZ8gQ_cpARpEmw{Ax*MnAV3a`hj-ElXgi93=U{K>UX`4$>ZkmGotMrMYjA`*cKQKQGBKH?(K}Y{aZ zc|7W${lnv5R)2Nu;+LoJZ==Cp+B|Dobd-oR?{RTlZE^!M4N95arQgWPiE#2MPhz#~ z4d%M54{gQoFCqF8~NmEzRV$VALij7LM9!H&HW9M;O zt=(`ek{R`jk>y~R#n4CzQVx8nx5}1XZ0xWfXw4#hdQ3p>0h^6PEn{$6I z3-^WB1`IG5P_4n1fYsUncg=*rjGK2&Vn1GY-8*1GS3snKj@3r$2Ul0RllsMv`Q42^ zQ|tOGG~>D&tBB00;J!-KTwKgMbH8709!XLTr?VBxrM;_R51Y$HCs}_~^S}cg1y~x% zJy5fNrpUt6^&TJn z=5l;a_uvraZ_W<*>+)lN!}r)@d2TsdvP^&hMmI7)<*{NA_~30|>U`Pmq=4CMfZQG% zaUEoFCTwg`yIiBES3sn{veqth9n&*A#T{0o%iGV8^<J`lNvbX->JQ}@p5?*1xmne=!2JbkG70up zIxsuHv`3_KME!)nf48%JutIc9h_-=24X@+pt*;e>72xtG^%2&k4VUcFq5sxP;jZS# z)EC=z5!mJ9L5lKdxKxMV-fx>y*kTf%5D=Kc6_~8n%B+8m-kL^y0otf=wYcE)&n)O zLE60KG7P(9-@<9u4JF75KmAsFt9@T|YaA$BREEg#w3p+Ij};Wwt>xBWFQ8Yc=~Ahf zBRA(*IWr^W|6MTasU;~(Lq-B!{=?z@k4g>L27ACKv_CW=$-K>jGy9j<45`fEqmh?E z{}XJ`@0XdAYMs7*(ZUn_I9=cu9OLxPGQD^Vbt2!X(z*~+Yg)$ere|asf z)&U|ErU6E3M}~_MG=cOfthePBm1}Yc_ect) zA{E957NJ-!xfCJ#d8`phb7LkRY~xwRF6lW&fvpROrCneF)6X#i3i|nNo{su&v9Bj~ znu`gr&uy6VJ>NLI5r&8>DldO%W3!vdo0Sj0Vi`H2eryMB`%F*Njpb(|$)|U!Ae2D5 zXRVbjT$e`tber%Pxt`c=gP-5#RH=gEH{81b-Sf{%=f6q|!@F zODxf=HBu%QVMEt;g6Wh@jAJRv=JeYd(MN$UIt>0FmhTJDDgqVrf@!j9c=5&r6!~)8 zz90G`wSMyfzH)~@m|a{n=6@NCn2?5k-sT6_Wx&|AC^IamMXP*&LnJN#b3q;`T8pv` zejch+Gjyw%)aZ8@%2Av2y@TWV@v;x|D@OpQOmojWF{A*|unkkd8m(OAc;WW+zTC-# zBO>T7cEZ_IBdUXYiyn3k*U+7t#C=!|k`jNEO`j>0OwtZW^%GSJcmURWN5Q)_Xlwl| zs4-iv@Rd}>cyZIPq!yC|KZi09X574o*^j3VtsIkJ1RJ}x@X+nl1_9QuIQ2uzl%B316J~v@m&4g;yw<7Dv z#IleIxukc|4pQM?_49I`9>=-cq$T)&r)Y?*0bIWh;?(ce!*=AUQ{NA}o$_k_i)txG zlA2X9iW;nO6EKl6(~bsb1J@=5d|nkRzJH#!5ND(waDlu>>Hjr3J2p7DZfZ`|dyo)X z0^gO*%#nb@{6&Ea7S$pPBh@metV&`9*`@VYcFumghTw*`x&sW3cKpKG8E`T7YQ@s< ze|-S6$`}8e){=^`eq##%-qXkXZC7?TeAaxBoi^(FcqF2y&H(+hkp?WQWo*jlL=Wwx zz1-UQW_5DA-`2Ui+@Sj7nZ*D#_^#o`qZKpGc2w zXme%ru_x<~Hpgmj0W`WF!Fk~Rt=H>ni`F}Ic05UV<-qb(!U`|ze>p98f0`fNJI%q8 zkD)8I&#Q!XJW(UoU-et6oI>Iblg5sdI;Oj~y^KP(fEUy}89>Z2(1kfK#Q49=nt#n2 zNbtYN`t=L4?%DanlmN*!EWkXvDZm_Uox6$%$;H&=8JkFZj05|ZN~Qldr-is^V&aLi znLK@OLG>`04cem@2XSP)VC^jTuFLqB)FOa73Y->ggYDQ?zY@N!fZ^);0=is`po1&#;Qm0~+? z#gMUG*9WIRLTN}VS;^?s>9$R@;4Lgb&s*YS2veQkv7uYITaGg)+@3ue+)A(V$i%a3 z@me5Mlc|4o_+9N zmJom-)pkDc>7FC)h7Xg=*Zf{G6Q)t|qj37E=bg84sF+R1{!W19xoOmD+`4f03$gHr z@|>@6T0W(>$m-lVaE<(JJOs_lZw_T)u)4W^c738&bFBt2h{8mLrjx=CRUp-o*b?=b+RJv|*rR z>h0$YG3>_0R|7xcFI48cM4_XevQ|O$K8RK!ivHRB{dO9NiB{z$_4e*+e-h3At|DJy zM&Nob(tE{*^o}PimX5I_H@4hn^VhcFZH;DFxJAK`!_?4P-i96dZ*LTWRG0_tNx12Q z``bHPN`$x8fDd+=q~-VT(3aVfx!X4)tA}IT*3MPE!iWxd8(S^{KKv3sBN;^XnWR;` zf#h-~v%kI#r!+eXP<(!?@_nM&kqdqB+g1&IOX7aH=IQQMN%@SP5a8jfr^aA@y$Y3z zl!5Ti0uEbDVOx2Iv0=;U#XoyaE_-uUs{E$edA%^4Eb*nwt{z&6+mM>gb*5;Ei~w-4 zs1yN{Uw?~HMwS!!IW4DV=^)F5YaNiFXt{+&{RLF0+L5^@H_^ogO4-f#y#V#=z`l~O zY6lW7B&)xtsOq36j4kIX3IlwT*Lh@=Z?v@}pr6kA&-X9{dcwhO_9BYM19LpK(nC6A zrtghD6G`6#`W20*Q-|%mc50Q4)74}xx9ksZ+vp^0AYcIDH>jv1r0A>tCFASdtC;^J zrcBLtOSYq6ica?WS6%?A|KYhV*B3k&!`W`w2g^(Gj}6>e^$xf!kFiGNS1Yn+=Fl_? zDmj#G_!YjLCV<(-aC*w=S}Pu(?>S+fUKFx%{5#aF5DAN%gq(MzzWSx!$rlmVMhI| zFb|>k`@N?qfAw&`i9S32rD*toj=rH%mmss585&-m`ZM}shmnsSn7 z@x5;n?U^#6;1yMKr^X`5wMD(-J>5T|tl~-~wn4YN3q@5%(0t9Nm7K3z3~x0}lAAp! zmhpN9AegHw3NY`uW(k?hsW*`SZ4)zztuL~j?PnxJm~gcpj`>xYN*iO+;HeD?EgpVx zch?b#EqelYAgIpPBmx-VAK{MT4%PssqI22W2#2Ee*vz4&xZHtQ<+NV;v|?MGP!{!( z`V7TLfw0!3L`uU58zrP044chQc-U#M>ybw5XZGBjrz#5<=juxiXAFa`xt~i9XEbDw zFBI;@3m2M7=|RQK!ZnEBeOc>1d>J3oer^4ejD?Z7O1 zfBRVI8$&vok2Lir0vL}jDGw9nNix7?-?(T&8^4nl6IaR0{W)pm1>4{6=o#Karpx!c zkK%o+nNq|JQ$A83yepFqZ^|Aa<-YMIo{(N22k46u{d@RGoZf5M*ja2~~YT8)_uJs*He%7>SA}(F*jpI&3iUw8FJSQ%P^FWRs~Y!x*}u&xA2A5|F1SC4>?b;V!zRa~N(6k#?LCnC0Cz*_

    y0_r9*ci1;aRP_!XvqJedq3`UaIvbSr@F$4s z%`A6I@>SxL-J6#@S$K=!#YUXB#6RmtmDb_*&u~7!ld+yG-gtBvWeCfP|DI~q+RX~t zQ*qir|Nf;Wef#R4GQHE&bWl-vmzfr{Zz#o>6Bqyf>NH()jrz98SZQ?Lz+?83(gG3< z6R3)9uWDCVkTnI|%EL8b8{GBkZx>pUc73-PM=!1KwalbQ4oCt9SDTXBIa=Un^e6;= zQlyEn^j&;>1$)Nei& zPxtExcO`bKWb5GxOt31{S&a%=(IBI#o1?_4l0zu5)v{s%gVLK(z)Cby#7OtzHYy9V z``U}4SEsMoWqg8D+V1(1+XE0dUT-Z$-U~_+i_svB@O=s*+C$Af zXVNE?L(Ow$u7lhUu_FMG{d#TQC)T=`IEG~HH9NY_(9#sb;+x3xbeLrRZiDi8A}pz%-w7=3?rKZOyhu@6yrX~G ziZ5(k3j<$%9&2e?5FX5dSWHYguea}{2PXi;Vuecpj#fvYX1d9$ToDmF!coFXwD)k??zM>5((J1@ zmN57g%b6YGH}QuX{vloB!1f5spkBjzRvP_)4+$13l(>Ew3aoXsP7pPau-O0^h38^? zRQXxW=}Q{I;KQy6f1unb9Z~j{WvwME9Pb{`;3mxV|G+OhlROyw%8#i7(qMAiDh~7G z-n%qN20zralUTErGp#d&UCfD>Q=i=90WfK*$QJ3*Q0A5!6H#D-J!cG{xl-C)GAP0* z9~?6S8N&lr5B<<^3yvaj1x1*PKL^<@_@glKi^>PHtrIVY-PZHZt-q$?nXl)5W_^cM z$9kI{9dX$arJa1pOS<~AZsh)||4Gm0Q}F$o(9JkIu`b7ED8F-yo_Tugp(aWNo9~UyS>R!)fm)G9MYckvg z#UE5b@2h>L?$@&&pkg&g05Xd%GkHbyt6Y>Ypnu-|hjK~QP!t`f(}LLzVlYSuG@Y~*jSTnfCB76*<)`sMbIBFS2uZo^^!+&&27M080eRM06zfKB zaG#o$UQ?WBX1kKvPc<|%snpH`)d;dUCHEnQ!3@pXX>)+9=zBJ?C7iJI?e>4~*645d zP(6iULD*We!%a(mv|6B0d}j5zAdpl8*fd=>a*Vqx;I>!!@;1G)M-Z-cniUqRY#}93 z7phDnCQ5F--7wf}2gu({R)HAw;VlnvQR-+`$CFTlu)o_gMKT9RI@C_T@qSwtLa>ya z@x2wEn2cImIN`ixPB^1TI71!L9_%t#=KuAeYw@rpz?(6{0TB>Wv>BJlnlCDth|Fy2 zoY{(dY4&&Hpe9swj0_9Fl}45|yH3XkFRzCf^NIMcIV0x+i15*kYSbq@r1;-HGsdXr zJDCT`ZRP4a{;<{n5q#x|4Wu6N1Ekc}(79m988KT?MUeX^@}kbS+s7v}%I6krUtMP$ zRG1@n@sFrws1K=`m|0f-)_R6(8{cUee29e@=NnfQ3t4*^t4vqI02x_H9rO+|>KnYz zSoH%9;4q}jt}>17Gpvv}5_CLBy_2cr;7@^RHFYYR*|f@CW#`Y)N&y2HU@OxzfyZd* zeq9`N|Ie~g9y3*$pK6%vzNIA3dOX4BaUbrsll)uqiAZcGcoc$HKeHL&_ob7#FVq+_ zxDf#4!(zn$-kBrvdc%8y;>3xQqG7N0iPE6OFy4e~Ww71(!kdN$Z!NboLIvy8C3WlM zhzTaPb|$LE)ybr?DF(5*19#!cDZ$=>pxIr;axt4q3}v<{k~6lpua(xX3Aiv>@zSw_ zQr`XC!C~#NX7c?oR^*)EK90oT^D$9NF{X~RUpM5O-s8Ct*ty{?Wr~&TfwWitJ>Bpd zR73USEYAyY5+dGt&>h#|HiL(S416qjuJ7~iko(5vy=p}SJ1K%O;&H?b^~;U6S`)y) z)`J8U8I$kDB41?&8E%jJTxKGkMbO9BpyD^5VnHMzwp41^ADXy3QoXD&hnA#wlHWZ= zYDeX8K|~)Iwqqyb&&KzhzQ#UYF?Z2=QK)*e_PHquoJ+e?pnN_Nmw5GAjaBe_OMA-WlTER*V5$p=omC6Pqp}?{cm}N9IftpK`{`_{h)|%!m52u6p5_;mmM}} zP5JWc3jqhhQ*dESkoE-x6|VSTRQmM`&R~$gPL*3Wbjj4ckpzF>thjr@gonB*ewAOi75uyG;Ejml+rM}1~Q zYUN_VaiDPh#`Pr*%d?-oO1acx{J{}kImpn_NK@ZVg`CDtC5g(x)F`3J)`=73oc%*q z<%zA-?enHx@lQcsr!#LEn<7tzgzJwneLhd>Uxtw`*EtILWC!N*j^v=}>P;HiL%Zxc zy7Ud}@;}OLGXL_MW-?bX4r|bD*NepmW*9rA)N+3)-uouP@i&SqxX{F9IPOVQ2R&Us zc*awSSi8Wg5;I+&iDe$IayZC0;Z>V5(w!dQ`or280Ox;&VC0Hhogs`G&@fHga(!mURW7 zo94)D)##Bswwg8evO8wN>l|n@H9`J2)@m9cnUK{(EtFtBF7@BZ1c}u)wP~T!_2N5DI7_(4n(*V^hys zUrf37BPdzj)f0Jbv;XzVjSlU{5UEiXK29@0&UAuREhWOm)IwrcX_T=qO@25cekGbs zt9JZXb;9kqrt+AJjdiY+&l)gaGS3qv&6WI}+^MVsXv$4+A%&oQUr)N=3~Ck}kM;HNWw?0O2E=lEYoneFf;aThGtbv` zt=HRFw1-1Xw$@mJ5U-jjI;g`=ZL=0h{3#KSuz$Ec z)%pX{2)M^W!*I$8%T;tv8m%r)_LpyIsc}s^+DDxd;B58Fn%3G&=mtb@cY3juc$eg| z4ln-s+p>%P`P47ws1oq&`Wf- z(OW5DkjhPk4gW#(ni39Gyk&*}m&=gjaMAS?_i1bI^7w;toZ+eL<*cD6F13NH1? ztNQjO+~W=It#hLX7c4De=gsu)?A(^}VhR7gzAPw%5##dGdpi1oVI4ZY-`I%ZNvV%g zKFRewLFV5g*I`+~7UngKHzn$+j>=tI8W=4J=o&5wjvY=<=4|d{R^k4%u}gtU%o$ct zwZA~*w!%^R2=ce}vjV`;UhNU+6&DdOY#nVD9yBilneFZgVa(rO5%zI|aAS#IbMw!A zWL+O05gHs+HRp%X%ICNlX|#+{HZLar$p3Qih>zs`!U&*kI%eJpB{)JInyKmK7lL%% zhmkY<{x3sUNY)|V#2a2)#8jwX{-ylMlW1O1NMu@7L_Jd-e&`X@q5&SY@MMk=?*Zac zWuZ{5DFd5@s}Ld?6y%=sJ!uz(;4O#hSJ8=Wmy_bi-y_>D(blW{hUY|Faf{o!WdSN+ zL#vkP{P0%NU-7WglyDrl03r&eU=c%M+da;`M#js_F5wsg?zSl?(l2cY5r-Ckq4!=kA1DgT`-%|(oFc`_XWfS zOjGOhYG}krvIyogARd-hHB#h=M<}OQL`_(ZMFmPd9PEeM#9K@&HD#9G+ZPAwj0=i3 ztyxdR0r3_y;i_q}(&w{_0Op2$>MClxHf%x;8-s`tp9d%7tEQlV{yS0BQr4qpB2Uy9 zMRSSE7jo_v-o;VJZv$#2Vd#Kft^qXywuy`ay=*vqydI0>`KgKB|3$MO`ru}IOd4nu z%=|$(hp@9Oqp-JZ&Cs6?dnh!reAkF&0h)K4o>G>7PLY6)nqlnKVlBBrQ&SV)TOHDh z3kC$9gz2)wibHodXQqFAw`v-uQ>J+5;tXJ*+iXY;B&{<2HBUIED{9;oLg>NdzdhAk z6>;>R#EKfRXm-ImYC;fZ0EgkWtx7pkYPfVKrqnH_fIOzeNOvVu z;a>9SvcEHej667iw#t87`T{%Rr{|(0VBK|&BjleO%abi#IKCy!UoWd^!4WtaYP_aa z2D28#xvGCOmd=%1cjyet8E=~~jHgl=ZrATm;grPGHa5D)JCf3vb>w3@4+8@FjMcx| z?{U|1{poWv6y|2eJmh{kjbwE|L*#h=dv^c?A?2;;%$;J+A${;f8zc21f~V}uxbrzH zT@;7E2okltmx-Hg%DhE(mWf;W?%(P~qSP_Zk+ko=pj~3TxLK?e1rg1inadgCZK)O8 zbU^Iu$u0_>+GkYD&FRGZ_`1@y%#5X58N7(vR(ByBS{bmIwjCHRse&N#%{AG6ktPMc zGlZ-OoCi*sT_Lu7AG5=(=DFViV3*DYD-h3KCEaUyDIYfpVIfwaXmNix6t@={{?qht zjd2=mMHi3S68>UOdse*2mV0e{PJ1^Od5RURxjd37M8zorqW%5+fA3+&)8!${c@af? zRfBZn>;4{kfnvS2<3|xtr&p4>@9|h>noi2%T2=nVil2);J!Oylo|qzJ$%|;S^wc(B z;meRHl^h(ym|hH}arrZbk)b463KK7;gs5&26(A6+gpH_hZE5GE`hvRh%BR)2uM z*JAs*GPm@xyEzvWB*Dw&e50M0Qrge~A>}j-8L}Ibu4p}4Af$ZgA5xx%^5vOola_T? z4Nq|2k80Bf4fqieDp%9+20P^~61Ff2zI-}o(~;4XBINe3aP$lc)1tPilcCf$dC^g_ zeD5l~cPAVsz-4I_IlOP&$1UJ)H4!KF5{NzPd53au2(8b*6fL-K{|2C5M{P6|v3%Dj z)6QDJ%u2kXxI(j*Kz{vxu2hcN2C)wnI9YEHBz5=TQrb+!+zAE9V4m{Tzl`UT&Z^FUeQz65ms^q@>}I&zcJk|T$jAYgKGMrz`FFz>9g zC+7`6$Zcg|kMZH#dmX+ML`4-MU?9E|U%6DyOINbw)XUqMmYw0MExov=!lB%sEk_Z~nLO^*#IYrK;j}p_3_$okRC9x_p*s~)> zt1L*nG-b%7*SzN#v0D0(-!|qQhtpjJ_I~F8SuCh3gYocT-bJgPlwG_^fB>Y5|L8Mc zyvz~+IZn<>7+jozL~H&)<^o4yFc+x|S(j#t#03_^Yg>dDPSu{y>HN0GTBEN-jCPOS z=;N>IfyUAxn|gMqg2=CO-MqS^!m;|BJr`oC)3#mfS-JhUOWX=^(ocI&g;16kK zb^CE8aFWm7A23_D!Sg}>dsw0Y;K(TSY4r8qKjAVF3IrGB^7`p;iAA7UW6 zz%x~|KGWpwSD$7t^TnTjK;~2WvK@=ho&axQTtBr$Y#JY=w0*g=2?jQ5X0mwq-))K- zWpJ~3s8U6TDXE2xw<1;fGIfADHx}EO#jS~Ly1tGHETck{f25=q^MJes^m^499~|%6 ziA@irP2+0;o!0_qu|l<4euNHZ>sKzM-Wb+pm^!^2C6soBaxNL(@fBt|#mP*;J)kVi zfoii;t(ZER==-!vc*B$VMP8 zN$UiWa~vNbQ2_JX%+J(%S^8pG*14<3GSLr#70^I%@*}PkvdJgygSWa~{m~%T#!F84A45}=@rY{GO za5f$pn}NBL;;PS1g@#1|TXJz2Ho{Jfxo;tW3zd^KX@@V`NP6gL!I#aH1TiOOGRu!#A}@MBA=LAd3kW$pCt<;Wg-UjXC(OSfdNXb4dkl^N`pxDebCmysNaw~^ z)q#GB3ggXZGZSQ?bfL;rN3U^u$5QXxUxvfl7JQODgto(sKt!OvmCgEB+RVjpp0fh+ zpo`kC+UusJ&1rEqqhh5NYvdeQCH=W1|AC`E#1HufDg69^D05XCh|aB9YqDC6QO`;E zoNNWUrQ~8p>7%x6q0gIPKoL62lwnRE zK}DMdgo-jRSTZfO*$*{W1Fg>pM06I(#}F=n+?d#2Bi1uNTSgTGE~5@ssb)VXv5sxtpu61G|IWOQV7xY%$~>f9q| zw)is_szHtb4yFoknZ$Q;FU(e<-&dU&>h(Iw(3cZzPnJ82sJg+A5p#wh)u;_DR%P#M zuJ@+9Yu{_rN%h8k$~cYjzzG9vQ6MI%KhA&2N={<|K6BxSIKYR*R!{@-n~l}~GHzxJ zX2>oKu#2hAcVu_u>qwAzBS9gR_M$85(PL^uvR@OYqI0iKx!lIG%Ol_{UquUc6wenQ zF{O0tQXvJI?HD8&RYfINRfR)w>I^Vw9(KwlE5*;g2@kxV7SW%}sopvGXxXar3Ks4B zmMSvFzElxo;bu@;9c7U$4k!Ol_Yc|n5mR`WJ$`?fU-dzzHdSfp$EkVRUtWCs#ro#r zl#+fhSr)kwUQ!eieg3N!AJr#)?f^Y-PN%ZpEV44#W6HEbByxo}j?|5lZF-m82nyBP zQG}Yld}uaFQSA@~?Wy3Nu+i6XJS^H6rG@Og+QbECsGsR9?#aWr z1OU3O=#d}5d|Zt#_s5%p)`6}AsC%VsFtgYI31U_O?w6n!Xj>7~*jdK>jUru?3l*m< zSeo4)^XZZkLHFMy(O&}>zH5;Q$P?`mBux&KTLgkX4;wW&JEc20px+(BXgro{>Ug4E3>d+c;acojqyNb^pyi&-W zj``Aa>ls{F5o0?~XIyt4b$mV0i~>9QkvP~H(R{SHd{20<{e2iUvnS`DmVA8xc=D}O z4bz>$+ooihuS^ifD6_Xum*%|&Me#@FU-`BJ>^*}Q|G(Ij=6PJ z6S)J@{4&_IqkiR}U2+eh57iWbD|_O;wd+IV*4&zaxp#uHNk@8IklxRIsPFO6Pwe*N zzx|78;?Lh62R43*MdwxOH8;la?5eVo8#%m~ewzU1zk2DPAG^2<#HXxbK;?3{T)l-n zu_Xl`(iOgXxmbO$bw|0OyH!F@DkOGA(%e>~TdBWNFTC@DusdFScd&nXYT;u60%ox6 z`EFSVU;?u~;ls~+wR<+Cg|9PH%}Yq2&W>X;)D#=~4we3U={ySmvaPtlCbLJRe_wZItNy4KMqd$atEcwKEs}U&QId~aa?mDXuoRR@twZ``j+|TJ1|@d4@~z`r zDO5KrRJF=hYaBd%yiMoqr$l z1Ljl2Wq;T^ULBR=i@_!yfFw7&V2)>%W@k8gnkJ~L!%|(aGD3W3{sq`ocCHiG4qi4lh|6UO8Ec~V=E7<&iVL~` z1RA4F-ijU0YXn6}b1pZ=q>jTE>cvcR4Bz^A&TI6Q@LQ(O3l+^o-={`lcwHMdP!V>N zdtD`hRHl`%-!B2z^$&enlxLx|KM*B8Njuq^z8`lB)Ba2eTRh?G5*^gAdfipA1Jy9i z{&VJhjAmg=nFYv}ox~zU-lkZ78_D?!61(u9UDw0dck%X^Q&n0d00>zVcWhURU$$~@ zo>~5#ySw3=CAgPX5a-{Q9?7e>At|!iG8_d@MV77D+n(G8IsUMM3YbdWoqs3a0JpKK zW{M-O_$0>nqVnBhJ7A{&SrHeFBx9zmteQWqB{P#-SOFKGN+>J;cB4*$Yq_RDfRX zFSJ+nca)$(@mO(zkkUbMJ1-N`dE^3OHOa~t)&_^<l;2Q*eG-4}fNc&G7q{Gtro(gjB`%%KiNl#X_rsRAxb)a>g1Wg6kn7+6aF zETDc5vplA?=OOEhjx+qPqu)Cp+}7vT|4^Zy;viILDg0+f0tglQdEEI(ER`3K_Ph{y zYIKGsGaLQKOmZkOAGr)XVzpsIo$b$m0w5SX_v&V>AC7p$Cj@T_uHq*C?zyH}Q2Os* zN-*y1c=WFwASde88$xvCe(new*t30#{%h9eDV!ZEr;_LK(2}M@5Nc3sLPdIT!!r^nEgd)x|;qdjTFcy(hB_ej#fXRB?tOB|; zqyND_qZYmh&SB6Z06O7Mqc zA)zX2NvpQvPs0^2Rb8U%ICv44Mbe`l2#4E6(I9o_b`+cejGkzJ!F|)J3vD&Q#Dj=L zy^Vk`!#uCbQ{yJNl7@cxNqS}IUs@au{pY5nNehCaq6MeC4OhTkW851*TdKi&;~a{k z){ce;+vj{`JCi9i?d*uobglFtsS_BsNQ*Xj3OFOh^ts}x>{2>({VMU4HXA8-CvLui z!;0w}gW(wcrpi4ype=!k!7%ao#tyHzvD8(FN0e}JK&9t2W{!4iL+67l;$NEPH)31C7GS{Z`KzlOqHGR1KEYM~Q zT`kqG%@2xp8P^0Ic(`y!n(f8&eao0XyYwl1H=aKas@aw*E26M9Q)A`P$B)A67X{@K zgW!?})-~WI6QO~|G&G9LG-9;kp6A60*TpbV6Is+O;XP>oT+urYy}l;R4F z5za(cX?6Qe?o|0b@P9TUzuM9heYgnJE^=91HZJJbY-;D<3#UCp0BDasH#ploW6@)4 zii8a5QUiPB?p(yuu$u`H8V#SLWyj}vqOCeLNpa;D`hcm8Fdm*;=vu^R+JaBrf9C%1 z7|;+%kL(r!M1~7|wE!Z=(i%gWuXu%B-{uuvZ-V8%9N=|896$xOa(ZEIKEQMlkUU`l z^{Z7fo1MqDF`25SvEe){x&_7>5&T-^Jsw`OSsOO>6dU;q+&us8ZplJ(_i4-^w-^yl zT$7D+Az`L%Li}<72WTAMA=95V7DX>|EZ+}#IXHzAPS$-E7Q|!<7`cBXN*zU3lCj`d53HnJGD-l^<+e9BHF=F;9q=@*{(Ub1-%(xw5QkAIvRe7Ts6Rq(h}}(e{>@p z9ejfMcTdUf#0_=U+byX>Xr}d`KBpIwWIK$(E0zP{6W*6)rn@K7TH?v=2x3@4cKNMrMdJ*@i{2p=0vGV<6}%bud;;iRC&|- zuqTOVqHvHLf>J@UvJ`+`U6w2qEOVr7lvQcKFm-RP!aI+ejcqcP2+aR7bzwe35ACq!rKa4s5bO zc#mO2eJXGQ?!?|f(wrL7lSJ^ep~n2X`>2P~1&1Q2be2u$$fkEm?Qs$Pv}gr}2&6 zGN%nJ>cpcGvkL}cVmhUE+Q1jWzG~dg7wp{tvPiyIg4DHjMlPKj}lymGyerC$6CBZCE0M|%qtqK9}T?oXm6q5RUXnKxh{ZS${rV9B(gBaK{x1>K@QjvvrR^UIJExyTO3cb{-1#ACrwg@5Eb|2Sh(OFoiDB~J{%oO+3w5G|9?Mt^UR zgJ3$3Vfp>1T;w&p&OhDunQP^b7eyf!QA5@NOQ6u8j@(I(Ao+v-f>F@Ip_`9D5=iS! zF+J`6(8cV{0Q?DWIrMvKFt-nOsk@T3;}xRL1U}RUR@-21Ae_+)S%lykXMQemb{XTk3im*>C!!f)uW|bUUtkWCU2L- zBytv@BNU!XrF138;%-pM;x3D|#v`J!4qc5x7P9<4kp71Qha?|ui&!`y91a{BP;-Q_ zr5lIr*C>V1n4`o@f4%jwJ<|IoY`bH;3#k&aaFY{?e`H!-4TnNn?jNw*(k1_naNzT9 z19}LcyBNbhs~0RM^8Hvmotxw+ekrIKWYbd?;P~^!7%wK#O6UED(R~AB>r142hn}HC zsUU)!4^S}nY#tcsJyq+cBC%+G113F@Uux$AqSX1dq|+8uFwi3l^tJ-Bh;-i8IsQWJ1k9F9h#|T+u&f zE!RJ0FVFSVM69^PkiQXEf)3H1NpVv@@z{5Z%j4N|`{-ObT}!EsQxtF==rzo_oHeL4 z-ig~vF_Se!lh+?;K7{MiqXX@+3rD)$h-WVVyB<9H+@B@EhX%(x&y=OTx9v)kNBQ16 zcywfY)4n+8Bb4|K{F|#QE2@dRzH#~Ykn3FX<^s3jnJ#PF7)Fe_phV%W6arqm&F+Z= zFFjaG3F24O;lJD}YbYw;O`C1L>?76nstkY(R5{#G@m(4?u|X9hx3M(|tpB}in{^wW zv+zPt@n@nAIYFBfRS&!AvkVv=!KD{&c&d+Wh!vEC>cQ4p($UGVw4P_@dTwz3!Kzn4 zy7CA*>ZjO7IDXYWJcGBD)a`q8_9G%$!mx;QFz#M(+RAvSSALw{J- ziyym{p@{$X*dEb{=$K{%2C9(qoz*$Aur#1hW7Y9GrLm{yKpywWnDqE0Xg&wFla-h^ z(2SrO=&TfMHR)TE1bKKg%$-T;i(%5T$z-2`^ws-TVbOqYyLO>_eRlevgyfuoZ7P7R zITy#03ssgS2y;AmyyQtfeWBM-3|3_v#xF8KP2|#3J4W|`coU{U4>DLl$YChJ3Z&N8 zN(Ll1an`DjRvOLsMmfWKR+;`(*8_Oz_bB8;!y7|G%>1g5dF%n>4A4)py{Yo%NYKh2 z-a5sL?zOfAJm@e2cRlG!&muaZ00{v7-=k8Q5`vO{eUhC<132(1e{_^@M(hh~l@SyIyJ7 z_y)_$mV#UWFz~y+julT@il9Fb#mX52ZKlZO|ry_d6o$ z$zxPoMIv#%h*`uR4R|-I0&XCD_`}we0czOaVBf{*#gh9Zlh@ZDPEq(TDFX^}_I6@w z4|^8*qLQ?zH2AG5;Xi)=3WtLYx_Jg@1QGsUmEwRB9Getd2PUT)c zYc3J=l0vOur){UC$e(m$#GkG78`;8>Ex&+uKf1%8LM8Wwccmg_tdzHLsNo*NF6*Yd zjFL}S;K-#8BW#L3w!|xX%0|-F@tM-{y|33Gnk4toG?9d-Sz1-`k=N#Je zund^d)C6&VwR$G2EriU`oZg|zoi@Yg)c{`X{_TcGMQSMEDPT2NZ$LE|M$7a0)~KW< z?9D|{@s;13?vX>`KXraXxmxZAY26>`>evn z-s+@|A#^(}naI_u`|0Mb>DKCNIsv`H1k$v4(kN2KN%QZ~XgNyrhud<#2)UHT90Y>_ z@fCqg-R1LqW8IzWf(!OoAe`o5p7JvK6}Ho7V*RIvs$%=IN3$E(TUKK)+i+~?;EEu@ zfSd~#%FOcMUBgi2YFx`lc>Lqb-Vpx!=`i1h>_~1xG5c1w)-w9SB(%yZ(g`>m*tgNP zKJY5&dkC94gfFR>+nfr##7Dd&-<)cHX{0mTQ3oeghH6A;ywzNj>*Xfmn#P^PlJ zeX8Q|^GhxeJ8RZJkgWBVxnUbP>)d?TvVB+m)%0V;=T&Vbv6h!TA^jZ>MsyH}R$x3X zZzU6{yF^0MMoCF3NEZb4vV)$Kq_=?H7b})o$-ZW0BtTyH^{im(bk=; z+yL=`xHbfld%xW(uQ$Abx|K%!#vVfBQh+=dgTj#ly5Qu;>6V!}3XOGLwZsl6Yi}LRNO*FYye7xOZD_z~mHkid zcuWiBGuzA(wu%m+m9Cw%v<}amEWum7NOjYV< zZZ1|1_ChW$X0Gx^c4j(4CazZQW_k?5&Ss7-^uo3d#)?MHt}YD1%3_N2#^$Cb9IVVN zbS6feTy(4~>@0MgY)mY4tc+%+ri`Y{Mr_RH^e%SBXM9ku7*ew~8Gjm{2eX5S%nfiE`H&He}u0M7@9`a=_A6Ms| zoK&1xSJEuzt1o~~F?K!=mEYFr{`%;lLw;n0{KVSM^NDiq>=)tra(|N9=&pEqm0Li3 zp}+qn!Fb>e?irIt{qN#XS&PEL3gY@K#}Pqdqx!19R`iQ0-HBd><*+jUKm!)7aup7x zdV0eTj_dsgu{Oos^yc&VP8Y>hBq2V&pKw31B-fIZ-O6OGt*}=L z41D?dy&(K^Zxjj?n>W_`&)|)W!xF`br84FK8i)Dz>liXd#=mIUjJ#xqn$ClH*8?!F zL-YA^FA~!CcOpY5{JWzDUK@X(UyIyEkHHTm?o?}PVUOzQ311}8goEq3yVXRFLE?jKha%>*vV znT4|F4IdB12>D+>-dW`g^9cv)t2SIBEE!zZZk+c@(Yi=h^B6%++PWYb~`eS zkF~HC#P8b5fKCKX$z$LaCs5USzl`+{_^Hd5OwLUEr4lBL3qERzm-#)wC*K<$vE-@y zRCr}p-*!VE(q~$(f3aCcz^_<4?;bztn8d>7*4(P`Dtf*f=e{#n^ef}@@QnV9Wu=pq!kb~6+>ndo^?7u-lC zbP!vXpvXw{wvvpi=>yKNkV16gtbnz_F1l5AwLo034dB6?9RLpNjR6PL(wo4>f!*K_ zP*$aMUt*_CSNas$xgclFpTIx0o+~`W20lt^t~S^C)H68FGZtxo5z(Z2+CP{mu`Xs{ z$e{|hQ;`jPr;Zy-3X1jWDELC7NhIle&&V5O1m&NQJO!zPCY_upkPDU3#0o$Aq9Thg z$V9iGCjQH69z_T$wjwjU_ydLrT<9q|&Q{g((Nbk@`Tl}@( zE}qKG51l>_N{uYin^@-vmpfM;icKt@!RvWnu|GxA)za`ng_csR=XAtk7g!Xnf&5d& zfq}|Jh=_RBtSC`zL1dl1t$2Ex2uE0>pKL8MrcZZ83&BJ;a9FGEh-`SMZotW|P?v8o zRRD3=)xet(&wq-1ms|$iLYo$d%;fO%=*8N3EIkw7JX&T zd-p7dh&JRS7LtBY4pGx6dOCIt4)Y%)S)5&GXx=qnfF$I2oU9{Q(0H)#ZjpmvkQr$Czx3fz>nt2FHNW7)z_ME;kW_guB83Ty z0AGHz>uP*?$na5Yw(m9S49a%Z+GDF5bzd*gVE@)8tG@3u6H!|AbPDLM%HcDm{Ug#O zsCvvaCs-=E)X<+m_z+Y9y3c;%UR-od8aUx<=}}Zg%VI3L(UCWSsL)gkezulw-wVWy zRE>-JYP98PW@aPc7+sPvE13y`bZS;%Knxq_82+TOiLqy0pA)q9G4%p-ZUs4m8vIHy z`k9RozHwn7vl4}nRL{zwXof9{97c2P)K-F{`l%d%_n0#L#v6e%J)vzT;8uxgt8{8E z1CBLAT*abIs6QYJe861zCabp<+yh1m)y9lt>xANOK&1@6?$1Joz^w?HHuy`7OE6~9!qo3fiO;iBhr( z)n%lJvw$zyh1|qq9`$!t*cI5*&S?PN?EbSEa=do%FNfb+D&|M?StFGK#cKHy3|$`Z zFz7`0FRoIe#qeBl9J9!ZcI{ofqea&PbPDZzViRKHvL|BVsCwoUE`WZ}94q(R*Rk`c=dSNDJLyI~>MA&o%Cy+g`NP`{}4u=#wu z;_q{p>8cmr??DS*Kgh5VxG5SW*|HP$L-rC$e)Pw@F%KwnGIjM0&T%Gn!40!XR@0gU zoOuSD4`n471ey=&v@QL*3#M^yHoItn9M*%pC7I(3?hgu;CCsMWv>-*U-VzAdBPNT- zU{Vb9Lm_&I##$zu7Xlg9&eHq}qnVW0v?QrAret34?I3jkhTdOAi zF7Y1}`V7o{p)X2n>DsCk1|YLG@Sf*$ zh@3ZQ+~dzU^%hF-YSdKS)mrk8fw~(R7(BtH?~X)dR`fQa%XSIf5JUv(ST>dUul0)= zHcI;&DoG*;dwAl-DoW++MoK-6pXV*uET@r_0j^hIX#+20G9?u=ss2hOQp%w%G+Eee zd)RD;mT02~gEz?`O3@K_uHFlm_H1Hto>DGGUhYQ?7rr28}a3TG7mCH$P=H&UKV*jR6COyyJ& z=$u)k`vrJbAo<&?96*vIM^l=e&d7~C`es<8(Bt4q^Dv^&>}>=#3%_%G{k2m zN}2*v{h;E%qae@e|}4+xC%z!C2V!QIwwaW{P2^m;ooV?@34!rDa4KSR*&@u|915k%znp# zor*51qK9OuMiHEyGD*ovNUhPviJHAZJ+CqO^+)tCqwzlq+DG{A61>A)9I@+C6Eg9< zq$d_lqU#u+$O+6?Vo}cyBp#7AlTU_(FNWc*o8h^&%hN%##|8~G+!nk`RJq=~0thk*Z9Tus zFsAd$Pt{b0_d|ek8nrQi*A9D*N#4#Na{O9lhcF2t4tQ=^;RZHHm6~{A_I*H8ZI3YJ znk`53ns#FVK>MgBIHB0Gg`)1DdWxAYJN@5c%@hv-6FnfWDokcTv~TG4?+B%#8x&;# zRRCsiaSLcAQ|@Y>cBjO(xvw*!=ELu=I|1CM@QfknO5rVR))qtY}AVYEd~Y zD9Ndwd#awcV4o=}wPnO0I5t(nOnX5#VM3{>g!iXPaY^nz3g^VZ$bONrm~{D%h`GX{ zl9ee2x0tyW)y2O+UmyWR9`59oPhBt1TsejU9p$Km^_vQEZNJ*>%hg;@xhtbQ6qZJk z6H6g+4>X>eZQ$001ZnojwyiX(I+?XfX8CwNZ!(bB+NG#PxXSz+Bus9HO{952ZXis& zNMkB(03Xue{0_Ug&ab}4U4PtX_HJyP$!NDmGnLcxm|_pdp&c~isa&x?3@XCw#Dsa4 z`c<@&X8wggj)P65F4MnDHLv;_C%dxMZ*8Dv;^a(CFrF-#AVWvcCm7N#{wmhfl3WQq znFC1-hJ=jx7#8MpE>!uv;U>)6loc@5=2eJ+lA#dfE3@7-vKZ70W)}h?%82p&{u7x* zkAn)#XR$IrQI&-#53jEy#uO#Jw+zcHSIc_}-A~NOFxQ|yZV)Vv?YtQP9Cz*#Z#-ks zug-q1uqc+2N&)@~3Cjf(g0L(+S9dlBbEOG)iz3RZ5#@MwsS=XP6adZuwC`&oNsS~I zV~D1+QNdu4ykR^zxnW1WguNsQ#NTmCzq)h!YkWMh*gi{Qnd3px5zW~H%3jO!)F-Dg z2pqFqW&6~IB(W=z9EjNFnNrmWb_39Mt>&2m<=jv%C@OaGJKnA2T22)6&`3>sx3{`G z+lRTy7jn&)H7;z?P89W#9b}!E955c$c^r6u9mGoYU?o{?q!&79!s0ZrJ@++9RM{^! z`B^>vF@L<%)!E*lx>uMP;mD;K6qbqyBM4%}> z*{$N@WCCplvUN)j(agnhw30#Uo;)+Ra@ChMhTitFVtJ>}c-0>tcwDq#uR+md?Pbk> zFOH83bdtn5FzW4-)@KJzA6+Lp)mUuqQ0r$B)@K7vA6YH7l27A5QR?YI<2BH@{9I^t zefH;m0{`g6Z>-La;R`FPdLQnw80yzU<|Uy*i+lMcoL#E@=G5B)RS4lkLF6#P=Hw<;_#=n z^|30oCw9b_-zsOS%5_h)v44AgdA}ZdQ8-;~`L$zloRXT(3p{^VnT&B00LPG@x(){A zb1CuQwA|KbBZGi+cd=A-Hd$#H3RHJ`W zKBP&M7+#~f<|!zpDBd&cEo*AH{G9b8C;TE5eRKDHn#CKCc{vLEvrL{2_A`>^lYrP8 ztU0Tdc6y!-KMpVUDBIv1{Jm1lJs~}34_9N}cKbs)8aBno*TNJtI@@yzKYoEo4+Zx7 zC7tc@6ZIs4NQx3w2gF=#n-Y> z4qRFva~%(9&)f5dh~VX|@onGVvD1$s9PiwNu_KTU2a|HIr7JSqt2D%1W(Eend+X;#LvB1LknH1(@r5l12YdyR$-XB_eu zt=RZ_@fKr7=$jTwk-cP4%iQ*pd>g_H7^{ujdcKao|)BaLod*V(XX}VtV z0A%3RM7l+qVbjvomXZx+6ONLowf3Lo7fcyVnOpa-uC|hBL($#P+?J#nF!h`ovK{5` z`+@~yr*pH&h1&HGglbOi+N;d5Z34FVslrsZCO0FHng?%fJp1&DW~?yuX}_*ZoK2C6Yvc)S>yzQqVWX%eocI{8Z<8RR? zTfM!g4r&~SGJgyxr%2^!P`RvMDm7_nhHVvH1B|z$(Nd!82P}{XeKhTYT>`sS-?^I? z>0Ms`f*M3ooHO$~AUBRRzI2%5wlc6Kkr6V7oEMK@6VxlgsgH`uWWtONAb)K%&w0&w zQkTko)$jf+nhQkqq(7{xOUZn@+im?2xFocjtALy|t9W;IyRhxz^)Oy~{EL+%vP73= zYQJ6Xh!LB>CZ45Yoon+O3Px4%G+DiYQzXk^wHv5Q8{8*}P|R2|+#qQtgbj6%XoL77 zNp)9~ld@abZ|so+c&6Ff{C1$SggwP+#1eg1cIZvoKmBWGV0neKh`~(unv&tInYdIv z>!BPk7vC^>1qN1MXWy}W<&W`;x1w*@*UdehGR;Nv6gE{e(WWh5q^&DXZR?^LTtU#8 zXcZ3A(4~pG&-z;xSU!PxEPXbu$F_VbeFv=ifx=@iKijDr8&xwiU8_#k-aGzpKI4+qO9b|EZZ`=N8bemDYTgsjH#HpJqG`eFM?^rYKQV(&NJ#jKIR#v<2 zU(ebP;L?Iu+2x#l=Z6Cst3r_C1KBQ=xa(swgh0K(dhq%pEJyMG~^K^5O1!;kHM)1t~|z zkeW=cibO^)U+aV@!=Hifw{Th$l$1_NS*UBjZ8#zwD z*{1NJjh|((B{I@4Qsdf%WROEMY?c>e&=XFD-zCO^)1d;PY(%@Mtjf%R$x7>Yfy%@n z-=_1sht0gihm(yBU8Kb=cq4y^D^V`R$w2O&{m+L9sfkMcm^BU4!8FSIS4|tFklPA) z+xYuLm14pPyW&~qwq~s<0KL3QCId$DDpi|b_U)a5gh6w&+FPv89jCu?<7ws#~R{DmJ`m;yFp2q z*hY*Y@eVVq5RUl>5AoF;|Ekl@_sr&~c+_VTqVzCh%J9tLyqh}GtkwFFyQhc+TEkn| zTz=X(Hkw+=K@Mjq@>-&FORdDhee9@I&$TB8cJ0&zfrpgHRO`baJrNn#&1=M|Po!bw zqBt2m0dR8Dkk4aTq^d&(wP?)_vTy393)7l z-ZnDEsiVYJ0A4JbV?U`qvngng#tiw0#q>gw6$a|DNq`M2XQL&_T7+f43puF0kb_qU z*&_Txt}sNVFgxx&NtUE!S$_DQ3#l-%5X{-Lr5J2k%t)OJrg1J5B5O5s)LZ0?TxxvW zn>X->a4jXa@vB94KtN zT8-LWySi8RuIi_%iwm_YYT`NI@Z} z6Vr>yN=pQ&gr>kc))|uXm3;Q;1px@!JqJCh`Z?NXKGI(B<72??FpBmAnvW=%(p0np zxuKd6bbnFW{!!+w(8R1U-(WS5Dq1-_@;tY!PQ2f~g*$1j!n%C0F84V+i}@MA+a0%4 z)XH*(CV<}cCvcv|-D2-S>ZPMEGL=L6tiDwS6#k?EwvH+q*UZmL1ZY6}0IkG3HIh&t z;)e&xV~)GgTt?O>sa8mqRUn8YapcUj19N;7O(lm!ce!LkVcRdkt%{fcyQQA3Xe}_` z;MDN9JfT}la_G(wjlckBs4*2mzV_=*mnZsSurj`cpp3U^M-wchCS6ic;=-h?$f)23S(@G3vt-6tG2SyA z&ZNG@GoBbakp6XEG+AEa3G#O(P<%PGC`M`o`&UdcXMzFUXPr|z<#Q>?M*`FX*o01G8M$e%ocp3oaq^Z7dQ1!zL-2{bq%O?Cq;rjvCh*?@YZQBRDm zXNzHS%9Eg4F8$db2`QeO`DlWTq2N|nq5oP8`nu`ZwsyO6sldHD9TsDLy+7SJbYcga zJ2O&kvi%7VW2^-6B7)YsvX_@q5hFB~phIK&y%ljtq2<9h1Wn2 z)Bhd}r}eABTM5Qb>6MDldpbp(eSDb+25B7p>Yu;7^onlv9~{P@OI0v`wf0#sQ?*h6 z=qAWcNZ)HRVto}#lj%j(D^{}xL6c#z%UiuptMh<_)(C5blD*Nohun`92+wuf^OO7! z9t@@K)|L#Kfh*QS5&uviL}B1JcB%to-}>P-CgryR^BZ(3#*C~UGf7gceI5`B&kReO zhb2cTFMV42A(5(xkL*^s=F*SPy6N>}%xlgW!A<&k3*=_5xBJT^Sq`8+7xS=I>Hs~P zb5rEM@Gk2v4{m2#zBK^i+E;|Yy5pvNoeTH-49`x~AP}R-bhopIVPa=-hE$J#43X}O zxC;6FY=)il7f99|#F3yows8T2DiNfdd@sp|zCXbasbC#45u3Vqc^xqe!uEp3w%;m& z+F~Bfjy}H=IEiGsBmQJ)i%E6gODwce8CBh?DayqGD7|=LB38N%0F-wwH8SZ+>$+mE zcAeReu{vV$*JdVZbUI=hBGm*5qo6fl(DynzjgJiRuDYLN&!| zU=hujN)o0c+976Jgkz|-*qBUpfii`fV*O@(bwMYv4LY#5cOaQkk~12a9mgrPG^it5 zF;QE@e4MseIIsa^0)UcYR3)kAfLc1-?~ocMVw+K;=s3TQM|+uq?pBglA zxsvfupw?rRH&7-1N-i8VpflQdKs`P-$~x?0A9RP>7V#%YTdeK5)cN`@Za^i8sO#l> z8bCSk0!KzXx|daWXs~dAD%`)K+kYM~x{K(fTI2iyT>i|1x5c{q!ix5Z+PQhGd@ z>8*7=vG8x2e0#K^(=;~pP@0Dre)yc>%oDFk6v}-jiIG^V@xi0_Orw;3m*UmK2G(r! zWT6D+JFHu^+d}W^TDW76D`Lemvf}}RWIola28o4P`orMLB+%UXs=^=Pb>8TWUq8G*8{C59miSj58HCL=+9u}% z=xyKY^g2TYRpArZXarVDcDG=^r&fySrrV7yrdmpL&F-jN<>ZM=-oD?h$o!aUi!u%(!>RH=9UqseCU1d>)&f*1I0A=z9vtXfet7R+8=F?g7bGcSDO;6rEVW$T@{RT;1wz zBTasABOF?KEiXAxTh^UyHpt!SyGka9ix>TwrCc$;hB$uRMNq(8B-e~J58XzyVd5^; zWgyCe&!v;f%p*H=WttF&V?b|r-frBg*%>t+qgoP&yT~pz0m6{r6^crQm^bAzR*w={ zKu`xr^<5^SAEM9FOI|eo;?fZHLzvQ*C;ma4kDkSb&w;kQO0Aq$W~u++h53R#OO)Er zst@DQS9RNaB#isb){+xfl7Zcl=x5jHcizlBVm+nqG?N!YNlB{;e*6#9Lf4?U#7))m zOyYB`Lp~h3OA}L!$58p-)2F_KJ%gF^CMS3C4f*#APN8OB=1_72y`LfK*5Gv4VNYK6 zjcI^hX8F?A+s#I~bQ3e0Ep2CdrrTT|8&Ktz(7pb&ts?4twQrNjB(3YuoRx@0rlTV3 z4F4;qSG_K@JGCRncE&eF)S1r3wxMjEeq-udyVA8S;EM5kag5(fFBWm@<0gm4YZ`r5 zc5y1nBo^wYUH3uEsaALS4Y{*Owlt4nPJ-wz+V>L~Cv^#yv-v zH@xgHq`qtZLOS(J5kPCP8{aMN649M%-n=7$hs+|tBv-@!3+&DQpBbWBew4PJ)5;v{M(OstSGx(H8-J`bkd zF3D2LkAKXPDK!1mF*-D5b+CA_!IhkbcjLg|KXwl_#_R3s5ZhuBKLEQH(aeyoevK(x zxtdUi`9)J-i=K%&?{h^2%JBo4x0q(;VBXe+QX|FQ#uc*UfBNt@(AFjmC++ejBXzL{ zWte_g%yIRq>J0O4X>CLPwGJ~53t#2wm80x|MIPhvzk_l$VzMUXy;Lbm_!koIj3F-c zYV3@0#bP0rMyexOUCDDPY|R1#38}Rfi(LRK!!g?pa)aJ;K@0W?qps2}q@i>jhoC2# zsh%`U#hdK0yzAI~77n6SEVS{f%&FPpLTB@YTcJkR}0-t4zDJc6?Rt+HA13ry7H^WDrJ7*+(AMihp( zTqB%J#!w9QU0%{U&)Ob+;;x{kERim!c(H5kf?5eR1pHA68jm@fK@+cwWHH`EYiwNu zWCsdcmc4XUsljj-7#-rA)gj_5rJ}zPfAxyFUa=o0Tu_F_IR1%dDU8`!x3MG4*g9Nk z53ZJ@p?$Ue{AX~`sCDDKUbNpx3iE{576=&ia?@~+ed=>S;A9HSN)h$Le_nOJPUG$5 z)!*auGTX51EHx)WVIb06=FU0sEt7%&+PHBUDUNoknh}5P8PD+R0$#4Vc3gT%<96gX zl;4TZHF0goMWZWBXL?VfIgJm7z@LA3Q9ibsKV}9Jw>@ef95t(RH$-1IrI;Gqg82-0 z{bWSh8YXUP2HLm};fzNZMkRp(K4TFuzfc9CvsuM&Nx`f)yZMI9UB+tz-D#+ldk`^2 zOpWT+i4p|u70{B(-RAEa+a(VB6B$nC9?q*0UM6<#i~puQ30DR3Ji>?tf0hF}6x<8U zypxT}16NTo_uBaJHAl*XN>97J&-F0Kokh{+XXw{ENc0Qz7)4?XPkb)u9xSQ43@)~V zUmcv-Ns%#YTmNk`b3|>mo%N@`VdRe}b8ikRZdpYYapjmRLGBHt zI?HXOIh0~y68AhIL<1(>0;HVZrg*N9$f#bJG%r!Y!;5WtWz%e#Har+UZT`}RwJhBe zfqSNMf3tW0tN~Q2r3Io8#z0p$iKL8yZg&(+g6@KWO&@}N(uSsae3woT%I=+kBHIj7 zmlicqpyJV@r6hmFnHv&1kdu97$VoD^)q0R1!jPi6Z0o2v_Y}pO$f!WRlj8IbBv>l` zD$_Rp7N0}L>l01f#XFat5|?3k;S|3XtsrNodi|*Y1S+s9fHspkPxUTp98~kKBDdUg7uX1*P(V?G&q$U>z}#9DzI((w_oSg=943*m+bQ1C1NchL zXnqLNK0pUuB#c!a7>WbcFy_o~mAL+*lN|B#+HT*>nuA=C`l zIrz__w*Hf%Tt|h^;4c^B8jF7)S>vCiYng46NnPnU8M@KU9QSEWDd9^QB@^A%mxk}{ z>WWQ=;hoN2_d;IxndMLDj69j_pO7F;(bIOk$wN|ypa4qr$w*VB4_!2*QKU$t3KHv>^@Ojd9Ou(sA^aKcz6MkgCk&h z_;~o?NW*cow1vt5H8KeBs$Q)*)Fr>9P70rFsh+Fdw5rt3y;{20kt0zuM^GF*<~}{)coU?ZOs|P8!jww7Ws9QAN-$6yaY;LdH%7_ zBP3G8e%^gf?=)4}hz)AJX2!?IGiR59?$+!4%QrYQ-hiDJ;xSG4p87tC1Ec)kxRpvm z>0=0%>Yb54O`cq&*`Cz7L315NQdLhFUDJ~z{THZDZBAJjzcXqAWFWjfbFCG~cy<;@ z#sY{M)>r3C2lfs$QgNf3UY8?aw0nG9P)|uxsk)zq_1gc_E2Mtdwv|z*Mf5IWj&>lg z4VdD=3aiY5q|m(ls@hRVA?m;`)|@k0hOXxba8WT+syg`ws|hnY*eD<;srmmHg$uhNT5vfKoVLmTcDa zJkqf2?r;3zwLjp@ zb=Qi(0sL}#A~lej4IOXwuXAx0#?4lIHCD1|t>8*Fws{-#;^yUqGaR+4Li^Qy04oCz(3vLR0j-86i9 zm-@@x=_MN)yKl{}!aBTqbQRoszY5mZkq$%Iqq%w5q60w*lMK4wh;QZ-L}Fv^5srE- zW_^~0m#bb>+;A=3>@OF*6BcLShdD>uI8#Ma%)2<#T zKj?ZlC)!`gvl-~N%K3=D9$3!*(F*~fE+H$6V6xsXcY-Nby4cX-rAZrkScbnwI{Dpy;!AKl8Uf+kuvE zDn#;bSCwc+dElxmEMGlFHN%Te@TbfEY4bHudBP<> zM}@MaQF!tb&Uu;k@Mn~vNkjR#xKc7vgp)G$fTATmpL9T$0gknJxrb@hCYPi`50}#OPV3?}tj+w}g%M5K`ljU($=VcgsX36VVcKqC_1X^1tE9`qq~ zp8S)!l$>vkI5CPlkf8Hz?n-@EKu&YB+U9-!W~}dJ^;AkqCk-+Jia9H5V?kumf}Vt$ z^h%r!Az{KIp&G=px=5;hoJvY%W$P6!GtvnPfv)$JBTA`#`p>V`=(5r(&=BqTKEy)cSeETpG5&Gs$ldRc3a+5lxOH zz)a5Iv2>T!sTLY9xv>rjzgh)yE)CAFXDieU5@R$%el<;$T$+5fPIUPzTH{xwT$+Hv zI%$_N9r%{S7i}qtdRK~4@9GbZH?T&|b9lO38oz||*JI#g#|MwcG4`BHP;T4)!~$C$ zFuZDW{r0Y=CF55c20qdzd?pzN*ai{U2JE&ip)%&c>5%%i%`xXidsW7}+WjcWyZYh# z*t_}yd~TIYW}Yw${=#%l@`Os}sjWV2UQ&HU*Q8K^j*#6yPf|h{*@R;1?_Z_lS_Hx4 z0x=k=;BZ$wbGC0-el=$DI=42eckObAZpYHsoie4THs;h9?bpcGXXl5ug56NNsW_zw zel=vQFOyuFDj-|CK(@s8oX8Mv+v?+Aw7G!XRFd+m#Q?d61y*D3ISt)M95|5$zi4X+ zdRM~{^Q%1p>zsgfM?g|NU~<`WIt0EsGmzXu#Jidm*dzuhd_`cD3XnVq*#E8GsS{a7 zg3^Nz#k;mp`f3enQx=iu-god!^0(VT#p!7B`@>O86);D9?KcjC=kf|Vd&a4N$GQN+ zH(bdVEv{A{Hd%esCj8#8fmM9@zyAJAsok|X0{L2XCX#$;LzeWe_NK<6I2+vJ0fVA> z)sA0s3-qp@Osskxxa}t}JG{DrNA;MOJxBJ{pR5z{ zQ(%+*q$w5FsLxt)a3u6akcq?mD?{EiWCgmMbt=`ogf#Cjo>^Uq; z%xH|r$h7VK^!TPdAgy|qoap>(v{S|u!G|P@qd@?U{sDQTlU|ag#CmRJ+5Ol^gdA6H zfpEkrtSuJV1Y8HKKrlLu$QbpIO8rX3i$-USI0#OxehLKOQROSS1e@Q<-${(^-C zt^1;%FN>dr3x82=qMO7@ON;#^QEuK}n-4?`62+8r{Byf5-!V*GGCjY9B7!n?E}=G} zlXgEGwf!``%<#iiJD?V={G5tgoMG<%%szRR4}cZv>8X0skEb^UKG4j4F#FvVR!G{D z)C)vbsSlau&TEX@3xC(%8(o}ytBoQl?!@p#e<cjXM(;p~L{Wt>b3r!heEEba9GMro8m6C#$zVvqJbdd`y2nR#KuABexz|6d zC};uBju0n`u5i*EV4Me+coS3Uepu?+^4E$`vyIF$GChNQ|6-QGm-AV0^|aZ@8-!3OV1B)6&Izi9{*7t+^W04N0Hz z_}*=#;UB509B)sbdECNxFUTSn-ZhW0&hKG&hP+}4Ymz~iOPun`DgP%Pn-!O(qD1o8 zVG!qKuVKqD-G{>!GT-g4S}XAQD@Bd6p+ID?qO$wPG!K6YfcK$Gp=4_-3qkGUDd{*A z{rsWH+$VqX^N4S`1VE9*l~pI5EiVG@Z3(x|_NtqC%!`r1J2(7`Czv^4s6ozCMTQbZ zW&g(JxnFqQ=r@b322Rgj+m3J;B(4{bIPS^Y*oq+kcME)#Zp#mB{vJmw-w5Iw5BlQ= zj%(Nl)RTs$ky0qM{NLqk<@gN#%-xwz1oza`Ng8f$1uHSp!s)H+$u$CDcFCCUE9kJ^ z6H}K1R4m?r4-Tg>THPN$SD_htW*}Ly-i*9s_(VInd>uz;8a5DF`@95pvP$BV`wqUt zvxT!yjy1lM?B0lLTP;E<{7ge3&D*WnLPZUbzg9|hu@W3Vx%juv+fV37=ZDWdKdBK& zsCj4U?`;Q@$rM*-tWrGgng4ZNGG8+1_-G0EJc@Sw?$#VL^TWghXq0>e{6(>aYMc-i zWj!WWY0c9huh$Fdy9~2TT~*qCE8n%fWUdd5Rl67E8_S^5r5Z|2LQ&&t;=oG6WsvSU zs0*Dh(Jr2l=#DOPCrQx4aV05Rbp<`-3Q>crSj?xM+2nntJCET1aV2U&t}iwtg0FO_ zD$4l#!=iMw)MnUJ7q@$cQto3@51&D;rw>9+_Tzgw;_^E$)q1)`Sv3E*6UXNaCl?>= z(T3qpSaRGXx_npz-n1a_l#On{$yDrnvm((2T)@sWJ&-=WG_P(EmGwHZ>Af9xgIb_g zRw!2zN8K3t&tX%8u0_NAvunJE(jmqvMroJl+k!J7I+RFeAAdUB7j)DGsU_>y9|n6q zRULhoLF9gal$iHY0qeZIzzPY!YrUXQbMUAl-POVC^18a{!{~hC`+7mk$tNAwB?V#BY0! zZxhplX~UQVG9jCYAvDu^Fs$?`e^fIV42QEmf`o^QIiIAEyS}9mniD3b(4H%zsPG^6 z-)5PD_*Ko2V9N?iq%h&AV|%d0Jz4G3NVUk8$%?RkCdMIF$Mt=7NvYC2sb`r*erzgF zq@Kcp+{ZKZ!UAj2zZ1O3KRRC~Y@0M|(S6<2d-x}yO!;hEk@F_rTb!?stJArkoe(6O zBlxe~wkut>>=sWuDXkuJBMaE=+9)k74~u^(dc2SqRIlaYfZ{yNe}Ke!nJ@3Mzat6e z;TTq$#D}leFnKa>slHFo#B87ej*WIgz_1*1)9+ai@#nPeP*@gTHJrQOQD+5v=424T z$Wyy#b}|iuag543Bu+?PxNsn~b~{em-XQXBkq-mr4xeaPhh;W>w?BvRy$%(vGNVqkSSFD4dj35PJ1E6ev@xtap$KOM0_MTGVha)O-2{`KJrSVVz1LY} zorXt`p1_7wy8keJu)ldNxX-San7un zW{jbNLCrKcWURQTSCPp2aAMnRj*B0REmnvq4(qzIHaOS9LXpy0Xh-wwb`5sQ1qJc; zfY71k#NHs58@-8cxqe{qf`>1DNOf*LXR)q&5PcaKbH%^8T1|BflV{~Y6Mtn+%2)x zq*WpF{HOlNr*uhAWBLa3{K2SlqW)Z{FWWBF*Dpece{si;(ligJ$Jf20SC%d%w0(67 z)1+I>iaI_qPHtBo^6qK0T4uc3R~5=FqZ(Q%9qr1zlIQT9V}c~{f=E#aGgk`+bof2B zXAFtZaD|A>?)Gz%ksTlQbyYjtCL>);jBsk}2S(;zoBzS1G;mzwqC&2eS;?rYO$P(g zQRw$M=6=a5vbj!(HQ;2ekKll@U!<%TOg!7;Ax_wIEM`TG!212@63Ydh&j}_)aMsa{ zjHv~6zsJ7TH-a#`sMb4fD4T9P&4YT)KUb@{#@O`zt)vUrtfc>JdHZ|cBJXngQ8=<=xU3eSywXcd{0%qwPnXZg(E(4Xe zcZ9Bwlw@?kFYWyS_9cHM42Nfh_ z#{{uq!EvTdz3e~4PklU>)*(t6nI&D^70;DBr)xLfg zXTHyh9f;atS8nQeH9b_-w?E`25B8%~^>`B{&$rHB}V0ef_mVF04(WfetheWHJSP`um35x+E8IX!jA z>2)rNHS|#<1m8_CiZ!tnGYG6!n-AaWd{&O`i8Z6?e%^eV%F{eTIGQ`7yvQj@W@tFO zV_3r@(h>G)^6y$#k-?sxfS^?QgV#smRRY-7cf#gib#Z=T+t5mbGAstB5KhN+1{`!q zG35kSBn;WZ*)%~;%RA~^(sFAfPA7eQcRm#^;UG=^9WH?Fm-NC2UWT6gj=ijlo2#UU z-d4PL56S2>Wo;?E)N+a`KKx(LK*CSD2Us70Kr8wSrxO87ae|{qI&;88d zK4)5sQ_Gk@OS2b=3Aa1_ht-F=Z73Q`Z@s{u$UAZ|-j6+a<}i*8&gQkEP+8e`v^!{V z>Fpvfa5ZX zLK!Z=;usLz|A*q~2fd)+C1auKqdrU70twE&DlFFW9Tk7QZjW-LAmYo4&OalP6CMR# zfD_fLPv&zO95}^;E2OyDM5{0c-ahs#p;6NKU6A;HrV>&iDEElkvvGob#Rszj-rLnG zX3Thr>b7|>a8^+l96n>;ZIN|Yi^S4l|F3;jq#N))nDqNJ(70QD3V5a15u z!9*(!zJ9}p+RX!(up1YpFbpD*7XJXv0yFMJZW)YaGsk_Dk4_<`GU%U@fWlr9(~MxZ zw^qi5=?3cILd)ut6~guM1CPzDbEvtYw*RMf_Tf(xivAG_A?DS7TtyR@q+FPAR7J5@ zu!X!qXGv2*W~H|9(O-VE8#fe5^3qNNz!1D5veYVVyH!ym);b%4{BgB}4xZ!yD%t8y zk{d-W$Se^QKYBzzd_J}88CEr$6T1165QcN@CBSOj;JX2s?nkae^%)k4_|fcj=lFyP zD;fq!1eyxT*^i7e*npf!^8)&2PAx5+A0Yk>3n2s!`4nq!XEZm*pt`*A1xRev0k8rx zpoJ!JJ7a-|lnWOBhAH4E!}H11KrW;s|0qkL{Zj!6@Exj4>F4aOF|8wBQ82<0FG@Pz z$7C_9qNhRLG%1C`W!~Eg){uas0Usb#$0Rn%E*MssQ^PtKm_J7;s<{w}{K&phc77qi zLAammluZ6LPHGa#F2<TY_rkF#$E5#~O3eOnUjy}|z@(vrXyQhYLkN52RGF9_(& z@t;4mYDSL+6JtyTyWl9@Pv$?Do&vgS$({mRk}4PeS;|n>A@J%F7YJ}I4bf9yy_}Nk z`LaBOtL5fr^{c*)HK$mxcdhQ;k1F@Zt&#XJt|C^?vm$-Er6NM4AO}ccfj6@`U0&tz z5Ovw}hKq@x&xPQyCZSFHyIq)iLp0xkj859QCuJLtN;%9&|0u;gkcSuOY-u2V&>yuy z6Wj)e47dh>W9RX4ih{=MsEjVaNU=Vd>$XWeYq3TRfq}#msP7T-4yij=YT^I*JKzYB ztVqFN!Zqp{jE&1E9&wR`dComPJaN+A074o1FP>E45kBCOv-=`4H4fHjf#42(pij&|178mCkM)F2baoF0=>|s7lk!I22I)mhb41pXb&ue>B@B=?r^t~7MT~lco-mq+e~X* zl053_D)*`zZuZ=$!1vm%ozqZVbhFHGemIDiP(tWl^iD^9xkJD}yP_m$p%=$7QrEVC z{sI!G|E zfWk*7!Kf|h0W-$JS33i`7xHln3=x=0OZyg4ux9m=+;lvje2q=FH{{Rn0K9Y%Q@1mc zVpq(^TZOiUqfyucHpyK=OZS?teOi5CLg1`^|Dv`E-!s{btxFk$j(_&y4Z7$}bm6=~ zN#+q2B*{#p(S57+1MmK=&^zQ0gC&O@K9>CAzk@y~7!&VdjEbCxg9U@v@ zBL+!@Xm2zd8TPpq4%kN_aao1H`@Mz&<2m&yfZiUp?fg<(1}b# z>)b2KK}e3kfH_$-u*6I{NWMw-2(8MAi{W5Uc7mS)=Kctj>qbqswrjSiNLfx!Avj`G zqzTT&dN8S??^8`06dMBEQ=?5%`#)OSgD_BSQD5SYso5Ux!$Ud5ESs|y2ycjIqVLn$ ztE^)%{i;iQ3>1_Zlt5NUN&OCKS-#-(9kS5;yx8%T9@7fIMQ(}dgchDs1MU>jDK-aV zWO%O!2HQB?tJ}B7!T23uD>stB@OXN#I+n-zwB~A*nEUp2iIr$Ihihu5uyqOt7G6P? zYUis}h9rJ!cva(ee7WYvJ+>{h9%6x7)~k*1Apjj`@*|6A>#atXGPv43;`Kr*GlKj8 z_g~p@VwE(u21BX{!)Z1R#+3D|Bk@6#w+b;Dj+tzU;GFx4t{OmXB7ip>va16p2uWqH zk0948iFYCuzk7qRaMSyI#s7{WR9naD(ew0+nb}QHt$S{|sZ@gAbI>j~+vN#n*RQ6v z-6N<$1zY&A(wq_%Y)o6Qg4J&t=3ZAAym4g(PMtZ#x>H#qm|5EvSxRWsG`-);hkuFY)eGt zG)r3pnE2m=9v*V_H+18s$Fi4QT_mQXO|>G0OoGx7Oj0%ov9m|>V|V~a}u|0RedDAN#? zeaQivh^5`)M7m6IF}df&5N=}VUo@H0r5l``STIu#-d?UGCg;)-aRByi4vc=zm@JcZ z<{ti!B|h2mV(Ln^$jtdSQB{s{UdTVY&(@Rs*0!{uC}ZJf!U&I>%ZnGcS=xcQ+7U0A$KHX#s#1V99ydV|Mjj36k z*gImbPKViOzl)d>=#|j7=mj;y4!m%vC9sUiJ}-nsODl}}?X-(ZU}(8)@q1Rahq)ST z+=DMDwk;xzC-git=*t>}CcZcqB{i$4{YY!UVYHKSr#>t}%$55EJiQB?k9#TfXX$tB z-N(#9v(hkD#fCs7qX0=7urf?e%2$S1lk)Dj`9e%x{~%LNDITA1ufRpD?ZhbtP`7ev zr3iy@2AgO^Kx+bB952nM=l$gDxX+0!-V~T+9&~49&&e*dTN3qSR&KwNd%6h?VI6OF;B;W=yTG zi-~hx$|L30qdMg9TaqA$zn+BEOElfe5hBoQbJq7l?NS0lBY4<)qX$piCheodV3m0593nS+=A#P@*eKt#-E z)rQKR-aS_My5iNQ#(FE>ceDeTi)$E9_`piW;H4z9V8l98_~0caqin>wVlTn5pxA+`R4 zS^tC$<-FJ5F5SnNh0~LPU8*9t6aoou%U)*aw{(Tm!+>Q(z%pcDS)C#vn}-926(}1~ z;7~#Vg=Oa(nLBk(mBdws-%==S?H>E*I>dF%fkzrBOnxBg7LwYT%^FdXhsd7m3vfD^ zBDavJrMf}@7Q^DBfHD9M;su!l&l@XVeX*@>{%=EIy+2S0Bn~{o5&tnuIh0jvIg}-t zXmT=eG0->>yq)cCuL5z&?DOVpb?8c{+VJ~cZ6j|R$|992G5@x_w)B{7;z0AsUM|yQ z%>-ZI!Q6?g!!^B$p*7D1b!ggf+M2zZsrE`~nprvr5Xvo!p z=kNT-%+O^X*m-j^R;Gu;i|u|1Y75Co-NHAU1J4%}37u2?y^;04>&FVzxHZtyD7X`5 z;0}&cn)l?8rJ@fEy&^bKo1JM0XTB6p5!m8p^b?a&lHY5c$afFN?2sCNlXCcy5MeN3 zDJLI3KC0G_##RC`4nRW6SsEoQ(vC9G*~7xB7qcz|bvV=>m|Ud!dBH~;ZBVN;SQ3eY zN8WV4&DRb#`st^A^6jl{zSN56$3SeI)akSwjXXfp&;gYC=67~09(LUn8*zcvpyJBk zZho$j^d16QApX;j-Zt$2Wi5R23D)wSQq34~)|_3WIMr>W zUdJ;aNll@^S$rx7oP9!`mGBNcS=IW{V2z$&fkwNhY3VV%>mzi&zhYU7{Jw{Nn?_CGns7D#bJb#ug1>;=faF6rra zLrY@UBJxx1638}=#Jc7B$TkN0{+t||*9T{|cQgjmjG!g2{5yCIca+sT1~~df2ATdY zEXTS$(tef|%H42C3esxtiP2{z}x^uWheO1GZ2Afm!)Sv4^wkUGt zjnMXtRicTB8lOiOs+ySk9Y5o%mSvf}is)26lF3q?VR>rY=*L#Z>elUa#4lh9!zIO-yR3 zH5jGVtwC?YBZ>aFIDVRqm?#WqAok=~LV)FpL72g0Wx#BB&<1Lu47HHq$%2?MBLUnL zr<2eqX0}nR`Z?lZpEfQ!$%%mjrMEXTA1(X>F1i$=BlBc@72Hw^e3<<2#IRN*Tj^cG zCG95=ph|Z;UQHat6P%!IVjqk<#+oWXE>A437-fLXesn=Cgg1`Resss2T)~|^k=mpx zoBC~{r{Pk`h~T|)xMjU%N>5PAn5t$RKQ|i;-B0X{D+?FGbtGVLEvE34v(K6b<#7+z zeRt0`gC?`ORUjajS;94pFa8U!KNcdUuQi`zYI6EiLTLn_k#=j97O;Fx#gUA0j&0_L ze`BZ1L%fYV^81c%=oCFTfx-85Gqz-l-R>yV6c_#T-^|ezB9s+wjC{59nu3{LndFMK z#$}8d7hw1HNnt`8FmrEZ_17oWE%X3&d*geBgwNM!%CFfrGs8_^`vBnC(7EEvNtQhw z?tWtD-qAh2`9&g1#eIfuLc+Ijkl9CSnqQr$;H2ZzIVpeXz6!1>CJ&yHB}x#IL5m+E zP5v0Y#zYbJFS}wg7U{U8R|36vL4?H#w_{|{l0dv^Vn2mFb-TN%Q+-9bd0-VY!YV&% zm=%}&g2bb|c7-^-tE8cJ#a@|H)mMEeC%d#e>R?~8C<7pC%WF^YHSgD(l8h$fEF;UC zpxyVGrZC|J%IxX7(^4f&bKQK$jG?APg5$v8fz0%btf6^UgLj^A9O~a>;bu ziji48E87$mH@4z$f!2lgk<;?hmiU9AIaa|espfqJ%y+zZfjoxqU~m$!ZdMvjQ?(<2 zM$(_5m%n@ri`rVeo*WFr7FkonYNN8FTYrafNt?mU02zpw>$iE!T&!h^#@%hdk4v(_ z^&7vH?)Fc$Ko~mo6Hde(ZiF8LvHF|emD?mK^c(pM9se> zNd_7HlK?v74#3pnMFQPjc`$-x>0K92Hl7`IWYW0RvWRmMPq%;0T;MNbeaX+XHck)i zC&ad7JO>s(v^K&~c4y(Is&eubbRdH)*Wu2gNx8@S(Y7NtrqtlFdOn7WsMdtj{jrw( z7E7x}*v@>aU9nj;RORac_=sXG^+W2D;sz4#6c$DRJ+Erb;`Cp7Rcxg}8CBG+YIx17 zObzLfWkkp-bDn%6MIDO>O-h5^m0My^Cou*bwkVh8(`;ThH;Gl2*9bO-@U;+c)Hu5`W^o4Jhx-A5}5Mf>%a892v;LT*h#EO1x3dQV@-G)gj=hwK z?DqP}D5yO-N+!4|V9)dvJW&Llg0U$phIc*09Uq>L$$fOaQEiy`)A_|2ul)wq*;E(y()%nl z^DM*NSDKtL=Y+TW@9}y~mj_e*xhw|5y!5T6g`L8@P~AKqh8e8x=cFmqhYnx>?1imA zbHi$*#w&=T?9xi~m~`V9mZ`g`S1aiwlo5F)bYji=HpBw-Dbu(I6S-D0Q|;(p>$mq6 ztEzR?W_rY>^nGteeeVa+W-fpJQ9EfyRl{uYQ!>EI7`&ucp>`DCO`-oX^%^*G7m)vW zFn5|-#T-ucT*}05Iy5JsJxom85N1@eX(5y(ZVf{aP>;hAPU|pI=5M{&jjlJI-kvfK zHb|hE2o_32Y-4}6K^f-trPMp5C>T<~ok*UvB!j=Ac}fc=pzXexdl`MoB9_{Nfenb*bL5ul3mJFnxN!kNQ9R@M!N z%gmD%0(#ac(dn3e!eFh#IkD6ATggMJuP^jh;`8|UQG=GP67Q9mv;~cpwhFs}5mCVX z>g2>cCfMe$-rAHkPNzn-#fZHzhvvOg_@2S!6ii?kAEZ=$C^`n6DGq)Zo|6jeILy)8 zgn(bv(m6QWYRH=jfT)t&i=9WoyA5}>x9$81v{ik&#A9)|2Uty&C}nV@m_*KC1l9jJ zoOWNIj!}i;s}^GhQXFE2CgmnjJnO8ol2A^N?su8~^K{fpqUsIc#dka~LO5ngHO942 za*P@3>~_Tt4LFaPqh`2(qBdx>m@z>)NlFdIKKd0! z))Den^cu`nxUO@LE=p4hhtA-*z3Rt_xT6iKF5FZ;&1et)8legNR=g+NfN; zZ@&H~IP3xNxwB){pqN}Jf8|Z(Vq4Vw1%LUYIg8&KAb;sgzceWH$KC=O)?j<;yby~S9u0x^StXk)?BdBvH4a_px*Ms+ON=yH{HeFLKGiFdYq|XjK^~97Ik&un6iUp zJqqFj>hh4Hv;Mt5^%ehbEtQ0m@1l*U9sf*`=30D4In?R zaSaJB7r?4zNDe7x&WYZogt8{bzK-%8!OHuNa{fjtlu@`xk3ymk{o~ym84r-DXOg3e zX=MGC$Aqb3Z-I{1nf!+cvoC|w5_Mniy`g-kk=X_;O%$uiI|Sv9%WY3;I&$*kr(9U^ zc5}h+4N8E-yATedBxs`MOnn4j0lFSq?>MNsha~k$KovA%@|7Gl{<@`m?3aOzer|dM9`*4E!fKWfo2EnWtB+TdFHVoQyX$ArN~oRQrYFkgdzBQDtZ)D&fLS35VTZzYy48@ci%Lam_|A^w5i=l!ios23*lqK?u9grHQ15lAZ({bL& zE8BF$^=<`2V%Ovh%rZLr1Xt-&4e1?cF5+nBAj`7!RT z>k2GIFU3)sc;YC6$t@|5FY-JwK@NH&g3}wYV5$%G zQpS-~7$v>#x{5BuMA#BMYQx_gM`VN-k&RNgBodGDYLA060PUHO`~k_IsEf<7jf1mrB?5YRHayKi*t{~! z&E;4;jw3wQG!K&bc9kpumMW7}9QC;TIj@G?K4OR#D@mx!eLPW0>XdvkNfnE~?)MkF zh!aJ0gOw}3_d?%&b@uaIuBB<}G&vN@b2~^@*U4`EFl%PZ$qTY9zrb@%8}wN>e#;sw zcW6My4fTT$@Z7#msm2~lcai7H4P9EH!`Nk3E&?u1q>tgbS0(!FtX1Gw2iGjg3~a9E zoHpiZA;`;6Hl8z#h4Osgd?208o5~$ey+7R`t<9USTPL-B`QEE6_Y?nKJGf}06B{4% zs-gR!dl$(p6OBq{G0Pgo65xR~ITn2hTmRPTxfFg?e4V=U(*ZLgJ;8aC=Q8de@xUQ{ z#9#Eeu~tMt^1va}N2b^}`VHLrE4@6!!b~q64iw8>tH(W26KH5fpxknx;R;U2M)*pA zSjCYFVvzLZS@ipA%6d5h+I|VKUa+n;Y$hKD=&1xrRY;B@2KI3;RbUYeE5LTF<&jK2u_if)uL(3y>(-E|>3jq7YzSmq&TDWjta5b`u!?mT&e_dEq+vQb4JC?ir2K97@% zO@Pv7w4CgxBP#rLS}wMQV>sJmls2HIi)VXy=VyEH|0$WGrrVzJF&Jz&pt?A2K-;VsIIq2l|{a`RB>3$lBr_#%Gqp4;{DGz^W=XAswi4SIb%c`YFk8G zfe8YEC$_=Uc2qa^iDzGfw9E8r@k$hK=xuafL zJ?}v04t3k;|LN=W-JUlAj~KSjJp>puHxo3zB6~Tky({WNluxB}bqoEuj%d;prImLjc=s6~T@J*a=8Z>VZ845U1sjKg2#f!y=$(DNE=a zCm-fr#(O@1K0L2$(1`^cS^*9h;OU|sM!WD8zbV@&vi&}OXnG4aDxQ{+`8FLMBx?SGl_`8Q^4RR8wR)F<890Y zjoV1Sm-d6UGAx2&1xU#|s3YxR)o1z$epgFJ-j*qGPpjAy`IGmVMDpUzxHJQ=AG3}Q z7!%TBlQmu>3sp~g^69X9d~EXI1BEg^Q#4Mq_FTI1U86<%wr<+H+BWcUunnX&mIZ$H;6gl;n|68T8cw3+5~Zsyy2M5fjiXvw@&(z4@$dXplyz_R@Rkn{GhVM6kmDfurxZ zf8;clTo4SevxmTw1uq-M!+zqlFUcU}L^lnO(D?M(*08W$2h!MZ!S8?JTpEBQ5yc|7 zbok`Fp<(;)R>>J^`EM_46#8*Q`t?24i<1YwpOJp~_u92{_K(ZbuLCRV9UJ}Qy7X(@ z@ysWO{H+XI!-{AJ-hHI=`64AMqmFt#w=9gMSgsjiz-NB<) zthRECH#Gi)++8m<6p-JS&Q3m@mP9BcyqS>#Nagn z!h<2z%3t!16|x@m>oj@WRN>9^g`Rdj(E0_1u)D`tS1hV9+fBePz=|WcPU~B64GlZ;pfsY=|&Ld=P9q`Ng zfEhq2bM9ZlS_@gpt37<5xQvjsV>I_-?<^s!qFc=Ml3SNpIb)Fp=cd0AvbvADlqvOG zA?rohhc3-iEVgoSO zR7@c@S*P20ckS+-A9m@*&Zuj@Oyw$8WqhxBW0zo2Ok$0v(c zift-BNAtES`6%`4EcNRO_3H-p>kjpc$kd**&H9e}wHFA-w z65ahzp~9U$xfg$2wbCYQ$Ii$zYp)9xKABZ_J@32RCM)BUEQZ>ke7c{G88ZfyPx(=I zOQP~w)g;=#%^ab^R8Pl$?*xiv=e=8ER-X|^Hl4gFRJioZ@l7W#3ZyH@-w?A}mb^b1 zRo178nbsr%mDT!E;ZRgrWxJJ1JA9r{;r$IWtDM~-G^Z8GD)u$mg2uOn3e!D~$kBTA zGMlWuIg`w7%$N3wPDL~T|naOAKX3xo<|uGBelujC4wtPy*zy-$2ssIYja z43l#gTxOH?z$@=8)Pc7K-rtNm@W93&^HB%ZU7GvM?+b+r-Bb3?5S4y~O;&KRk-zqb z3VYPJQQtSsGMlW5Zv)$-di*%tzc;GKs~*Q&pn9zPsd&@ui-ig;zTvan_AIx_$}#gn z?hW^VZOj?6o;kbJCaeGD2f0zHUb(UB3o6xG3vTB?rMk<#&*j%pVUwV|-h;<2x5*mj z*=ADt`$C25TF*5N+_2OpYrdsp80uS(5{1i`1AV*s|9E=}xGJ`%F<9VoF;TI*yW@e2 z-HP4M?(SCXu4gwQiiKi}f{WdX2tIvwCwAv|XLiqW&)z-vo>l&z@Ao@zFZa&uoS8W@ zb84n?u~4+PK^>k?@eQ&dOf$IXgRb8!{R$;tRr_*e(aQ&*HWiz9%Uxrg)mP?kr9PmQ zj%+z%e`>JO&7DVWtq)eZOR1O_Th?0;3fCepG+hy7^;M^_YlIIEEePvoeZTftqIFhZ z9Zme^92)R7|K8Y#27F?A_cUn0Ghb`>&x&9R!h)Gw4y|OeAe=zHs<~qNmsXE02e8kmIbQMVULa1;H$B>2FDbOvmoq{`)tW+sV!fP%i6vfe${cx z1h+YWaLT{ykE1)APu$tF4I{cG3$-8&PZ1C#Zm{-rC5F6xJs1#fUl!&$priFys>{)9 zT%2qJ&PY*pMXDE49gymK;@uAX@BgJBtLobD(~BSDgZ5Vb>Me8}F|n3&flJ}4Qx=Aq z?v)&y=#D7NtQI|K>aAztDyVkn8op3NTQ#ax16nnfRm0f$2v%jHrra2L6<4~f0kC1U z>|UC<&P$hxauTku+C{HFoargpCF@XyRFcq09!?L~B+xooIPH?cF0;LjrNWZe6TWFy za^N0rKl)(RcfsEEuGdO%M`Amo)y#Pso?Q9?6TP2Fl{ucy@g?Rw?I%D^Ny@Q(=Uq(R zZde`G6sM|6B4EkWrC)f_a`o1SyI&b}>#xq6ycK;==CJ-AJ^_oZ?~e@+w;ClmR<7+< zrP)WxBpf)`B)P}q_F>G94ug(%g+BfQnw?w>+=s>Of80PvEFPF|i0ceMA{T4zP3CNU z2EYuH>clQwS42jrGuI?8M($Pq;a!~tEi8M8;Hyn8 zc9taoqr5HX?qVQsQvaCC+smgqEY;oTApf>1bTg{nBJoZG{J(YHq~Tbh`6g7%=O9%M3VG)$yUaUj;@E_N~%q;R2(Bd`=-Yh@f$y4cH9Kp2-aR%V>M zT6T_Y92cpboD5HyQERvopDv@$t7JjFCHcNGf!?O#8}}ZnYNX~G_u7=7q>KN%lnPT5 zc(J`yX`8EvMpG)Z*yHj2@}RTv%iq_PlnT$~lnTuKa|bCErh^jv1un!BbRvxr7m^kr z(m{h*a-k}D@tDB$)S$@+suZMby_j=?DrMj^ff?cDGm;Q8GU2ez%Vz=+3=zzNr-Hbe ztA({w-w#KRJrkHqO&yoTkblF-;LIh-CO6UvI_;RTE0D93ke+6RK4(Kg&*8Cf*(qVo zr7T(pbkW7@5-b>}gjp95xaieuP2))FOdL-D_7jE&VWB39uB7L1a7IQ(bwoiD+lG`|Z$PysC^SEyUS#U|7x zJ9KjFTu?taVqKO48Zc!HcE{V9yWmw}ijayFA(+{w2!jy)ah^&w?M3Z*07#M}|E!pi zAlP@y^joXa@0PN(W#}OJN6&oPzw>UNM>YvoI{3;q2sUCb0u$I?lq^-Aaqgfv?Jr7z ztV_C3{-TtNqjLv1{|roGzzPLc+iQs{qoi!gT{4xYQHqy6qx|1NfMtZK8xoAEN=kW~ zVi&x@VD!jZJG}V#!S_&AjH2|U}G>D}Ex{F#o?xHb8eQltA z7A7SR6lGj>_^7W7FGn#V8TF8Q8woOv2+F>`J;NTNYH$OGKSTTPXCxdXxyGfDPxBOa z-5Smp4xXx0c6z1u$C)c!O70@HiiDi*eW$VP%sUd=aTl?I;x(*EOn>;Kr!@=ZZPf!#cK+*?!v?2Xg}Jj+Sd%!dfw{VcMIMxFR8WNWhC?s zMicj09o*+vP?1u_!K*?q^em}-Qr@S0swfxGmV~g=89m4OAC-it+hx9v?HMi!$$~qj z|M4SQ67E+%Ql>wAb+c3MGvnZ^N$rEyWIJd1s&mcOWA5*_eDx?K^wRq(makfmY1Q6$ zmF27H58Xp=Jg|KA!L88U{yz>%!l!zhcCP7b`6~M58Q4Cl zn@TFL5>wp4PdCqf&$eNxk0|W?zNLKi8l?{jEo&`bIXQh5Q8-e(Pv3)S8jFJKs)|d* zDK$jlZsDC?Z)>~=*KR5$qGX_|H|`S=;hR+H6Q?~G^-9+^wsW>3+uYsRifmcWn(IZd z!pd5wt_*<6>YzNz2>XNLD+U$KCD-ZkzdC=GgmzivJ4u53sPNC#yIB4*r)IS!O>=yb zgo6JR+57C}zDhJDU*NLw zZzLhh@(*K+#J!h<&#Ti+^DX|$qMkKg9IOAr@|XLwPNZp^^SLA(?y>$}m2z zF25yV{ox^LD$g^9$Cmy#y0qF;N)#&1Sv#g$=bEBWHs|%H_4nl!g}0FbDeu?4;VsD5 zM*M89HLIgm_pGSPVA-*<9PA#|!M2W{;JucFTp3=5OlllcQGHMbnB&8dNK|GZ%G$T) z>?&(KQ*ebBT4A-2VcEsSS%?Eow`1(2+qe?vDe##p|Xn)85+wVl2FgZ8wW>;Nwh zqZipa+TB0+urg1jk9A`tl7wQmVrH2=?F6p~S6y6Z>}fZ?C07JP1c%_MCn~rWmaX6j z*~NuNFN8RNZS`8#7<#=|vDg+X&AR&!CCFOPMIi1j{li*bi!`V)K2r%$0FmMpaYp@H= z#Ua1PMU9aqu3t8*#P!Rc)G$izbxry&mVqrE?Vs|rj*+#?GetFr(y9q_aqOR!Y7Vm- zJ&=6JeUHlX$Y_{iTjq-FydS{G5zs`zd&Zz*&f!^G8;kYuUdk@he49FpfVf5)TEQQq zzH=0h3G3n++&5*&CDEsWY{JStQdVXzhQs%dr9s0YZtiLu8=j%s#tB$7g-&li&EZBG%Hkf+Mw-mUD3v?8&;{&H@an`5Ku=ym^9Y)M&~>~@ zVoXooJXt?3Akz`CT-rqwH$@*6m7I%`r&3m2BxT0+^dzry+T3LiZDF12-c0RYJO(@Q zq9MFshbWUgUEfaVD;<~kX2}%}n78lz*KLdK6wOcJpnsgfSzqN----^A%ew3 zZnm&&D}mq{d5+5W*D(HbSNM0rp_O>+P5uy&1}i&SdztY%UPf0mJ1Eh7PGUVeRzk<; z+H>LaXoET*bo~?U!vC@B!nMPxo6>nh4&++`JCM?)Sh+VA(EVMA$Li>CZ?QuMvIGFW zC2^bqLS{;=SnnnTdPk(CD>4+lnd=0{(eHWBiiGw_KSxT@cIwQzH77f$40`M-;Qz-# zn79fr-^nX%$bnH~A<0 zPZCYl26mqx7cR~q7H~rI8xLG2{s>>bBe-h0-Z>)(<79S+e)9GXfit?niac(3TMfih z4etEvDqOV;#2XdbCd$74ZkQp}PLedCOW4`OMh=wl*LRsKRV&F{aa@N(VS_fI zPiKneeV|0!`A*!JQP+Ti9nkDFLj5k>_Jjtp9K`r<4-fScMg3l&Ub%uNnhyZ=`}nBe z4=?vfO2`O9xgFw*pb!iZpcD>Z&$X~@H;Lc}?HwXYrrP&#hd;wn7s2BOsyL%=!-jvywySC3uQ7V&V?tu8xY}7^?eN9)&qc zDa=t&nEkc0XY-wNP&6L}g*nEjFvsELSo=IRG-r^4+DW;nN3aus0Q+$g6~+cuU&zN) zy*kAsDiAO zMa`tPWj9BY+H7Uvn$~3X59G7`s4=dru{|j_pMPm}nV@$FowKgW#z_bKd2(?7| zqWLmd{r|XTW~6&$p_6ov@z$KwTfc(m@h5l6V&JXU4ZT&%R3@J=%^aq=D-2I}oaqdA zH|*_N;?qrQ+iPf_)g4Z%a-LQtc;!#oTh@Q^GkI6#1ZL{2zXt#OK14YJQM{F$5K!n5 zG~}vF%D;6ZNa~9Y;0$QfG}MboDeh+g;4^RY@g{pwPSCt*Y0bTfWLsW!<`C z*EU;y72T&(^6e`EBw=D^Q^iXqEnku3gTk`>T=97&Z;u$tQtPHI1l+Nm8OcGfyHLr7jLH^kW_ zwwztFM@jUNGy){}N))!<$}s3ju;nj@XO!If{_smt*ju>pwVy$jzsz0iw!Y8sI8g|H zaL2V#faNde+C52~HrESL7_c^X^^g^ozxdQha?CZ_^3dvF^7mC2Ssr#)dX{tGpr@j6 z#A(ujt+OnD8T_f%o0M)(925|tj^C{mi<#ek8^Ye8QP?U*$qM2yL&z-`GCXd>(;dA% zC&o=tYE<8ap|Tb600~dRjVM!OOY^wV% z$fA7e9+W)IWIoi5pSY8A{?r#pBwKeljzvm>OM(>V}svj>L1~Gq1)u2^B-pQ3F-GGNwWI=q$ZVqrR?;5 zHMQ!Sripw*UYL|qdDz-~U9Qf5t#J@KJ;&`UXyTxGiLpgFzWLlMfT#dt`87PfL0fX2 zZ_y_Sxaqelf|nSwz2lk5zNdz?3#>gy;<@(jqB#yT+w#q1KjLNvG?)b9HAV}cxhSCK z(qDicl4QsH3Mlx>N5MCExi`EV?n#(GAqDUF!d3)B1lW)7C^s#vor_)o{=?p?ijTUg z@{?;VlU(5?_qdld&b?+}o`dnG;)iml{i1Tm-P%6RP{Kp@y+EM1q8h6I!tVO=xGg7F zYhhJNYMsLYu_ki0vjLXal`;*$F?_9+X-MMAlch$>7AD_+sTv5U9r>po+T@QYn0?0V+tgP(mI6eKbU0*d=DP8TD-ukNPO~qvb$Rgiw z9qo{TkFiZ}Kj?5@XmDRHHE5jKk1s-K1YnJ!!CK471b}VA2RjGAJY5L_Gm3g8kI7F% znf#n^<{?xmO)TR|YtQ7Tqeq<=P|$=n`RU21(|bEsgYHf?6KPt2@#YM!JgXm?O3V_e z#LUhJ1%zgT1`8ynM1Ey<<>41KG_nB2B&m{&D=Xj7=mx)SnUs)`4F|TTz$I-2Lj9mM8#c5ybo|kh_|L|{WD&2#MNm7G~?(TJPvkkD>cClj6hiP}S@&x@4ANIT0@ z8sIQfh<|vBr7&n0I#}aYe&t}IhC>R+IHo<#f&+wWcxR=CcOGhZX9jJ{s~z6@BPfeH zg7R^VApOLmhDVT*tfiOP<`I)8;h_@rWw`{M3`*QxQj9X|u#A3}QWVUZBmb0xEThmL z%s?;VH}X?{14%Bx`4aaWFg;eV8}qx%cU+#z0-z2i4>8s0jP4V)i29A-6sO~PRQ zBmaY;Ysm2u(3CX7>{0+x8k#cDltuSCgbs*|SlFQnW?|)wCd`Uvcxk{qPYz4tD62ygJH}{ zs_(4M5Oi zSX=iaK3}=ML)1rZCsL>ZnlK)yuZe3XMpUUbK-5tY_#)5h@~BcxN|oxN`|pze@v6`Y zqKvCP-z01T{I&s5;0H}Z^b(xZH^SLAG>D~&JYDCZz9|*1)PTNp&jn_0n<(RI#z%c~ zc=^Pngp3x*`|5mCNCZO!D20~Tb1f`eU#r4+ZDnr?IZ5@ktsVXhmv7qege0rxcYR#& z^C@)@XRHGHxy#NTTfndO)%O-2to~uzh$n;Q)?00TKcrT$+txMz-T&R(ms1jY@>RC9 z(IwvenRX5Idv;08b1w3~jFk>Zq#zZmJf@A&Q-44lhrYCCs6uVaWpjx+Ar9?-VykH6 zc5Kyb(D}bX-P=La9>+8pP5NgPfi`K~7`JR&|20KN!wi_Ky(FelUzW zGu)m&A-Kqg%Y9vNev6&ZuJkd)2S1hvTQ@^4lP>0cBY|9Icc8xqG(Dl|g*}!C)SvKZG*+L*bKrs>fpVTYwr2 z<1_lh;pP8ev<*)qk$O%g9t9AiRRnF2`Y}AzkEN*Z5UsX%>9a@6X$Ol>Py-J8 z>zVtG%c+ZuZ>_FK=oJapiJ!SNy^KJ2|*v8{Tg##}fWhAbS}! z%TaaEx(4t?2SdS_2ZDKC39zdWwg8`pM=(UN2ezz(@$d{MERu_aBbLGFnzS_Qx~A2X ze_i8hy%L7F0{EhXAo%S%Xx1Vb*z+`ejukH>4iE@}VS--Ib*W!RQ9n|!rXFzx8FH-a zfpol9iQO52GwTgJVM;JX$S8^s#I@1T64QEBbersX>GkRyb~Dc$))!C59wBpBG05Sa zx=7tSb=0!D7yq5QEx_Ilzzq{QXJE55&VofSTwC9WA)Fqp!ze|wThH28VC;1vPzY;d zXe($yXRm&$73w_!%#V@)O|6}rbLgp z!uWg+7DC;dl#p=%eU7${D#LWnROdkljZAQC^qK4Q*5}}jXF7~sXKaEKmcU_lSsSfY zOi(y&f+B#Ihv;BWav1DI0zeo?u=j6jFiE6;h2!$9^CXpTa23G_0nJ6A zCJGslPqiMGTN=THo6zoqUCg9vCV4%1G!^8t&YsH1Gl< z!KswXP!P+X4s}a4{dBrmQK&k8U6sz$gCxNp?p=5t6NLwz=dI4VK1LK=(stiEbLVDB z*buAZ~} zi;;xweitV^nH41px9YzY55_%^gu#8vUAu8_uOtZm7iKLx|6CGg`uBR(?B{h!Xfjs$ zOG+QvkJ-O2XmU@Xd||(e#yY`@TJsH8D{5sGyGgl5Vg17@ttuSKASO`xjKo&#iImx+ z5Tks0S_HmJ?;9_RL`Cxc*l{GC)nBT2J^%hfY+x7c_A^xCi7ap?MO+r-?v~G-`0g?yFCQ=vNuP&qgsQz zt~`|iBGozFy?CSaS`sQhOqt5tB5CzO(I!Xfw9<6`>q}IOn0DT%vya4el4*;39|6MrRZw5I0h$D*h2oQ1to?;aqArW!Zl-zA+bL(>0d(XE{- zTFv=3=M4DLKjf`tLQwTp>h4cREevP!_dam-0eV?r)dsYXC3&s_WTEW*CKwnd47&D- zGOmYwQ6iSyI|=|NpxJ^HXbZ_RU=a)vU_TzAcG$o&a-pbE#AB`qQ(N**jxbNg8_(0q z6yT!HbSzMvj_K!>p{AqBoUM;CBUheicxnG+vbMtg@1D~>YVc$-OH5BeIOm}s&*14f z1|nAtE)I|gUzwwcj@ExE{$Btea_$D3#22oFx{E;P4xBB;@x(h@sc{gM)cT%8C>XAn z`tgqadAYWi?CYaIa}3b@8lK+hZ#L<}z6FSPDuO*Y^xpGy`UBPJFc|!~>K1F$adv=c z-VL4p$T#>u!OLUe<^Mtxjuh%$|}dZQyc5dh}Tcv z80WqolzGVCX?oO3KPQaI59HuC=+$>)-@OV>SN;S)QRU;5_usc@oMvhMl5@b8nVa7SkhDNZI%vF%bRs=KWPk>-oWo9J z!L=?5McNTOsv!PC80U918CDdfaPF&=(-Ux;WP$t<>W3;er>y6;q-RxNJ zbE@^uVee-T)p$Oq8-KRZSVc`2YEM$A;fHRLD*4AjY;T?mc<~7OmK&b(=o&3n;wFH| zry__&wV1`@&9704kROyJ7cqD-pcV!A3|>Ka`D1wb3ux}3H^0iK4+w?`upfm`AGEM+ zeL(P;_PlwlimNb>MI~A6O#ZS(9i^4?j^G3B%x~uriz<2g4M^X^-FuaoFV~PViw-VS z6rh;3MZJ_5Eb32-MO|{NQNdfUvau~H9%RBJP0!)!HcHdxG!v5_*FkJWcsg2Jw!e~_ z)^(JSU5EDst?Tfc+Wf-O-Tva8vYXRi+%Zhgb?i}H#~eql162k4SQG?b3s3*&79@4OW+U5>GbQI6(M zdEie4H;O-hxj8y2W|j@_MM_G-;(UCi5r0O{E$DhLZq9P!k<=H@=M_1VaQz$b7)W3tP*L#MU^gc!RFBHc!m= z7Mwe=$L2a<*mmCUy0LkW=rHqZprfwVx$FB+i0S1(sUBCL#ER>=j`(L)rk_lHV6MEM zz>507lm>2emP$W2W-X6~ROp@<*4m0UUi)@Wk7c6S6|gqa^5YLH^eNbt3VlRXsZUl9 z50<1VacVf^RBVvlYec8vK0?|mgQE+ao9E5U4ynHu|A$l)OfsFz?xl(AymXmTyj2{i zO)Jo$)~G{i_;d(+ z?g9wf0Bl?I?pj#=WHgjlNef=3f85FL^GB@~WvuP&O&{9Rffle4UuzX;b+9{qV6l{) z2t{R(7d&~m=q3Bs?P)S9b7U6i>A8^`7k+OOESk;0x{iFKrL`JQbmGz)vPG3N_VV`f zsSYc5;Lq`0Vfn4(IT;ezG?yxE`2Hs8blV5rD_{F@RNHA1@sfWI?{6ATgg7|0%fvsj;ahpybO%PI|-C($1iuU7*PUTABuJQdgd+_Y0+^>ERQxm}XDV z(r$c9THWF0Ih_d^JZcKwY&HASRyR{=0LxT*(rT2=4_qqHY;>-AfMIDrmD{%LspZ70snieSt!A+ zrs3n4l0ruK0aad!q1A;yrh%1cOIwJ)FNl6M*=M!0!=y4(tN0; zLwT%b0npM{n1W&1E{dfTg0 z!=zG!l25!k;546x2VnmBWo)B166pWSnJ{#en{Jv_{hoNMhGDd!o|rbvInA{hNI83A z-_~i_U@XXm)5}t}C~Cm{T{c zLHW(1)#Grf64cKhp2nm41@kQ#BG?1~n+VM$3|%;3Cj&$cXY%rLXhH_@6w8@H`SPjo ziInCsmj#P3jn9NkcO#ufGAbYi{duPIJ}x#CsO5SP*9<-iYQk@40tK_6neC>>1J-ga z)@ueZ?8jV$ZOC^pv9?jC9Ufgj7DS#E@vD*$38G>D}-j)zq|PJK1S%i6%pWSxFB^vVz@%DC3> zjfVhud3|R>##*Gl7T;6|!4QETJk>+$wXkf%ToVjOpuMS3b#*GVj!V!oH=?)&O+{?8 zu#ih2ThMdVo(93wdfnl#pA?9yim?GiM~0}3Fj#jgw2^jyz$$#7mHXS|X78FROYvF1 zXYtQac+fn-!UOpVU2&WB6@@*UY4&UZ_H1>d*c0L~I@ksP&7B=@KUdncvmTu3U;T5_ z#fRcL$+e?=zsK?RbFr?sbNL#B-N#3?mI;cnrbUT2p3w` zTE22}`YNJuq<_1a@rv%tPD(Zwg z9SWV6v8~Y09??y4QCRirp>8x6cl;g~HG6IW%E>%=m+fMV1$b)C1M;V`Ij=vhzb~&C z-|LHiQGc;0#M`u$v!8Lgs@9P+@$gI;B0K3JvJ2P0X$!L3t_4xDtVw;3X7n8Ae^iRj zfn~1P)6_j`c+>&j(-swzE5iF5e3+S3C+km{OvJTUf3cn6JKC!6+~>w|U@CDV=~I)i z7|ExBeAT$zBgJ)G42SO@OM`|*+}zbRHatVM%bUg(cu2;*OGLJUR_DA^m?EqWOa-Xf zkBQg~S<+4kJkcs)n`%(ell`=wgn|4H(5CdDtS8Bw2lpE|WDwI#HYy=2Ohbi_KVQJf z$~}^2#c$4EI*n}RL%5Usb!@qbnOZ~$_3t&9y`*oTC@gl98L2LVD?d>|?3=`{&cqsq z1LYCup1bk5=R@F-`;Y^)-6_pOgp$2&o=BQ^AT)ff74Ht)9b*Gei@E!!k5;o>&c^vC zR-@Nf?FcnMJi?>e9&eAg&AlmF3aKOG&%976&zE&%rjr9Qy^?&B1+mF6?oX_R7tHpmT`gFy+s?!rt? zaGF->Gr+~Ov^hBEFtS1?Fo6l*=aQwR|re7I(&jvGZ8*G26d z@eeOCtDM4X%j8}1Crzkh;agBpK;tqY<*okGtyzuB8Ta^F{Us^k?MI*l4kbNnxq8{M z#J!F2)=(<>1RrKLISzTx?x=lYDn}G3$7qn{bZDkvxHX6GTm*t$0tBdH(QZ1hj(kg~ zl7*KH-8P>Tcdr?l>dv)i`=Vef$E#yGOH){bUMpJp77_$nXSdR~t0Ad_`=$)Jq`v;Q zCamKVI?O6(Q%*;*tCwlJ8Ut*PrS0k!hj#T}Tr=jetAu+BcWkTH9aWAXT_FVqG}0pf z{O#w7zOSywUFC^KlE|U9C@LXh1rll>kwb0KC-=+=8@65Y&QC&Z|0;zygrplK>rANN z6ZLEoq_MHqnLf6KMvUmd1(^3hCAA(BIF;4;bFUe zD`y^$6K}Wb-SE@Ue|5KH@;ax@UG~rx17aWvBfH^whZB4|KdKmvjGVOzeYm3xYn|a1 z?Er6s`M5(nz`Jf-#d8Rk$ zyhdFQ`p6T1_E$W>6~(}QCZ;3yk=egdmiOwN*t5!K&ww=68F`i4!P(wgr}P-FQ|L~g z!7TgEPNxD&w;TBJ8J@mixV4Y($ii?C$yXJ@dKd2G%A=_3 zx512gwSVCDIGo)1sY|^s1tTd6FiW$S^KheAi_~&BcqTUr=z7Jj=0nGuVAcSxA6u}Sy z_QMT(u7zbg4umwB>}=3)R&lwTcotceQ;wp8WZ0?&qfTNF^<#E747zIuqCKEVW<=1* z0U`x7h-D|TIVF#vQ<E7$U%aJOFNs?o^9HB|Q3&YsP8&K6ZH$zhQ$ z_ypg^lMH;2EyEKqw2z}z0U72+n^>FgT0HXYI3UOeT*$8rtAFGiWcYJkd3i_mB#exZ zH2JQ&K6@sa4+Fx28W}R?>I~K}-YQT5!x_+=$gz-yx4URQ4Sgx3)f{~Zo>q^Y73Pwl zHXgTGXH_I=KOQ$S13jP6Yh7hn*&>S2R;nl{LNOC%rHY#zEm@ZUfb%>vP??3X(j0kO zFOh^jzkA7prP?KdqEgV5hNcV-@C$r1(6Rs#g(s-tsXW4Z@eL^iLj)C|`O65bH$eEP zFONZ!T9GG25h+LI3!egTr)0D!evG{2F}PSa(3lqSyC{GOp@;CgERoi=zgYNg&sI$eOx5i{8(7 z59(9PJi`^(x2{^Z%DteW1rZRy2&sKyEnR4dCfCX*woDX z^9Z*mq7XDQRf+AhEPrXV_~s}4%MtVDjm3*E{NJRNDC4B0m0;b90Oh(3x=<4|tQHPQ z?QRC^1T=&QwM_)U9UPK%c!p$M7=&&-onw$D(Xy`Fwr$%sX4Gzr}JK)0~yvirRFbw#EQ>_67UVz9oA$;)1 zcC;j&-xUqzSDpDh*8k$#H}w^Z#IodTi*k(Ah3w>m;qc zJHgH7?KWy09F|LvCuq{Ajv7W#OMgmbYN5S4I6ZeM`Z-9YmjvMiLpYnO`iIQx6Vnz!tglk?0t0XQJ~wSYCO zE!MFDY+;f7DjEGEVw0>Fs`1fTn!@BkjnC|;v9T#K|MdyD?!sa_EZ>6YZ6lAJ1nYFp zw0cA=jLuL5?!tfLHNehgiBKn+`O1R>*9N@R02W8flk|&$=iyQJ#UdhcR* zmQL(UDu}t$t%lBRz%&)D+oMm?Mr|ScSK;zjH*Q3C_>C z#Lk-y*-5GYi*0-lZbIat@(59zW+9J!Z54z`6+T2}0W2K$y7Ou*qcMQ0>HpL)#H@F!Gf;`#F8- z_Deqd4{EJL3PxsE2XNw-glP__!;N=VER#t}o|fG3ar?s^Sh_Gp@hzVW@ZFMgbS(A;!SB(Siy4ZLWvUUIm`{7JEf#ni9CMC0~n-#$^ zO+^h(8M@DB;O2*ppmOKOL>AT8yD=5!3h>GxIqZ~8+AyL_oN0|(| z`66hc;qO#E zXfrg461*&1ob4)2Mn5+`U6)(#Q=QIMc#zs(qlD;!rDU~Id|Gm5heRtx((E}ZJG_H) z7Z-@C3lf=&lO#!ATul`3X&Dr;s3!Mlr0kvkI$s-m0XD@<@-yMkcb=-MOI6V-jJ~9* zNDwjv7G?pjc`?5xa<1|I;rXXqlR&}zbL{rylU}|jb~~4@8OJC#3l2Xg>{*4Mg<$}K ziBAoo*fIux3!Es00EvPF2Qh)v{3mx|Uo+W z&Xa!Q3ym<2l<@B82Uw2ZLW8(GJaI4Yp@S?oSoPR1<2Phq|Eg*RUmFWQ?kDgpXSW z7t)Ua<*W^nM=vQIZ~IHmH>Csdh9vR48ScZ7*e8tJs5HW}ehv;yy1XF0kBcX#6a)+; z;b!q*D&AGFO_etIr14vL@w!xMXt;sKe5nD5V_7FEPb>8Ue?^NWK-U^NXF~v?t?IH# zC-x5S9Rr`Xw>BsyEQaWLp2>!zf58mT9b^ZE=WGfCU6{Oy3%!lTK#8M-M&q4@YDu?X z4Rb^nDsNcWIV=>>a?NbM+4G{1^rqtSX*mSA@B&37rVY&1fh*f^b-RS;VvKy1$h^Uv z@&R$;Bhwwo+pU200PTvwf7O-*%Ic59^9|Ngce2BIfWsmGU+aQsYr;H-=fO!s>Pa2= z%v6iz^SkNPA5PUka6rbZ&SqF>4D<)rk>a&M9R z^PlP;^wq!`uq}AV0#b*^sqlPq|L`9omQ9R<@Cf+<8R-E!@x7TEy^*-45D+K;!B|U& z#1c#TDEk5yV-TKC!`CdtzBJGPz6JGb4B9);uI$$}Wjk0m{dMQbpmTwWaOIX3@Na;7t9*~2VT!LKvcuLOi@#`uIFSGtIa{puU{5(jT=T&_NS8a z&~x|o4Tx1S@F%`iOPCPvyuS}HCO9l_{@$|Og%rkG$!XmR$>|^%Hg}RiGAH@BhGsE79nJz+; z*7k8L7=7w0xCkGo_qwnB1E1#rOd_AZ{a?V~jj;U}{f_>8!Om@@D+r>!DNSyHu+mF{ zJNxB-(GouH>>kpCiHx`x`7-6T{$djIS9%H1Dh)C9J{R^FpUrK(!i}5Up6#iG7#q(S z1)^SqvH1W|PXyB(yyS9yAguOhkDyyjNA1LQAdv(jDd!btLV4_8%Mm3UQIZpLXmukY z_5aIo1#pMjJFNa2B+QCl-H#>UBlPo~PX}kn|Fp<_u;5KHH!q>QiXta-r02A7=_ap` zj`2l4Fvl@mv^VEMb7z1j_@kkCu>HxyAnIj=IA)I{Fen1@C!ebu4dh=&?vBuiPBP3f z86zvP7&1pe@u^?IV!)lgF`%%^6*Si02H?2+;tqk+GNlous3lHYrG!hKofKeD(3eno z5+E|0s32{pZFKeAfCzW;u>QJSEsI*9-ONi5N|V;)>UO3LBZyXN>7sb3R(jkvM5B*C zT&ZOHVXpe5#NM;E@$wW!nGSW{R7udN8Whv4)6^+Cp=&5AgFm^iOw{#x{hGt_V*$t> z)jR+(k;D@~cZ*E%u;oOg{x&-aY~&@6qeD0h2mX zw$u17eIBS~+=#!^TPeK_nHvX92)+}w|97hV_Oowi>xiM;I4?5|aWO8(${yBPX!@KD zG#3_D?%`#>V0c;#I1^h8CW1~CFy$_DV<4jCWb;%Qf4`fChU|~OM3mL@pAK_l_qSIH zFbFpQ#Z!HYI928{ydT_1ZA(n671}8)*8FCmHtmP)(B5hIr4zClgC{y*R|_rz0dzw{ zHWYEpu*O4!qb-}Gs|^hWYn{{7Q>a_appIUTi3zWk#u(-F`j6t-uu?D)&QfuP)u{2b z&`(BoE6A`Oo>v3TgA;5OV{Ep?z(7U72_w&pWa1AO;Rc9mOUetqFOw3|2ZbP0DU6xA za7przqe+rRh^5N1=&1A>s-L85ph9M4XGopg_+P=x8ea!dkMS%kf26@2E1=etQG==9 z2#{wN^yki2VOHW3ZO(YxWfgeaRAhNf3k1+}UyW@&7bb#r#Ixb6a;!zpHjQx;1I`HHL|B|TMJ7INyUUxfq*!6sS*uAzD+o%HJS`9a&=m7(- z28MaVyqVhA>XWNN6jJ%gpcf;mKndpPeQ8eq@BRLXvQXISp#QXR1=$}&QGARu1W~urUIv~Z=*GIhT8K<-?^b9q ziYRP_4SeQxvRa5-@kSP1femu=i&QSRO^G(uzl)l!*i zfrD+~k?%N}3h~(_=Be2i--uNMSWZqgK;gGz+Fy`xiBESfV9Phl!=sj$pn$kGcwFeMSs#|{m zM)=ljU(d$lOQ`RjW06BVAxUU*V0Y-CGxSD_+Fb6!sZ`XY#-STa!1VPQYtn)(84*ju zalK{Gx~?Fu-W7Hp@2r+mU5uD0YHQ{P-S7H7yxc#_hU{*<6k#98>g+qN;C&j^lQ zwU^$bBe-Tie4f0B7u?vcWFt6U^ZSHk{9BotJ%3mz(7l@|9 zobz8&txc(m{Cnq#A?3<+$*{&>P$IYRwB*bYn-0-xd6%GM{bcVJdCXd}?1Rgc=2{e6 z>`|CI z+#3fmXAbbNjG2gsip-E{AHWhj_bh5-Lh~ZATctC67eJ-VIWfi-g4UN^jzw?OsL?C9 zNWb*3aB4Ix^>0P>l#gHH?wT5N%ESpC*0nawjFc@d-kSWiL7oVUWK5#wGNY+(6LU!7 z)BZL0g1jfk6m@3(slH~?w!kD|Z1}AM&&{1}rKTuVOsU|*{ThUT-QzuG_?x-xb}p{| zh7IBJLbYdHn#%vR5-Qht5o5H`mLO#@v;3cM|9B2Q_6k^!0=|B%Nis>Rkqec97c^Mj zShG}Rd%8{MOPP*L>V=++*`qNEQUmN8Gj?uCe(9oJCaXay$PgQPKQ5lnf~V0jcAtB1 zp6MAr-`#ZU&v$Ah*V=FmMQn`wX<(M~ zEZCAEEx$6Syy@icJgd>2SEr6X!5U))?)Kp)Zqo(tb1qgc>)@Fdb>1n%=y8R1mF(ym zu#GTj(31!&B2`}8psnDnofej9*cb3$>Y|9FR-!6!9K-QS0oYU3yphy8@E!B!v&OM4 zy@vgnKd;N|udZ@e%XP4hlBimm>=w*RU?hX2zTYQ9f57w-==vwZ>tdIuiSm89yGh#hmSwjCEfIg$GztS-^-N(|M}e1R0dJlfDd%PkpE79O z2KQ6-l;WigzT(=@hPTJ=kyOWS43ozmF3+kB@H&_uHJU)QY&=pKMLct*n?q#y0Rpzn zC?zA?fE=@j$Ax{BJWHRAV5vk=(V(!;*g@}>0cEHTgc(%7TE}`Z@!f!7daL`3NP9@( zo=U8{lA&n?!=u)~#|S}I4ANugOy1o?%DqG0zwU)JuQ!VN$lL$^{X#10yT`jubW*sM zOlew!_eq%D*+w`zF>QFi05`$K;g9;)ht_Ois#X=LPhdPFSD%J4l+hUX;1viyi#_ z4F2oN+~JK?|C^%^kvR7!d*$(z4teikFokIBUx~9-N6Ngu$$UKD}KN!^{1($>g>wz0#sL@SU zxJSc}v+D((d)JBszmoj7?}R!RZA5Mxq|L}~QypDOsiv0;KysVYPL&#T7$u}!ZucWF zc97C0H$UR4!&)SNx_A!pw&-7GO6fN!!Dmw$#k>ycwD|G+%&3-MI0DIT0CB3YmliYOx-M!8gHY)k3k}dggA)Th9>m+JayM)2(M>5 zV`ei~F3=M>dS6e08C&>ya}6+OLBcEw0PK9z+kj>j7cF>~Yqs;gw_+RC<>54PS_lYV1O zSCjUZPczbOT{3P_cXEFY;|~^flp3jhRtWsv3= zCDDQ68wYfy4rkKazzCF$Qs+>&E`PG|-T63IkU;D2CeqMbqtNg`L{i+3jKWT{;?H&q z2;j}oHmiyf@Frqe0P{krPPcHI%(njVAy|KuhWwgjzEzeh_=qP^`yiM?(Sy>;E=Y)T z;Vr^h!m#aexxvq$T5oJs6&vL0^L)56u9}>ke?L@lKDP zyd#|5q)0e4KN_0*04p<-09HRd(oa_IQ|<-ER%)@lp;utz9A?>M1K-qS{B{Ui^IewC z>NX^ole8+?PadD6H0M$7Xk5Fh>W_Y;^G{;9S;XNkuih)RU!@Zo2%mbLk3sMy$w*QJ z=hgzI)O|HMg&{yw1@RLz{$nkMh6k=YnF5odKwQdDa%PrNl$Ze-F35z^|eahjjo-=%a{ z4>mJNd;ldjuaQAlOhltE9`8)b$?&mFk*3v-oq~U)8w?= zD~Ci7`@ym5N!uXP-mH{Q*Hsi1oy;ULU7bw9D?GihKP8SuI^yAYlJG+F*P@Q|RbU#M zp(W*WN>4$ah>Oj*COMeUZ9!QFE4wXl)7NbnyHl3OCcwlRhNJN(VQR;qWC@!zbT}Fr z(c#R(7!rp@kGAK;5#1CGS8cEei0sw&t&gnOgga0&!6^LdGCCNMJ@`3sHe zK;`~AeK#p#78z?Yi#-)3FLwKLoqF1;R=h)RYAIcR(VX0%SxIZWL-Ba4k^Alvs&z`5 z%qTa0AMteEwXvc1<~8hn3M(3__cubcB^CyA8r*;jg0h!j)o9OA(M*eH+F=nBp1SYF zaPgr{!?n+{#iC1PrX;Ks&Vsbvstp|iM^^HAsY>s#&|(G(gLU?pTo48V zfN2_>0jFrQPS>bQk85NSo+hzNtk>Xj8;rewTYl3lnw>MY@|7rVhNNt{Ymd?NiKLtr z^y(CrGHS&^6L`y&`gYGXRNmAIWcZ$_j22D{sUNHh;Txqp1})1#x_Ar`&NoMtDv6bP z!0xOd7*ZgRx3-=`TJr%|#Pm-~b0s!G8|r6~=zOofrkpIdVK8Z7?XE%6$KMR+O-X0> zy2O5avgli>BQ4{YQ(wffw>To1WQQ4)B`H_uL5UI#yT3FmTZ+^q`vMO}2X;gYDM{~< zc`%Fu4Q3<~qHEl0i_tf=iq!-e70s;cZxmhmnb{=*a6)XVVP#=0L>$F94kC5{R4`v9 zR`T+Ye%g_f9}U5SMQv<1GGIoNyB2-ORl3&(eW{?~cQk?Es~ePjPv*$%fwVxqpT{{d z(t0Ek$&R1HqxNVmoC^L;FDD(mBXd!$BYxkpwelDwv{-w=!UUe5xDE-!}jcb!j;#rwGk;IhbJw+38^jJQMNyKke zGgX|LrTXyQEMN`rMzWam3tDr>>thvKd;=A-8Oc0E9Y!ZaX5lOY9UYC^UJq568X?z> znUBky8@&9ay-ZSvVE|7POzpIK<2}0}mzv+5TmFE0wJKAM zn#C$jy~x#{F~aOw>C~6@R&Gs#J8(e$CSiOj&iLX|NNB?`sYUkmfoaRG^|HeMn3nzo z92usG_HZD_af8m;C#7x^u`P_8dHGxQ}5!03U<|3K4Dy+ zB)X61O&oQ7HGTDTsrewnz*y)|6m>1>d$CtKa{nXHuk7X8aDc;?-rpX1F*ZqVmOAlt z$5x9eOnqSDMNkjMnH#K1;hRdN&M}22cBe;8HwH4F-~vB`o|fENiwjaM_93rRORxgn z00buGd8gmA`prIxbB5xTvFSa6X=aL8v@Uz8j(^N|J)g&mB`jA;Ma!Q4nlGg=WNz8( zMy7U`FrZGOnq(=dwxn(a25u@Y{%BoOd?TFtvlTF!o=X5zzA{tkDJ6NTHG7{abit>Y zN{+bBc!8@NO&2S?0F6c+D5!S|VKy#~+)CjktaCZ__M78jFcpej$#%ApY<-Qj&3+N&=BcTfO66rtX7&+$kCGB^T~TSA|GdFlZVA$0 z714Mu>c1fO0Tu7ZoZXO#oYgG+5CgB*=Iegg_-E^EAF1}6HG`|JmL_oK5r|(?xJ34f z1C?{x72f~EfC4J^p4Rra)qEHY9?z%8;mz#5m?_$g?AzR#8w5;;(1L23moCg3uQD1C z|540VB8D73app4+_?D|V3%|wv0_yg9RPOkLQg$?O%w^h~Tw(@)HK%MZCfB$?)9c0g z9N-Dm-Qb>AmEj63xA;B&_7Y@SM^fWA=Rr0bkPN#RSg0OG8E{+nTE=!8Yyo&zT zQMXABR+64yq3&G*VQ2PB+)e8St?t3`ZC>jDccxeHWgt|#m}(P73G@QAt6CVG(d>d%^KSO?ri zZ8v!@ZagN=T}StREY+5SGs5AU2H?%r6JKShF>%efi%~;LTLLG zPiWRk+R(py)DM1d%BszT5dD3}rNK{;Iho0AUh}r`DuEqslKM0Rz=2dUfn-|f$aVB} zXR`tIK|0an1Q-2!re!&reQKQ;j}G*qhIED##e~?ar);Wm&Ta>QKJmdI!2z%S>8R^S z^~5^F9pTYt>?2yWG7zOIX!W@On_4Nt+ zmQ-4jVmd{{d>&_)yr?Sl5a-w4Y#Q>Q9+q1f!Uf@6)q7jyzfrN1N76%XCY&Mwrp6!9 z_0+(|ilvMivFd8rS__X6VclV0mRWRW>ADfbv;OB{gybhRThpmoYpMr2j6d5t$0@!Q zm(|B^mVzX^7;2#t^+hJ-^nv4<#;8Tcit#JPVWcH5QFeK5H#2YUJi=5XsW0MTH#2y@ z6mkVEEXbM$XzDlvY=SNtJ;fzaiR2*hGJwo)KnT(jJDSPW5QMeag?gF2z>-b56x7>n zPA2CxzPu60fEZEv5QZpCl7i&4LJ3*yFo)^ZU>!BLb@+b~j!nfFi`0O0c(myNGX}PM zhel)M9WZkNAv)mBJ48NuAT^5jRw>-34oFE!U$0Nc4y92-!bSs;X#enEO&+a ziFys7%t%wDxcYfM1fW)$-fS0h(Sxz5kv#6a${ALHK&gOL)Zin(d7IK@*KZP=y87&CQa?-JE+CCSjc)yx<0+X&RObU zcFc<>zcaXJkjp=a*eB9;hhq1Lw&EyvWqBl_A3M{b*%at`Qv)i%E<+B7UQ;pWD_z6w z9U`(_ZsjL`42+#s@FO|l*Zv9?j*JDr8i+97rZjOo>>4m5$ai~>9M3;2Jgn)THgZH2 z_G;mdaSFNsVlDibV?U%azR#=-S!i(W$V%2Oi@T+;lcZ9#c#>=+Y~7$%PAbRWonh|8a%T0)h~sZW~PnB(w=+tM!)D_qwh= zPcm#fz_{*$b$m9aQ7ju*R_W8}WsCnq6S9xVAoxHD5HoLkL2PFRVr6o+mfXd8=^61i%n|8&NIiCPOX|M3Wq^8`!{y0{KdG)e;(J%1}(UfqCP_qTqG2bYT)(TpA9dtB9vyK& zs3Os5&u{8it8_NL$E;)CTEwI&v%D4UGw=pXIF$qyD6CfRi$u-&{o@V29fp>He(~!- zeF{z82Q#y8;0ApmRLE)R=rD#GdiEZWaH^){n}=VahuREcOky_}neJE)&I3J=;fQCG zllhKcW2g>(1;0McUy4!-#dY*wF67uCrsn|QEIq`JdOylt-v~&8Q((fLMPHPNkG)i9 z;z{g};gjP*jO}5NQ!Rh`eLq6b$ZmJ@`_f>ble{#P{oAr%sgQOL({!P*83^*Z(O)U( zppIY+?B>#UV>s$A9M2Gh%n*4|Q?XRv`SHHs=>1p_bL&u)Gh?HT>KikAXn(uWwwDkw68HF2rn^|4ye3a}irx@E>%#P-Be>gqQGpp<|mxBFAlj6$L zgP|9giRmpB$ZZ;+m?2?~2&Js;!4{Q_^IQn<>gAuL9B6rd!Bvo{7wd>{Bn&Gpv0`YT zTznR2mm-Qn*pp>ciOt?bBbMs)I10g)?VNi>aQdVqF!OEF%(-SAsTvJu&!(;(U(5VK zrD=09F>8=wzAS#3IPJjNb`g`i{tp9*jRP%3K>4t2#=L9@$B0NnC5f1s+#duZ#p1=) z5s&~4M1Z@>NI`CAeP!iT#j{SS~3nhJ80 z*tdo2a#Kg z{~AV@O77mI{u}LCN;YyZ{pFbbzdDD;VJL(C^{@G!;JVkrqKBLmOS(cj4Jq)bhvgld zd2J4RXmJtl z3%lIwHP4iyjE?UiF?~#wk?absNInp((N<<|{@2V@$nh~w=J!3oKLvwSb z-F7$3ckOAar1dqORsv6jugYK%X~OO@>auC%mT@QT1b~ilY4x6s=eEC5&;B*JSJ2Y?1o`9!(WtOL z@y`n1l@G{~{SXDS((LjYBe>h9j;IQ`dMtMip`dA+oma1S8~s~%&W&f=7L|hQ<~&1SlVh z4fb!|)IaT~|FBA*7BS8wKhpK&Q2iULS-}`;$LhA86ms`cB=5!5;Qq*!cv+^eushiT z#-)G4AFWy*4;lZ4;C*ZaO-*kQ3pV8{s%MH*)6NqBSRGN1xOcO7u`*n!t*9)wPe-@5e^wN=z*GK=FXh;y{>dp2tU4%FvlSrGjE>~<^ zT3T=K@&9r^!euYsYt_zgN0>higu@+ZeON@-*o4O!YCstUxaTtO1Vyfalri^$oScmR z_F?q!#8wyiWnbhAzmxtWP~(5t@SY$0GlDcG=zm|wy*E{DK0m%OFLV3!T&xK#a67#l zD^y!YcyndIJFor_>@flV`gZ2X%k&|36%RJT0ja`#>#Vd=H}uMk#?>7dgnRva z2aTu8Op6PjUsxGH)GhqLXI&RoI0z={Q2aM#klP+|*^aloW`l7@g?>C~w52oBuft9d z4KrNm&z!IAV1P=%HPaH4Kl-f}IbVzrJH`tGc{EtKB2D1*%l2PBP!C#D-w_XuQji@m zP!GBtpb@#&!B@g~W(3GO+1W?P87^1Dl{{;h7RV<#Rvoe#vBXcMsd>G_=|oC(Xvl6{~zYHDwMYgdUmBf=FvMl1lBE+ZN?_FaBnW~s~( z(!0~->Fp?(x&Ofv#jtIDSZpi%~iix1SI>xCW7&YB7(t zF0bGWlP*0-iCZ9(OFcl*g?!j{EOArT{}zWgLtk8NFb-t_{#CX1_OvXd@UrQ0c1{4ANx2FkP5J(%TK*6Sqi4 z?O|W;Y(MU-3s$Eb+i(BGs@;Xdlpm&0U1Wp?ZO%|Y_a}nqpmKjO$seqTf%L`7yQ530 zInLSxBnlVX{lBtT=(_#$PEym~|1N2F3s!qZ>(z|bz;)nq>D_2)sJPPQFG>Z@*NkW> z-14zjvBJ0DjUZv*@+Bh6%2su$BDdc1oGDf_a@M-f({^@dkGD7{bDWQ}o#nT9)YsOw z>|rnjC0+&-5iabz`}VtJSiFlntLZ$|Y`{xwL?ur|NG>+SQ&07!eGKD5w>OCej|@zG zOEr$XK_GO8Sb8UySFr=@BCi=o>7-GyMkzxT1!Alwtz9@@_1jyNO!$ysJc7 zHvwXm%3?~{K*?7W+D?*aLpF#B8MvJPEWvAo#zh$BmB7yku$!luOxrG=Xo%hYQ8)qu z^RL)PPheK3PV~1JUOp^Y>zQdS4H@Lo+S@X{L-U>bcFk3Lp(^KH?lVi8Sf`n%&+qi5 z!6@LGVX_P#Iv5k+AZEP-sZYj{-g`%GeYt|DrZKFAv*9X-Yk|1-4N%-Ykml1jD zs})!(V{VUt`|<_(bXz${PcA5L!MxAFZNf3Nr1B+ZS@?;xNX00VT$2@DQU6*)NUZy@})0!yYT9P)GNX$9=* zqQC9Pe{F3R$y`b1yHL#mU~&i6%4Hj%a~FUde_8ATyV-$7R0u#G+Kju~6-hW=gF+UL z4OwFW(jedp{)96_zH9h%!GgEkVb!~nwtGKkR|`BbirGCO6I757e(?bSZRByk_>8`z z>G;H$Y=FFpfn}@E)HG%CKr$mn1_mKTv?WLMqA;_aSrXU=Z+rA6jlq2m5I>NyyOg;{ z;n8D3|FIF)9f9x_lc-B26ce{YAz6xmYFa!oCP>_yCVcdOd+su;_71RinB2u95E6nD z5+V-ECy&{%cnbY|?zAm3S=un=a1TD;b6VrKIrPHLiexD4?@dEg>mmpDOY|u%n{-tj zr!wZZ42QD6@<9=@kDTE$6#4~N@E<~sWB&W0+=&jTM0Uaf)c`TcHdzvAeessv*yxKJEKXWzrkuBm&cnSJ!B;vbRO_D?gk{oW zk-z(rM=eY##Lxf`h9tM z7L)4n)!RfGvVA**xp+1vhKJ;Ku}o;6;$)7Sw)Y@mquC3&m%NV_!2v_`7v0wOJ*UT; z)v9&^wrcostLJ9bTG?F`RF#gw^KZXxC6rtPJ74tpF-4Zy|)%i%AkmCViP!k|Ev^s=z8MpxTV0wGhC>m|RmpLf2`>K+zQ0!r5| z>`@nbS?T`Vt7RcM(dXMjhVY{yShxm_@#!&9K^k^MHtn`wy$pAMC^4){R?$MB>0xp1hOa-cdo>-!j569v1>{Jt!iErt89kVfRMEBZVrB zSCOeW`A7oDQO@f`Q7HXBJPG91J}HhlCIeyp5^&tFvu)FZ%?ab?I-ih?EeGmDweP_= zS}xNqNi@GbJ|#6rwFCf0r$6|FX=U-~}M;>N(B8@;X8iYCR zWRfky^SvBoxTHm z2zyE*y}^~&a9lYPGFC}-$v{dk@io+{pnj41?@`Q1U&t8_>4F*i&^iz{`%RFN z&k|%4uFxJ#2rdi&O%rMpiKswW3b#LrcRdB&gqZ2)M+p?zMy^o?+(29lg5%u9vJNDT z6tlvUo2Wkq&?uJc24OCyH3~FC+kKToN3l^ za!#jlD#ew(f+%JZOyz~TtLyzf2g$)ke0dK<`l?JowE))uJBNWM8%W}Wu!fk(2V}4d zy=mhj$AbwAb=2FX-5!pKdzxuk+uEjcz76<+n%ZFx!9v`!2z$fwo0`-DR|)(%sfE4w zc2);NpyxZi>qimTtX*hs&5o|%i+Eq!Uxq#oGBTO*e%bhVPtM_w`2)fM=zdOsSc^m||3vDXbw#tr|LDLhQOm)I=w zQ|oGF;1to$&!#T--L-0Ru`eiw)2SE3-mm3b`fz%)cDi!UTf5yFK@=0xM*hA_s$9>g zBhR*pW-N|ZOUE>!$;z^j)jLbgxPs4qapK>WM(5w5 zQF+OJ9Y)?B_Oqe^w$lyQJF%Pw{XpkxxznY)qQm_*kV2}NUJ(_|Q@Xc85<=aT`JWW6(|+(W4M?6B?6Z~JP~3N^MJg(=r0 zUsZ(=&YjN^W>t8eZyO27Q+1#7Y+b8eB!lbr9?w#`rP|D;hO_9o5}75q$LG;&j8pYb z%sa2k(h8X+f$FA;v9u6;YjlGO8ggmehtmbSgT)nd>XeE+v>2~%2(nyRlM0bei3EFY zKf~pke=;e4;MC)1C2o%!Ri+hZzKJXoE1e#ewc;`ev2ZmuxO&HD*W%6b6* zgH{<;m-HrDd!K(5G_|QPebY6j>I7jB>gZOK$XV`}$34v;Y$$J1A=TOweR64m85OG< z<>9H{Jr-}5#xkmvR!aR0K4eAuW+2KbUh)+Pt?QTHIBCNwOByt)1^A8$xpDVFt5lWS zSrF(~JTY}8HfzY9e`rNv3geHnI+-k^TP4g5lX-8Zgf{3-HXAM+eqFz)`#bsm(%VMs=J&Ix=NSIyFI$TCL zR^Ug67GKs0H6q%*hylr#Bk2ng+jmAE3fromMhdJi6)OUT1T&Bou}!lqqw;tJL8$bP@fxgSRXnw4lqT|vq9?++Ll)78 ze0zqPaAyZ||6NjS!HTaZ>iD|7Ici-TvP-}?jeP;C=WW5N>yvy|a`0Hz(ENITdP=g8 z%q&S*Lk~(@qa;7wG=4fr20WZk1hjF^MUM1u%38r`*eQ`?IrYso$8Zb#Af4_w7?KQk zJ+>Qn?7*eGripgC8O+NJ$(gHfd8q!n;j`B!#kuRxOry5l*JwItx+~J--15ps&KX@I z5A?zhl<4b*P2G6ps?ZaNS>M7yV7&vJ>=Toy!8IzMvy(8Z=k@EFKG};vBRa%{c$(zJ zg9Grvk0y;A6k-yK+g}G#do7yc=JJ{&B8$)B zHO(%#-lTA%4cmh{rRDXSxzV|2g-rp&-Xo>tQohv8IIL8zn4}?|Zsru1zw^8hV*bq; zAn>TJIEwAq2<<)?Gd4v3L7^tu6I4_MoFS}?Z4(-V`EA`vB84^+OB6p#JPG> ziPq8_FePLc99OtXij&3GfKqF5pwM)$iOuX}4IZ#9LpDbr%HP2|%%J7q_Y<~%B}OT1 z^}k)h74ZHa0OUX$zxZV>WF<%1xFUOS562eZ%vLD2Y2@}oDZp;W=mj<~?SQA92rIot zPw9pg0NVv%yP?>Fww4ffFF@=QKE54=YCnlkg@}YIRHMI}1=~6x7AkYh1_(*6ZXQv} zP8lZl8vf9O2Q?zEaftOArZ#!5n=-Mdn`1mRe&`Cu&>T0J0qIX~YcC*d@IMTA9>LC* zhFG#4c2v}1d%+4gkK3{5eb=p&x;bv0Bl9{cL86b7L?Y}p_Gc+;KXyB^fdM|e=X787 zyTF|MTph<28)oCaiK^u6TR-T`YL1n)^!I38$_qQ|e=(6r@VQP^@4hLmco9dQ%d7S; zo*7SBH0W5frz%|74Q<-ET)mU+IQ>>4wZJ35r`I9cz}w#prkNRqJbg;D2YT$ zJjjwTya2{UY4`??q8+43?BFrzfRX(9plDI&U|TT?-679XJ7GIbED=k&2@aaOT0k1p z8FzvF#EK$Y9Do3OD9!?J&Y@c>L?WecfGxdww&+B6wl{_b$VI3P3W60Hi>d59BGOMbZ3e5UUGj>0>J3P{fMI<|0aQ6xk>g z!LWg1@Dz(gNMV%&Gz3pGHVQ2&bp0iBq?6(=zK}|%(fJ9J(&=QyQ+gR_zJk*E7m0Kh z2YH;z_gOmh;9d3O#`e1=M6eBBH71?c#L@{I!_VqT=XG|FgmaM6t zp?~3tzp#a)&Tw7b3cFXhE24W|ko`MaFe)<9MNIUjuskJIA|3UZ9-&chzl8OXYgaQxd-bX9Bgk&nNvdC*c0A}m> zbHR9|@1Z$MF-NZ4Ppq};3@weP6%nr~;9M0=vg}!4S;Vh_lq7Rw1a(~?<8jK~bDOFL zyQ$Lw@keAso%=$3`h7xV~>C{wdWX4G|L)?d8r5V=GRR21UKvxdLsQ>`!=mE#k=cj zw6;xoG3OzDMLR6EhEpazZ_LeVntgBF^?RZ;H!N0?WNu_ANOD@IjLes}lHE;=K@E^G ztEcPdwjYqYvO_U6d;+ltpkPWK)^q2+L>|A%VAA+#k=Pdi;D($xbwNr#Zo_ON2VAqJ-Vf!rQbo8)E=XC9 znP*-{?6cQ~1^B)S*KvPv=U>R;ejA^R$^_hALh%ZUEF7yeB%ASk4G`{Dd;@RLEj=Zh zwL~y%ARCIe=D@xa(8X4s;~43^5Y~RsC~kB92oA8sCg!cchLP$|@avRYfq$k3KcU#R zKASbr`-1a#;Eg;0IFo$J;WfCoKK$tAm?$K|w!WH$2=b5uxNo?+fW4SSHsVAuY~TkJ zKV@N+h5IFt|I65j(=$h!OumMm+Cpp2z?9n;LUmdG<Hy`V9bmMC=lBrGKrBoYXvd19i_?N=cav%NUKis*mf znQJ)7%H^HVikyZ$6PMwe`gtd`#wHh}^VZYO9GYmmJt)HD837xTGXm)33RqZ3&j?&{ zDRFLLr!n0A`qd8}6{C+#=6+SE_95MI)eL@@ey1JQe`{xRd+*O)4ekvWWvd$1+A*MP z8`yeHe=B@&-9B37P#)usw)4yneqEAQ#E@TH` zFjd*XD|gRyW~vTYKKS>yHJ)u;`v~RC0T*Le&@bs`opPN6RR273-yu2ZVuv8#LvEM)$2 z_gV5W&5+BK>fNlHpGVAN{*t<{!<_v+QYh88wez!=`ZIsA(;m-gaxb1zm9KST`=mL{ zUp(FOsneUqQK~6LcTTA>oB2z|=clSQ527iRRo7=K?JRg_SDp>_cTZBP!CrIb>t-;2 zxxBV-arL~wL2L*LJ8My*k` zMr!$kyNV{*QOJ~PttFTz8STl+^!3K9Ol$aq!7HX8J-z1LKKI9&)$J|?g-I^*@zg}# z9LHX&z*bDwpL`2h_PoqQ|4+2Fs^Dtx0nby0D$kSHfZzNVENxS;(N#5aS?CpD5v&z# z_iGJ>BkuW=z?yVHGbok;3MZqD$0S>w?0a?izTLdpgC4m&6mqH8z+n2o#Jc&H$?++# zj^3p5?=QN=veX$G4>fxvWPxfD!F!Eu#lk>_-B0o!j`>ObN-(FwUhhR zYxBh;;SqbeUxO1pI*cfBfcq6#V`7V6Ll1GkMow<`sAaPw+^=7W&a;=7IL7^2{@0I^ z8J~~y=L~z)&8k)D6!+`Un&D>_c0bMi+VDDW%;M2B_p8|sXfu|NOS1eAWi^fCe!VXk z8}Pc$dG1$qS&RN}%EoiQ>a}U?mhF(h{n|f%y8YX{iQKQI-;dl4&YQwHvSqPVEN>6L zL8%svO2^(;m1Gk>)2ir!84YzMnW`}*rnF8BVX8cub5%>0{S_FMoI$A~eG($Q|B0nl zUu#}fH_FFUmG1pg6;Ye1>OQVwg}-N4{*LB4ae zDt5A8#qp1lXjR0`s~--mW~z!79v{7H0aI0U?YV-w{7jYg&2oJXOl7Ke6mPflW(ZT& z>x7S2_|ZgKrK)$WTak85RqK_`t54r%sut{R_`8XUsk-UBw*PE5fQs+eH&B zbIb2bV1zVk^n>;#nW`=c-3L{Isxf8fm+>#aRCRUi;XAGnQ{`5Kwt8@nshZNEZlP{R z;%U`(=j&nR3oupBVj_2MKEYJY=-j?yA1zbma;xd#<9V2>15vJ1wyj~RM&|Q5wt_y- zeCsh+|%IWV(gq-^(Qb@Gc!5l`}J65bIY5ls`e`H;RO^^wXGjVa=`Vfm0fNzowBxUi6yqr zn3kJN;TWZwVYTGzJf`ZmN^@Z<%~ZXw=FGCUZ{^9Qm)>A{`O$}z6E=&Ps*JkV*iO0W z6WzYo9Hwe+e9DLFGnuMZZQ8M2+3@!CW7lRz)2eZkulQB-WvVK~aRM-YSM;KD>uIK& zW<(BIyq&44{fQHsX`NOS`rJH{R!#p|B&Fux4Dy8%IAMF`{lPJ^Qv|K5TRpK#|p4>E-7yKTjSM%2cJ>T|MBkoT-ZXeALd%f)Se& z&+1fPmdaGoo^*1&oxxO{zTS3HxYHRX2>%%1H2M)!)nyu2 z)%bUzEiI2RRjxBN_GzOT>3xyTHCW_DdfB#)3|(GMzkggh!c@&j;Ls>V?Rf3~jHznh zH-C81@{FdeAIBj-tM0mQpSv@R+WDc(orPVPDwj7uS(1ak9^U-jkzwz*Yh4T2zhSC; z&h29v^=`_wSg+npr!<+&DXbAS51k(7`{R5$iVM~Gi{nGnS#PlHxWpSsy?RbMM$h4@ zBK`}g=&l{AAMC%;#Dr*|i{3>g+_zU|RH<<5>rHCd%7D9@iXEe~->^6eKD6iTf_*<* zM0?aeGW*P_JJFH4&fW4otT}gr$KtpOd&|vX++g@w*)B}EEB!CQsLW>#bq@jiEWQU#T z>UbZR`rtRLs5Rib9mpm-w89-)7w z(?|rvL)$@<`wx^01`GK3j$@)hh=bTEfxH&_(IEaR{_*Da?yIkI(USX*WZx!#r&Fp) zS%Lp{ILvsDe=lXFZ#sB6$GoX}EPJwUqTg*wRcqnG-WdzRX;tbJt$)T?#xrz&o3^Y% z0S8Z2s$B}(&fb^W-=!~2rBzji%|DfCc}A}t9uHeJSfak1Tu6QqSu1P3NStrgQ79N& zHsW&L1EJF*nCd;+TMW5z%5#CQp%**LiVd}sqGn)IA za*A4%WO1GQmAQSygo<0UxnG~DezyZl{^ovFR@>BQnV!#+{pAw9dt`}C1-M^xdLD1p zvYE=0{oXjQht8_m4``KR@z?H|W3nh!S5C2V#Hv}~^<`fcFws(tx)0 zPW1|WWhA#*Y{=W77$%;hYtK?^QuW^PIu$Xm`J;lKs!ZEenP2{8M7VXv(`u#4hv>Z% z-M7)EBHCh}s&6BbwqCCRlaK!djB8eNH=XlN{qbe&A2+Jzsru0q-hX&Du;?(X?Io~m*idib(>3;nJci_P;6B>ozx8 z9=5Y+dvkB2x3sF80T2H_s-|`RlS~JHVyY{A3I17i>knnsoC8WV3ug+zr#oTTu!rmp zmA?R@xR!6A6smOzErDv5)at8d8Bo;sKhr0_s1QSOZAn#A;Q|17_c#=YOKBYUkCCKg zP*CgH!unYmb0P?O@kq!L8q2w#Q)F{ho~Aa(mj(RGL4iHujJ)hlavx14k(qM_&axMv z#Zhcq<;i$i0Y2_;#iLOXC8464ND>eX8<+=ARnbUGVU^cJ5xkPt$YQ6n&{k!$I_o5g z)p_$e%jBW$Dmj$GQ#EAOc#?Z3=8M+)szYb_IZ^#4Rb5Q64c3r!meEkifIL(0OJ!G) z!o`3~O(3oo6t!h3RDdlB``0>y$HrD_p)kB4@z`~MACBsKfBBYvL96SC1RL9`OD4)L zfb$Y79*q*Vvhjwzqyf6DS*T~0r14YON-?%<=4(D4G2i-3eNmydWoQK1_+@X~v2|W3gOQNiUp&MO`@hhkf8L1dJa$Wz34EwtSdko_Bq zR$7@QBqdlcfM^W`w$cQpt__LQH4~YYwm|!2e(wgh)lPozh5{nqFp^kj#beVR1!x4B z05uW3S_dKl;+D$<4zCxlfg3jhMIn3l>|M$Nv=NBd07ZYGsUuRdiR5g_01-WNX2_{7cm3><7~X64^W53@?3_%^l5mf?4xc+jD!~ z%C(NU^Hx03ytC^DcOAVsNic!T{qy4$fM_r z!k5-7PHss_<{LMw>q2sQYkX~kwQWvwyNWf}7V_r6{mFWo+f8d~n=f9~7-B^r59|za zG<9ek95tuu@7?#TZClXr>Kh@+q0+@qCURAD2MgW#WbJ5b9{onb43apXiagXb+8GYo-v$ad9^Cbt_!QtCl~%pkIOC|(#t4JP7c zoe5hln?zpuGhV9q4#{7=P^5VlG9lOFA*FkLhKNnXW>9t*(&(9pp<1H*_nzZDM%R?M zB>k7G_wOa;wnve>d_>Vgt_7wgkyuDwr_oy<)wwR*QK)b$3o(zBbZnTGSIyy2jKE=4 zvJg~^)Ee2>HWoDL0;uLFfb~JxRI-4i8N_2_pn=fCtgO#)5S@?5$EP!kb@ zNhGFhg27 zuu*MNl5-lqTvWWq00AREbibYT? zMwz=W*%xg_hdj#V4yjj9=^*hEafd7g^p}Y{WVy)>2?T&gBpotjF#NmBUjRurZ8>Pc zzT`Ms=iAFJtmxO5R8Rjih0p8mnND(NyhC1r{;$CG3Mf{gQ+`ZlKvo09Q)nOvp4K32 z5Luv)V8OUZ19oJsIj}2nhFkb}AWoVtBk`>3MV@s7{7Nrpm%YY&8^yM@k<7P0hj*Vr zv5d#wTD?npl0hDJ6Cl1^%{QStaxm;qY&ID6Fvmn0bt0`opP2$$uaE|xV_7m|H>4Gg1fZ@ zXHHnRb(NZWpW%m3EWLY!Q_VZh=as`JL1jB$1&EcbU-K@le^=H(8-KsNN8Xd1IYDNi zfqjXhtd`@ZY`1O`FPFft=j>G*lzIbn>mD+}LOX&MQh~B?0MH17;-FS;EngOcccP)#A+7#;JhpmRf`QR>V1dDP(nA&w zX>nF@bBa=jC{lO?==ux@9fhZ3C@m++Hm4j1h!a8sA?OZHlDLC|pr@Z^S%>VMevVe3 z0`B~G<7(%(<3>lS_k-4kll2c~O8x>L|Ast848q9vSs@rUfOMQj%B8T%@z4Q4^NcYY z93r$8L8MIlMce!x=*2(vT(I!mbxPOkt=fB1!UREy#!dWY@#Oa#(S~pOK;oj)OFL3Z z`*%f&MZbe^@;Ak+LxGY=WO!cX{98)cDf0Ix@HYxrYloR@WF<=$*=Uf5tu;^B=qg%9 ztE<}JB*O9?Va-+1>dJUksaQHpa@NbCP_swDF#_(vmwL|99=}ZK&iZt2Q03kQ!M*(* zvh8bfi8msHTQ=0OeL#tQ%7n%OjTT^`&cf3qQ*S7YhxXLII8^QK1M>A)iG_ zh4NauMXD2kWgDoF?K@U82IeI6Xd;;kCBet@z{m4LK_dod$W#cyumPka87Y^-Dyz_G zKr_Xd3Y`+#xZU977f!PLSTkj^hufw-ZF_bz9WbXl)&3p(Sx3%Wc zc!XhLDw)khFl;~zPnU6^A%#`8nF#sVn9bA(_T~wR&5VhMGhk^{3r_W2;(Eob8Or#^ z*t~n3KmKkb+=uybf7YUBeom3P0IMrYH3wHxbI(r5IQj8)L~HM7W15`zqf~t+efiB^ z<+rE5%dU)=0@2p0ki51Ygmi&qc&50X=V2Z0jZQUs8F6af={5~)Io7gEqBp|ETv8&n|U4N){JLCVI%`BYTHnXnTTWF+2v+Ez%|JVeYQb4?XL6>t; z%r4xZm7&aJ9ebu?nPgHXP(Q(MrRsQtCc$nGDY-kj&nvwz^$^1QCZ&nZiFQng!p+*U z9SzqNuNta|mb7tpn`69kdZEdJiFr+8Cf%YNW3KfLNl#%7hMIDSZC|&kcfT=S@BOR6T#v$jOR=|V&u0Ix+LqvlZ(6GY>?>thW@a)^kG8u(Iz~zn z-HO({>b@aCmp=#2%>z)~Id&-T17lCIER!ta`RQjvvNIGa!iBMY0wUcM5Ro+@WD8^a z_=T~YPB+Ko)XZg*Q&%xe%NDzwx8M)niNmz_Cf8v;007`@dM{v~(_ZSlx9ILS_CCyW zcq6^&?V#?9_l4%LK3;r){7*M!d<2X>;WQ8wUoaY~WlaHFfm@M|k>HkeMSv%nYUvm-lK1>dcU<8C_` zuGt~>Wa}_0fGB9kH}Dp*w^$8ufo8*A)dyE5TD` zWL0C55oQ&Ut@4CXm|tvxt8sTaQfz}&$>iDt-mL~7uMR~GlxrylO4nG}@#=uBSmQRS zS|nOoTZBDjt-myiZPg*e9uY?-)iTpoPQLsV>wEzxd8mVFM z|DnAKR#}xxc?e3Y7PY+%5H%s=pPajj)@P(x$LVLlk$dAJA=l14?Kk#UDUNf zd|Hwj!7d;)H38snK%3FV9>9> zY(M+ygA__#3UBg4KueEeT)9*qv@0?Uy_3kZe{U@C}?NVybNS(p&=A7jx%3Bj0l zGWJ?mAz1EgM=%4@gd6=f3t5QC3rqHvBrmk@+Vk4XclkYR*OyOp@+!us(M@nqXbQR0 zg6NJN@c)$F49LeyFaz>}WJ^;Qpt~FJ?q7K7ifnVuPsH+W0MT7&pfUI?2qHD;u?I?7D2mVT!{7dBhCmd~Ul^aO%X9Qr}gAC-?#5(WUoKq#=4 z+9(O$B$80iR$meZfn50Pj?b$r!$?9ckc7cxk}w25{+~6E#!&1{7cxmeFl?YVJT*Y< zrLfA9fZ)E?MkJw^-~xsb#bFJu49v4AFhLLncG5FS`Qii74QretDFrGbhWCZArDB1? zL~e8Nj~zC@+xO5nf&{w zBx)wS|G&-=8z26$z~M^0GDs9Y-dF3V)0hN2@sae3(V1piiX!={#~)3A^WCRFoR5gO zCLn-pG#x~#9YDuN6AovD#q%*{4QKcxLeh+2xt{=bL@r0rE-q$YHNX>%-eYj8lxTy) z-pUJxW+s3gI{d>CA^naOd({##K0zBRM~(?H$ne&;$D7xBbk&OwoGTA}$k}sRXdT ztBU6Ds=1Jp#mt;u@y+d}6E~$JEMvvvnsHzry~X32@peQzTy%HmdIoikqocnUOsTpw zHfI&`UeA&Le4cone$sdJ#>H`2ilGfOP^ma9W=6e!I-@Z87!+-QHfl|FV>R9{x zzXz)_NaCo8Kp>tKoCHskkyH(dW1KFslT+;Uqv9DbrkiSxV`Q6&Hdx0tEee%tTZR_z znJ&z3vBTxN6p^E2fghV^H~n)>L)k1gb!sap=rpl{PKO?vAy&|tc0}jxr!EWFp5}R# ze#y>N(mw{(xo~m!WrO_?|7VG|SxL0Ncl1$uJuRF4J4Vq zSs~KgxpqQA)+ZF(>O5n!fAhscxd1*MD4zWbFgp9E#1=(LF=St8#}9xPk=v+#qTvG@ z%2x!HUr({EE+&iRRtrXb2~p2;Hc7B%3O%1A0s1}9_k{vY!J^)qoiiH|L$MOQ)Ev7j z=?uYolGDi9T`7&mHC(W}@-*H78b|ZDsSXqM;w_YWvSHtHke3Yra1qdQ6&=&DK1nQ{iOEOuTAs z3yC?|DiX+*pk&96+)9kPxrt&MT%}-6WRD(-r5jcz4)Kj4imQ#;rXaCxih{InTg0|$ zjnSnt36J$`ll=QiN?=wzu``$B3B}8=gT?Gw3&Ool98avbGnMpW0|4wKU&x3N7BbfF zYmx8yv$M*h^gm1@uo0CMip@}L!P&H3WGlg20b-935^O^Y(a@3zHV9OFFTidG*c}L~ zB0J`{6Cifk@eNGD2&OktqEFF;)C{nV9D^zKWWZ<-nQgoT-`xjA2o$r>zD*~yjR=Mf zART*=aw)8`2u%Ytd#R1s#wmhr+(%>^kHhSf(?uay66-^+QaXMc9?!7zM(=fzWq7*C zB!yb*Z;40N?I)V;QbJLY2VsH)hmedU1M9M;XlWJJJqRpNQY!AQ&T<}e0x(K9ynohO zHNtR4-WM}yD8;I2^E{R10a@RV$*hzo6Ep^xD<_6+j=Y;16#6e)R_p~C|yLw zSpnaBoTT~x8?aISZZLmjx(U;E2uL^#LUs&_C1@rB$dnYpuz_;m(GT0n!73~1d{ELO z#+HnZ2m*SPNJ-gwmqh+>2R);Ib-Up6r^yxyECv;Ow&_qqsQE*n|PKibC2!2%@Y=j#PHl+Mu@323ue6Bn}pzahr1(KiLUm*&i zQ;=Yeq3Y$((Wl7>0Y=vuE!_kDBawDQu6 zsqD}S;t+w;cF=p-P(+v$e?)>5ML~hB1f%z-N!CE4Me)aaTm9=?)&L$c@)5eyL2tkz z(wfKSED|w_Y!Ree%Z4(ssGF! z%El|&nkNFU8;CPtS86+=^Qg6kqnq)R?o8SVIiF!V);`_@n%W^W@2gVK_`gUOm zSsJ=OvSH_Q-G-UHFgJu-kTXc@pC$>YllXHkNs~W}vgQYYaGN9NKjrX!dVjm(J?_pahWMaQx7*bsz5_@TaZXsnQe>EnL z6Mto<{E$k4A6Ec#9DJujaZz^MZG0fbBc?ZylK&QdAq|Ra7!sqK$gd&b{V@^m55uns za0YNpB*nIMNx|32cfobRpkF2#(dFSrbgRmkHKGeF;jtuA*GAi!Wr` z&#nVRhR{GbisKEE(Ceni*GGalvO5eI4|oc?=oZ=3&u#elefaoOC=$>ZMUagu5eyqZ zI_}uX!77g`&j6Ztjg2br2%NY_A~{*Hcz&M##aRPSJiU-a>3a7p6g{o_uLw>a=n3p^ zcikZk^zK|vVglXqe}2#OPkOf`SCWUo4-|*{P&_b45*`A?BPg(y7?gxEB!=mn$S^&& z)0c#3VDS?&NqCAFSo3H+!`^hWA;KQPuz@G=6pz>&z{+>>W5GN;C+Xx9?r3xcli!5z zWQkdjEMI#*i{Sqd$aXsQXPt~{f>gc$dNYwt&q%`am(VqN^VD$d6q8M>Ux;QJ&O%4M zGGlQhOVrqNQDdi}vDe08{Ws!R{{a9z6UX}5Mi*|EbE?Mo0-v=&>HRSt;$F*nUxCf< z0h^alyahJDLpGNpyX*BmKzy*{8%RZ)_L0P@UIty-&o4H964}@bz@*P)y7UD;{t7<+ z1|0)paEZ*SA{aJ+bbLiCB8649s%e1cH)B>cRcPxwQS`_4HJ_1!+c*+KwyWlpsr?(s zpx03R0CIm~M`x4h(=U+a{?FlF?z`Ud?!;9q|k-^UZN>%nmVp$MA{=u3@!xEs>x5>m3!LWf(@N^%s zm%=KG#1^qEKypNL{myfDpP zo>HYiKp2%e*b@W-FZ|zayH+%k8e{`ovSYaUWP?C}|Hq6ITw7&to)j^gE?qqo&o}Z_ zdVxSG&YXw>0VC)l<%RwPU4G!6+vu7zx%4ZScN@4E&W{^kVH?FU#le=0DYWj;k^{gD zy5sjZz#K!S$gZ#zseb@NKI4<=Z{RH^U;xkD}IX)Sp>dUBbga!>m=_|J(KeYn$9PMQ%zL zmz5Gb*wWB_&}VuMwhZfFP1vq3E-V-C*JrtS(gYVNov!CBtC*LEX-Dq=23(Z2U7B;q zd(z_&FCI7^+O#ZOkYaZFYyw#pI871u?Ce-Pjy6l|0Nul@ZdTo2$(J+1Yg#2o2B$_HLnCOZ`K9D<9AneXm5OY%->Wv=W z61La!jhkiBO60Odt7njtg78G0zye_=z2hovo}e)=g@bcfqefq#C*G%Y`~wfcz4!;0 z)t1gR>bdP+wUttGR1zEX${?Rr>_rB>s=cY5tknPja5l-*5U@LT3A8vrXLPP#-&P$k zssTk!C~Bd`l_3km3V~*q1D$h(r`kBq49pW7a=HSVR{Q$5tHBPDyc= zPa`54Cf5~(f7q6bLO`UDybkc!Lg5U+I*l9y*VGV_~dT3vG6wAM9yONf-{|22)hIpn+MvR|#M zlup)7>{uFtDmM~47I%A7xt?$>&DDl@Dum{zLQGF*aZ@4G|HV{@2NDZ*YXJ~|VbiRu$&Esueb|&k{2w+JWK$98h4edQ zHVP>a+SrDt(FS(1QRrqV5_jh*a(A9K`aAWUf&M1O=7^h$1+zI|0H4(p%x3n+cIt6k zoCL<@Y;lsswi@`v@|D@tOzM`RnVLKhBs7-}BIHeLRY3+?5SdnPw;lEWZ?|3ZTsEyP zhSLxx%zy>EiIV!8qxq(*yiDgDl-lY!Tvfz>fxv|N-8xi1*ncBs+ze>zu|W-I28{0L z6goiI(P<7(y>i)JH&B|{X(?8Vzrg~v65DGplLLX)0N_PnujePHF#pmicG_nqRif~T z7ilUZcQ@!P(#JgAGr~{!Lv*tJ!7)=O)5bgMe3vi+d))@mZVN>_DB7bbYE3p;XaEMM zE!d9^0NW8`)F`ruAHnKF1OEVQCv#vsql*we?uN%qyO6Ah{tM5z!MM{SeV!w}&{f(^sX zjV!FZ#QZCaw1*K%3>%wYruY;pT!tFuSluixbhF`!7!F(*fn4ZL5>}1`g`UAnOb=0@ z<^`-7WtPPF*z>}ID0M)VjwTV^p77~$JR)O61{&KMYb?GUCwAmu6OexWWK>4?Kq&Q( z()&`W7Tz~C0?FH<7=FmU>08E0ucrOr5}l&w1VQi<|3917jVu4Q)AC8{s8%12Pj79# zHD}Pae&>bX?3~MlUqc(0Tk-)egsC}X$*?P*ltl$QwGXgn0x-!}R$*j&sJ}=!CPEKo z<6z#8VjG-9=6(9YyOZJLQ=phCi-A1XxkN-lFY(M%{1$#;8WaQJt3A-uO(&8^FrIS; zS7=$S&No`!3w}*3b#&{>n_pA<^aTafW=lO*#NAMG=4(r{KXd12j*x-iY??^ z&O$1DY@R)jqYuD&qb|)CbZG$wShBh_6)ea1qs#hdeb9NT2Z1hS)&NUi%#&>^z${(z z7NWI<=J;N^dis*o1BZ*nkT(ua$9A?>=JvT z9B;ge_p0u6wfeDr^n=0)E9W@r?W>x8?|#tzAJ3^>PoGZm`}yck#eFGYHx4>|89Xh= znDQps@Gl9-OVscUj6?}cCRx-T4Kg>3k1hg5(ZvX8aD`c64yqg~Un&5vw3pR8#c|6h z09s{iK(bPB7pu(*FS*T>^v@GpZ;r21B7!Kqta~PXa#RN++mL;MT zJtpSzoLOzf9EibU{pbjcUn|y+b@n7%cPdY38T_eI7=~@^y_<1H=GyPS|J7mXr;XHI z_Wy)icP3dv`#brqI|V1O@}VRrvjsU>pCi-RwCd(vdk6O@CrWtWe4e>-#ES+|*ddro zh3JhpF^lK#xwVVhMF+e-W0y74Nc6S=dJ6ZxY=oyxD0dn83G{ulNW*ax%lSjL`DeQv zr`QI!kj1LFbp`j*i~xr@Q+^wbqM~Cmw9>{DeU>ne+)AYA{8>YO8O_vDN6~0H73LpP zZY!lK!hC7`u}3x)o4`UOf18A9#*afvQ8X`0YHlyt)%nx-Pm$AIxScMk{8kuVi6wpD zXJQL6h}c{Zu{BTxo3qPn2fzl~@D0pG*mWchaXqL+B3wawd=KH_5C|fgR&;ls-0p|PB znk^(5c`p);yhFgYP3JFMng^W~D(NiN`5h2Dzh&_0h2oGu%;==ZpqSnb%M3qDrIqem zIr8l(fgg$_1`5LwpN4Hsk(cLL_X%yS)F*37?HXW_vI(XV7Z`c)0<66*|UU*Z>g<1-7ycJ2#Bv_}pJ2@rnf6=>v(2PA~09v28_>i5CqxZvlX4c#1*nBgvL8 zV*%o<(7;Z_{v64aY8>F3{<+Z1izgBy)uBMk$r)<`5PNLvJQ?;|;oW$^AOVU*bfkpX zCjmq<6xhlh^iU}z*k1tbD{=R&t3yORfo-LdVSf=mzRiY5BMq_NPZlvD7&d^ta0&ZO z3ae}u5c0Ayv#?#zxhp1L!@w$?$a-*_|ET|eJ05rD_V__&hCz|fSAowvNw$Wsn=-Md zn`1mZe&`CuH6AzV8UJ+FEffUjEfl+2-+CIh5qm+BW6IoQG7Jc35l;r%c)0kIv(E z?0MgHtKv*Vm~XqZfSQb%Z_u%3PgOW=GO$I~Arr&U@XPW~DbcB*pBY4ybLW+)KRS+8 z)zO5jMf|@)kr#F#-azxynnV(Af&m=KOTs~LwW(uz;}Isd)mucaR!Ux<%(bCGX}jE& z^9_ME-N9%Kz7mPNze^GvM2n1cibfbW?WEKZAiH+d7i3(hI9c5~sc5=L%V4e#rFb z@Bwh`8*t$SaPk~R4i8Cu?;8-dKK#s7qA1G4wjRlLq$vjS3qvh93N}phUh8${dW7P_ zkeIX4*WH(DSeo@1*!zDw)p{zohlj38n;##Grw5)u@4OcuYkkVE9ZeWL&Ud_`7n{ur zBE6{uD~MkHF=_kaUPb6!T%Y|n`+hBt4sK&tZC+DBI8UPbixWhZUw+nJ8Td60G}6T4 zDd2nBhBpw;?B!O36krhy8;HQLN`5s-QJoR;xv?nej9{Z)kf`%1PMzTJ^Pa~09n zk=xD(uQZnD1K4PS{+4VXK7H#sl0mPTH9UqXndpLmTUANbA{G(>Mm3{gB#+MlO19VmTgrwt469eIRp zE8DD>jTl9KL88W-9id_QNR<$ujmV0;$`3!JD(hEn4SX5fJ+u)GFFfgf_H zf51xFC$d4&Pa%KNXLANcw85ZAN_&oa=kP$sXKFBIW^jM<^|Q3vkIj3~Uo&8{?a6p| zUHfFrd*Hrh&2Gqh;Ld+V*KusIVK(lY=vvOc^@GkZy*mEYtI&q6_03FjUKlw1V$96r zl)dLRRSkACF*u|7!P&#vw~o%6byj(RfUX}y@-I+)wU-$nm}Al&7H?iyc z4(R_7Z%_DX&)uPF+z3wNf`@q42vW;@S$V1pGB2urF1^0dCd$r}ZOhWZoFU~?8y?kcf**S>&$R0j4xURq3QEHioD&Fc^QiEgJ6x#^so~LB78Z`shHZWy-vQ%MN%1#EAVQn?ccEeS7e8PWlGX`(r&Kj|ICkhB zcSWyi`0@f%uxfel<(o_GN~cvjJ5LN>bU# z7w%*RMBJ8UmDOY4lkKV&r)*W@$BZ2217z3^n%sZjgucx0zT-uN6!7sK$5Dbr*ybNF zdcshwlN4CZ5_+S_4WE1Q7M?6=yiBvd`2J+y-z=BpgB=Di`21KiqD9=%OiER3+>dH~S2Ose z47*dYe=>v5RJ)e}P0up;xO|GYS^Vi0rHW}0vgF(u2A_FDUuI$t4m$R!O@oEZx2pfB zrRnAJnvxNK>^?2QI-@?c+NVMG-ub z`P#&bTn?)DYg+FfI#8wemjgSx25q00Pwy|h*s7j_uK#|+Cw88mrJU$7?3OB}^{#1x-$EAY?M;3qWxT~%TeQwBElV1G#{ zmgPZ*p26A6oX@-K>3zO&OyJR$XS7i=E|_wM9LK>#0clCxMnV38W0kRH zFkmg@Krmo^&xz-iVUSTYlxi;7PDpiJuUXs#EB+>cexkx2<`WMq2Z%R-WvRf>F{7@F63QayDb0m=-QKd5en2D6$L=J zN@B&W!l>T?p2f{#^Lv~az^w}!Zh^*H{gNak{0xlc$64z9kcepQ33jZ-uK1L2AR3kC z)ACAQ(t#-Hg2HMV(Wo>)*h6LNGgt3qJ5IlqD69xhJ9B8F?e?GuT@7|ckiNpL2v)t) zq`{!&S0eO3Q`*FeV4lmX_Aj0pFVOHU&&mEzH*Ya-F6>(_&xO^KnF}+%p3Dv1g~hdV z{pvJbG<~kSZtgiYWntIVyJb=q>J9q17u}6!d6+@f>vP&G-7w38l2Dhzp&@K}sR%+% z*%RN}^%n!AvbMSZmv8A8w7Q^LU)NO7U}*=ERYxwd9aZb$_V!hhBWTx@6Nh^gN>;|$ z0j6;@@BuXxT1;x@Qh)&CCZbHOc7XAAE`zRG18c5j#2A|+VYcdA&NkxWYOaX!oZQ~x z#QUG=X>?`G79NqK?flhUN&MYjyxL3bfFEIcQvQQ@<7v_*PEw=EF8<^fCr!zPv~ja~ zrEo6yN<9~IbHJ?3s8Zq9*PGO^l>v7*6+1>}zrhslIg_J3XBX`I*&^Db_L13VPTh%) z)OGHb?_tfk6Fe5jRT!VI;~(698aF&4^xw6?eTOBpRn}M4wF4BZCp8>A=oNsC`y3lJE7*6 zCy8@PYV|eW8GdcWtKQa8Y+Dt~iUbA$NJ+GDSZHBRri7Mgh6}+e0ue|~B?mcJUr@p9A+cIkVW(){X7o7i_R=bM^QP`FTSv+4=&5KK8bIh@_xV)LRcR<@i59n*( z_A~!7MGlwmK;?|DfH?*H@Pwj?IlOEN5Pw5{L~Nxt^0FBTFPj7RzI>`wWcr@xw7QPS zP-9yy$aq;>P`#EU6Cl|fE7$H=h<^F^Xt7_Wi_rF9_SPztN;Y!Un)W6rjLU`;y_Y4ECF{_3C-mxT*v>!gP)9Ma+}*>%&N zU6M4NgF}=x7#ZXWMQ0$l3wHGLf&`t_P-O7`g|6G4G^v=ywYikq4fxlU$Wj00)!S!Q z;8g?i6ft%OWV->f#X${P$f|(?Y#s^NL5!QSN;TFvlCSH$o9- zCpVN=fW<4tdjl`Al~%}0>6PmQ7nQd~UOL(L>hOI##kSRlOwEUblzFOoH2R|Kwjon< z1j7cJ!c%*#9IUdMv*2nYYTiod=zc^U%}v7ydcfW*y^n0-ZL)AEOFr8Z2(Rn>sQX)& zexcRPKykaS+3NbzYCt$k{s1CXRYFnWt8GLEVgUTo4E+61Q75LG`@>fUO6E>Xv55WN zB_XC)V3B<2Tk9Cy@3Y9flP7=Y_7sh4-9J0JoQtRO>vq3AkSrXkQYHFh)WP?yW#*|+Bi>*S-sLZ*LsDWnyqa(Z)SXkrWbdE(utDn z1tCRoV(K=fOTAr`dKBX7k$oeuXuU(i1|3S*eo4g9YZJohFBjHadwXRmeYE$3x@QCP zc<9g2q(-y32UKNNviEbS7EA(-3pbJc)f0MVVrLq*PX82l1$4#c*Gn>DvG0>~Z-MK^Q?h{$4+RW(cn_se%qx9Op; zXg0N#fEKnjN`AkK8N%8tmrY2W24>IlCc?#LH7^JoBH3BqbV_$#VkxfhCgh5w;=IU& zDCoA5c~`d@EbqQ_Cf%uM5#LBMp{gkhZk zGJ!%G3s%k-r6Wf^Cps7zthWX!^wRPTjF68nD+eI^tW zfoU^w{$rM!$Rth>CMRbRnMBTGPzC`#7s3kK%!ja|%L=)%gd!y<$0*U`c}ir2S#gMm z*`VXi65y%19fi0WTpGkp=+72U=+A*p@P|S#H>rcEYZ&GM z0N_k~$sNCf-53{(F6U$;;6oWcg!#im_wxax1yBS)u@Kc_np7?1VP}90wt?xAMF6`P z1@S7$G3_NHo8~7PLKlJtm&z`xEED$u2r;U#ULP!XFqSNeS4@MEzBw{CH@A&ueFLM( z(HkhHcLmV1{5yU!@8P@e8S>FJ^gsbd$irHzACrmGPWa?5D6o}PD8{=<#A%O6 zoHm2$wB+{@U|W010@7f>c_{$w12py{ZR|3_+Q0znt zC?S}1*+)RJ_d%z`xG#i@ejWL{&lDXCporcjn3oC}$0E<{Ac5H@%vrz^oF%=*spcK$ z^X5__E2HZ~D-0(=OG5E}-n|s-zfKYAIJ}D<6HQGxYKhe0$zrfpgu!XRz%RDI)wnwy zDYn%!L@O&w-EHc9DO1V2!(cs;ME0Mv7g#6`Riuq)aEFf-8IL9b0~9osM;zqVa%CC6 zO~iPN9fb8*Y%szs#!J~jzR!^lktK{YF4xZEzjmKD^O&-R?gA1bfhSQ=&<@Mc1F}jtoUUuU6<;wO4>^*cAEiyB`ox<5UtjUEu(r(w^t!}zP|M=CX zS=J$Yr=O#(RsY?%+WGCc(UA&0f+;oy={~5|kExCWsTbu}o!G!WO&r*}VE#Dq81s_R zF*RMG!OgFq&k9#jH}{Oc`Tgj1g2OV>>z0du>bU^fCbiXa>cNw!C?t5#`(=<1yuba5 zta`{Yw62Jucv-r|<9QvvZHl4TE7;S?%*8RmHeDr=qV~Pmo6cKsj9kgzbbdj6(>Z0& z-*nz=r1PYp&a0_L?%D_E=f91Z<#l@g-U8l~O4W|P>3nO=6E?bvmeDXDw|qxfb5*n= z!>$3ZP5{@g!&3$_EP~87-vEf4LIdYen{ScWlLTOI(VfGW{*xF-t8arn3G_{=XIs}h zQhgSr_YRpoxeFgZiKYaKWW*qz%$^_^Hh^^8L&~MF%Ju}o?;Eox_k@7|0g>ad+|~MO z##iAcV=6kV*Z84_u2H&@%Zpy`7ZxWtj$%P2ytgiV!x#ylMM;J7GA7wtwShCo%1Oll z-*6}%0=bWn+%qJO^s#9086h$?anM;$jG3CJVp9{1R$Odqo*6YY<@bo$^70tV7Uev^ z0+CVhbWtmpLs*v5bD}sbO{<^9I29;;PG$oh2|DnCsOL+Z^NC5SlpX3loMo7~qU`n-$7;ieG`(c>n5vv|VTF`h)-C|mDI2@_}?|G-yp zFOu#B;$}Ag$6UV(QYvkncWY+#N_?*ON_~Zyy-cxIz65P~C7#*KGC9fo8UW(SXZFqu zLqdKmmD`idiJ4ZXJ6r$EoAHYWrk3gX#@S2dt}4FIFR60N`cyaA zN%|&UamtT-pPwVidEcB~f0oO=URTju<|`!9`knAi>z)2DuAQ7Z{KcO`R29w7ZVFbh@l7bL;`b=XR0&Y+ zf)@N&tN3PG)plmJ4jZKK-f%ZM0;n!6PVKEbpQ$>Xk$CG}6jSwO z$f8|N0JU5<`1Ip0Ox5VETvfK!#*a$@s&#`svGuZ~6mrncA&JHYe82K+8#TRc~cC%CbfZ)k5Yoke$a zH&shc?xttau`g$LoALuflb2Aqz(`yX0q5DaG|Ph)#N%Dq!B5Sjb((`{N?8%9Tue7) zu`f5ZZqf#dj%5M*&=fFLz>?#RR46awtalMMnd`1orB0V5LbCl* za=_!_mQa;W>8m6YsxZ~=$cz6YRCy~SEd{@P5LI7T)?D8xznZVR>Bh1Fu;9l=*GSE! z;p1hXD9a9kKc#fO8cZSJ0D^KV2qeR=JZ<<@fUka`mwN&3mJ&J;;#E67^xh{kX#Tzq zN&*3-9{^HC_{AeiGPBVyHVcAgsUZ9^57Mu?tv>yF0p2Rv!oy~J=_x~)zhNA|pr{Ok zse(B8!)8BagppTuCmm!*VePHPfmX|ECL|SV;O$M1jALKb*@(J^JDEHjM^2oDnk?#~ z7Dd!aK-60a1lU(?6$BP(ls(X)jzThZ;a5GxcN!L>B`uYKtUe_OG66X?V8dBz_;@~O z5DXAB)Pz7!IYP5W(5x{OO|+p$_HRnFe=~R=-ZWwV<}B=Q=J(VE&H;MoplAUfYl*;B zWHZST6|LM!2ZfQ4TC)&R5wJ8mk?^=S6j@+XGTU(6VL3Wd~@{5tn4pK?%*g44SwsMcHtj+)ZP7iUa9&W+QQwNhqBVj4smR zj9ECBgim#~WL$JnjOK1OP1b)5w$d4HcNk<3cQRvsi13~)#M_G^*WUOv4)R)u@ls!X zXoTD1Vlp_UbXG$%$D%B1`qHANA7G-jJ0)uROO9o0o=7rJQy@Fm;LH6o;7S)|A2ZnT4)G+0EL=KNk4RF^ubH|#$!tBV1ZTTx~%G8+Blvd8x z}1!v`K253T@~Osm;C4%?M&U|=up2~sN%~p_cqHe^g`RJmTn#tCqHGL-eCG^yBqS8 z)7>G13q}xUyGxs%cf)fe$xkJcoJv%`nkGoj#Ghen@9Znn$xlVv9I9Ep3Kt}OZ(;er z@^RxI@SOLJ`nNY%crS3ZW$BvCP=7*q%>Fj@1kd@6?!WcLq9-QrB+NE8DxK~-&(*K> zc-#AhM+MI1*Yy(D+;5q@GokCb$yFbv58}eyR=oJu^s2zMb3e4@pNFRft}c0JR+mOA zy__=#aeWfcM%*ZITi_gL98a?>YmC6vA@9_RE${lcLa`w3`0-Ol|K@iDZuhYn2Ulg^ zYtm1+)4ZkkPWS|IQ|h(&RjQW=M&oC7YF*m1%H*9&H;2v{TDedVx1!PM-|w4W7C2)+ zw_@WC?&p)=`PJf1w2u*SAgH`8wkA^dhcFJXfQnjKdZ6 zeg?eg|Jvjo1&3soRX0b_Px5sRR<3o>+b1BK1q1!I6g+ws!t^?Kf~o#u!Q+Cbc7bnl z00-4XqlhW1U|q06uLd5y@G-*)IjdmB!n5o5_?{q0X$r@)S)mi)<2fA(7!y%q)M0Zk zU^DC>H~eaV>k)NZeesDcCt0#!b19dUO_nU!6y$w+`wX8hmLJ@%?yPI_Wiu~IN!cB^ zTqi$XykBLMz&+Zye!r*75fiG)=d!v(^U%8j_hsU=wl}9n37p?B=ZQB5B#L}k0^V^8 z;?7r)`|0p8Rr9Dwktpjm*?8@8TH$?2ALpM-*{Pn{F4V~^{EpRH{#SzfvK8` zQavw=8z4XB1_*OE-2%c6r@3p#U})|{QJqbvI`abtnxWGfYT}u+rU!b%F_>ujSr(W0 zBw305RwI)ETx!95b z0enaTWC_y}SPFx7G6s9hO zSV_%cvX)t5f#oy{On?T(Xck!EZYicojTSlDPoJb$!py9K0*Si=j&wB(Sw_IvG8M|%bJcB$g-58*VZwS zW!Wp8QcVv(rQlymKNib&0cqcjCsP}9TOdncM>0~(`Y;$L%a4UD*HgxOX&};q={)n7 zjorpe9d2OqPwNfOI%3{G+b}L7TfE@!xp3l^%g1=dGx1ORek*1qycYg$)w|)>p*J+Q z9SEqpi1CLZU>@UE@M|I>#w>TM_7qQ7B+o{sDVD~3SvhZG(?tYC7O>{9*&?XpvTRIB z$?7p0G9QA7&e@i7KNFhs3$1#LFwipgLUImuTU-q_Q`ptuTTU;>!X{e!ZU%9*ns)qc zkqi)PUW7lBKRLGZJ0^t0jV;Ao8rL2N?bFucdzT5gfOxQ*w?a2IWH_6lz-K6J9%)i7`6`r(gNGTxceHcKEE7A`F!xU=@uHNnjss(KU9wF{d ztxtu3Oz2G(3zI0T40U5P8+%Mtv|Fo$upUN|c21LZu|c=m=NKX0u&E zXuV9=3eoG_W}+5sB5FzIALXr{JFe*~!52_~@hirfeIfB~jASgh(2u z!Pb=|E^ki~m!G2&m)n$SHHA@BMsE>ul2#U{K(r>(%Hp)u{mL`YU?9tWrR4D0uk2SY z+fpsfv!~|aeE)U7@+?4f4vOS;HAE9v-!3n9f`eo2f(SlB!>_xUZQV1l4r&B&T|@d{rJ%+@y2cvbv_QIqzeh z3R{-Y4`~Vg2sraGEusIhDxsg?mZ+n3)ku!poqyb5*5bbNk}pXhWHcxE^t`cdN6S6x zIc(&D;$DHul-w%}c%02>^OLtNi}9(rM+n7J7{D|1*@Us!de145IL*;?V*`7Ap(0@k z*)M5iR|L007`>i-WpPta@`EOlQ`*9q4#iy-pE#`VmYSoCZU!#dHSeC%9O7JxOAmnj zlfHh7H|zs0ea*IZex|6HH%yX8Jw%{6T7s*(LMSmAlGYIdyH`xelderZZdtrInF#yYq&3K(%k#bn83ZjD-dtpvZ>$ zQDECU!)EWHgC)3RM?O)v)fa#J4K zfJlTPn5Kg1TDfH)7Ti~?=~kuL7s2oe`oMdRs3_ou$B@{)iIL^n=ndHX7nr5EELYRcVO@fWd~US)5h&B8-&rSlom$*X2}Dr z=;%pVr7_o#$6Ufs^PUBhMO3a*Jvpz5Qzv(CCbs0iG?XoyxOC@N^!fT5NU)v2*SOfa zfMP5y%WYxbDT`*eqv;Y0`})Bqgz@8PATQw@;y-gxL%@8WGO?jY<8t#ZoA4V9-j@FQ zw>YUgR}6H<_X#k%C=dVz2ch^0)A0+}x#4VqQNN+ZA9vEhb)3K?mR0&~5Rr|E8yY+t z$!)1_|3*jO35E>RWFZzZ8Z87y z*&C^4L?Z5F(aQ)2qou$oHCiMC?X><#4KO}OD3G`xAX!#mx%|%w#@3#YQ^sGwdK9mqSwG19e+%iaV;f)m@n=j@Y_t)G~=Ks}y(4 zkqn|G45u5~ZRH#o<^gYm%$Z82xZ{)sg&n$SQ=B#d>5Wnsa0C~*66*e@Fs9D1CjQ;vdndi{r z+i`b3xaPZ6!=lHVUPqr=o(5_=O6TONk$~&L0`9_i^nmMw>y|b?bxmJm%$mXC|Bchf z$Q7FdYy;O8i#9QZHyixSHXE!Mw6kTTI6F#6d7?s!{=vgsKi?uULS{xHax5FPw@brY zldL$MJb?+kpg4<5Rpf+BMg~quN3D~;By++J-tZncAv2pO%>o}khg%6yd`DsanN7oE zGwcAzk=3ZxR$s$^0x+{#((qXoOk`&v`1jJ>l7w)=WgkriZ*nzHf2SQdOH_U6vj7u< z-^#vSj2OHCMGnA6PQ=G0784*BC`Q6shRc*d{s?HwZOH`4Lz@8CaX*PR0rFZj0p_R> z_mXVT>y7l0GRUj&>o?ME6Bd`jAE4Bv!iZDe@DxW{3`5p}48LHc`D~KlITewZ-v$ZJ z6Oq`z*`_6R(;s8tew9cRQcfgZPic{;anYsau(Q!cB&-~iv9iC2%r{N`)Sgf(WRa5I zeaHDOQI&>H4&;{1d{(XA$EG~D$S8?91yAxT%67J8`Bwl)=%ynPf(4DZKy+o2KG+O9 zxDCHDxZ?6wZHDSfpVZ)QFJwvj6jY>7VHVnYrDCFrqcn{@^~GR8Pov2n;$&%LLR-1n zrOzElf{G%5l%gno?y^Xqzo-RBF=_#V9TvAFeM->M2T+QiHIY6gZIV7DOSOJFEcgV9 zpueFoxH3zhe_*7uO2`WkN6?|2zU9vQXIak}g98wRw@uOqzAQ~cpY z>#1O4j0uI1dHa{r=RrzKAG1(eYtrXLF$pWTWUS<$B=e0>{CTG$^}-bCb7kL~($fnq ziQ(>Sk)=>Pp*)k=S6Q{$tIfI5ik0fmw31gx3Fz#=Sc?+S%h3mrdjdks0aD7N=vL!W6Cb!i zHkf!+Dp1(LUIS=+*z9e!X{$;4KaSaZN;RjP(LG~IC)(_&<#kMTatSFj`4?T9RoE;^2Eqjgk_AE)?{>HB9$3$9) zIyDK5nwfXra`$7e~vRgA>*gZ_JYj<^JQuT?Z3!#oKhXyR4 z@nktx`pJ_Q3gPU=K3NJhH@|>#1RiY4YSe%1M>Z33o-|-(6lBQx#jhYbu^m z#$prCI5?$n2vI4$q%>7&rl|(=U)@MCO%0>96EiiT0l;j{n{#$il^MOCDq4$wQp(zj zKjPz`2D84*RQ?byKU?<;Ls18cx=8j0Hn+4Z5QY;JWk3(qlU&+t z>J*z{2eIH;z2as=TLc#Y$6maV-PAB^l6ief*)%Yk8XnkJu+FMMt1}{M=dZ6TxwnBMz(_vhIpv~s1G(2^9ruiniGO=+3c4Di&PmRT*V$}HT8%H_o3 zZjlx|LY%zdi78CnEd|=vaH~E=@tx#$Xg0Rm@kN2$>Dlsv=c3x(BEQ1uZpjCepBIXp z5cAU#DImX^*%9VeG)@Xbqx`h-)0*H!>r+7#Ll*;vCUF-A2ar;2*ch5u7`iPJrw$zR zq(H5mXOyIPIn(*>>9dCM)b_s$(kYz6y4A0o*=akro2$+%gOQg0;;c7i?DR1z+ikOXsjt2|jzeugS^y0F0GcvrDWTQXpJm@~0DSskX?ell zjFPh0*Fc*983Zs4hN3JqZiIjgVF9Eme7YI&JPe@lgMAIP36QGL#2<>9P;|$sX~OKy z$L6D5ESP-V6<_TzOO|0pg&KylxOAn}*i}A{d}6Un6arzfk~41!%`Z5rTx&hHWPYn~jDJa5!U- zrPXcq=YA|S8fR%z1C&V(Wtr6M@}wS?MQ9n4hM`?6_qb8TS1E3jq0)1JF&rmxfh=2t zBsCZMHQHoS8=Ft6ZE&no!x5{3BUTMZ2qPTY1X9V#lt9JNteO{ahPpq&iUoO(~Ir9Zt8!)%8%G5Gnj%jmgaG06rK5 z-wgD%z{h%_^jpG$!QKFB6#{sF3h

    Sk_|b)<3Xd_{K%ts?+pdjI)v& zjECqjtqFB74*D>CGG710b|Jt@?23suV2mYzVE1_q0;my_ASzg(Y|o5%WgpqDR5Nb` z;)N-+!n3+gG^zzx!BsR5l7m*=f_P+vdQ3J2RvfaLgWbAX{nE2+^~xk8*b zd;z84hHf;R9nr+dT^ctW>Ik~GGRZ3=|Heu}y-sN-0eJ>LJWv3fbMyZ^|3z9=6^GJE zr?W3acVTmV)8P@`($U&1$G&4F(7~;Tb=U@nWi%Pi4o&)EIzq8N9@HodWgXdI%obKI2C>XX{+2QW-l}_)`HTT2v zDACH490CYYv7(c4-xJ>ujubzV-q7m87hl=XSj$4HDsvviF~s8rbyM1(N#KeLu~_>9 zi_295$!@@abSaV5^>a9BnDf`9BkZ8k6vqdUNrK_HB6G56ApVx% zbE7MfV^LKM%Qbb%E`goyKI)P(i=LAS()$$OAn{wDB1;`-FpCqxT+({o*PqCzrF-pv zuPr`_?Fye!_kbhV^NrUXzaYb1AC&X#khUaXrTEtpz1gWV9MAR}l#m8(>O#xnn%Im& zOP3qQc5VnSG`Aj62pRK?saOoHv)RRm^LBK4yi-7oO6SnO6ZYa>wXqo@<;`eVqZf}hy*^Ap&kNEIz&3uEzbmzG6IKIg2!~LCntR2|B4A!F8XhFnRi*4`K)22bO-Ph$K#+SrpcAC2!F0&m@qLITyfJuA(hTcgm_iPy6!_cA%<*5HiigkoENMvpvN zn9ljFbvzH8929LhP830he_H;gA@{8K){$&7z@)wyW$Yz7UX&k#;gAeg$Z%7(n@jP_ zm4NCGBm@$j$%m+Q6N@FQcDDX3!xY4Ba_npa54B54Bf!_LJVlOBcI^R9uy?BXhK;y6 z`(@vZdMsV0POSbrZlk=RF#tb5XbI}<_D5|n&**U3ASE+R9r_xZ_V7R# zEn`5uEF^R_4Unr^ac*4s0r5R&uNk88f0Vfqp`x*I1lT`1O{E<`Zk!u=z2QVwIp0ye z8Vus1_d41peGh&rY5Dty@RYqH-=FEj;;ZO!A!Zl1-^S!)hjl%MYrxM%h9qHzRrc`n zBigzolCrN*8KW}tmbF63N`>ExZq=0XMw$}5^fQ@$md;;BP3`_l3}Wm}N1vo-vdtpt zd~}e6^E@aL1jAOw{W-Jv;qbHs*0hXA{1eI0GP=?cLKE&DOO?Obn9(l)AKodLCZ-JF zvVTaHhN(R#4;# zu^euIm(*v}(#YVB!90c?Sn&@$iopDvLDb(YC1VTQ#)S+>8SMl?(dx)`X}zV-xXv$6Zxa43mw)~EqElr5{&BhZniY(qGO)lTe#blkeu z=0zlb-PfX5O%%^;%vW-dC&<8i_71b&^;w{5&v@#biv?f(leSTG?wh6{<#p}6(=U9^ zF06qft384zw-A4bX=D`e6MD%n2%50zY|5HRH^ma7O;+AuC%nG`EU$A-x87j)o1Y9B zs5!CrqR+Q_O8S3J_Gia-`5UEQ7I8g(TE}$x=Y=g5YFx=Afe$guu$CbaxmQnU_ZtP~0ZWzgI7^v1USr&r)iX!3rt~SQYZpRs}80 z#6OcZ>e+hhp1V#@g!`lq7$dA1FGU*erG|g6v=u(X`$>;HA=w+Vigr42!9sv%oQ@n9 zC66AVMa7)BXcpZT_P$jZJCuCBBcCcq?9*GkL_M9Ptj3zzOcdUp{CNBtpLCS7Qp%lc0gss{_3P)8v*RpSPEp zYY>NEU$(5VU=3ZYPGwny-4O{kR1eD}4uzz7%H|^x+Z}hrwt(^IS)1y+#r082pm6z0 zTUI7uf-z#dL$cU9uwZB;^)z2<-%BSy!JLi=u|2mR@JsjnAYo3&eTD$#6VmUQe_Z@c z{7#_2%f-WRMS$;O5&R|t)FqKz#>-bx5W?t521yx_$L+`5FR)>Q%RA$x`X_O5sOmzm zzwg0m<1p#_Nhf`*e~3o_#=uhF-nJH)>isx{9|VYfIWu$Xf$V9>I(mnifkxhY8MSn+ zxIkCZmkM3o?H8$A_P}8#pw+{1;ct~_y^n>NUs63uGXaE4RmRi)n2()5voAfZ4j98? zJ^k9o+6$;)vaw9BmhBc#+U45&VpW})oY93;R4&H;ijIxgX16R|)XP01OI#na ze=koBo+D9AAym+=Y;h7}juMHMpxn;AeeeV@f1i5-ZYo!+M-RnRc1?V!0$Rr)w}|uc zX!Ur%!Jgn+KhE@Cpt!*-C-|6e_>QbHHU<>F z2jgz}V>`IaZ;i;P^gP$<9F6=VrZA;2;^h}YGcFNrHKTOV;(Sel@h!!(kB0?sWdf|G zu}o~jQssobkOQY5q6k{mB}^)@2B~|bcEd3W(Y={3`FK*5nvet7=GkHuu8@LCypP1+ z$6|$$CE5)iElv%YZzo{^Df6n%-?=Nk#4N8(KdZKmAVDA0?ti~-lw;51=mhwwa`f{n zRmEU6A=M&}Y`;qlskl#8lA7k?fwQZcWr)NDXZdPaaA5h)Q3nu$YX#NG_cC?^0#hnj zX^SCDqwxons$>$Pcv}PLEXwPa>bg)p81K=)Q$?lb0M5U#X{FIGEh;JvUmdi?rx8p zd6v2*QPC+k`faM060w&Uuy}KbMHzx#G71F;K=koi;nSSQxvY~NL^wQ2NbJClMUlvT z^=uYL>`qE`g2JyGbrR?WENT$C(Kehalbp>#9#%HgYqpCIM`qFUI)Viuas_Mr&A~_$ zWtP%6b}7u6M)0Wt;i05puJA@_*x-7aOfLbQ%kf78--OdKmU~OT@#VZAMN93rjR=;5 zo+pmWLIu!xXPDxXO3#ds-EiL&O9y*a*iMYGNS;q05jGwHfu zW80Z%Vmq1Gwr%T;ZCexDwr$(ColI<*fk`8TF+i1>$I}O~3%4m-q3xBD#@e*7i^}#l0!kRr@Z6@^k}549 zi!Jh0b`4s_U9zT9!5(U?*UMgSf}P763z-|{hhm$zl$AK{}hcs{9fXsQ9@>tDO4O)%M_AZ)uW{O`5j+@uZFx(g5E0AlH2XqKcio zIec}wE7vA+zU0@PQtndpUcWhzgMX>h5t!N4M{s3Ae}KaR=W*Q5jU8UAT~SQ}x*+RFF~(v5?%$mF z$6E#UewN{j$T1|Ac;-xxin7oCubtJIO%^B-Ur?5OzYAz2{guU63EX`Am|UBFWT~10 z&K*Mntcc&6E0KvKZOKPWlhNpic^_37|`EKbZY?~+NZv*rl-DQDpz}2H~7rc zmZ@m3A%;<_g($BfED3@MozsE(wBPqZk)sUEb8P2Fjh51qWe>#uxhQ8F+En1gzmxyR zPnPnxjafm@C`+PQ){0nZuo)0#^(k`PFl$;Y5+Y*fgH+ck;0Y;XsrgoEnV|!e#&}v4 zd|@z5jd+E%Qz+U(k3k#JwjkEA>X_KuWmNl^S)*3?4R*f68@y}K>#o?yR)4z(#PRqK zhsf0S0Rk+JqgfRVAg9V|iSLJhmDMUmqpkL-D;ikQK`GlM`v1ANts4%cGGtmc{OWQG znAhwX#?`X`(NYEGFN zd2>5*$~a~QP1|Hispgg?9U%K^8}mK~vj75D{MMSCtH6PHs;)dJ)%*{bY##Lvw{X4k zhhM_=Vk)mF?5`?MkVJM~%*_(Kbce}W2+GfoKVRUL-Nl(C^iDK3l_B;$R5rYd8jgf1 zBKT-QC}5R|ZCdcwCTEBNJ?(?b()fVuYQVe(?yaS)A@I#tXrR7e(qx|Esm-PUb2R;W zZO}v&0S|Ew5ttu6p~CoUXs^q!h?jzOsc<-U2rF+fUx=&ixnrO$R-H$`lg3iooyN1`SOIY1n4UE(TIW zbFQA(!{=+7R<^&|B}^A@IllGPE!0@hjYp}*N8$`+3|-wP#C5#{)K^@Z-KDGur21|L zWMmokC#isG48sE$0!YZ~vdXxK*6I@x)y7l#6IT|odU&#v+Zm=KfJ$luAhX;S|g z^nXC+UHN9?xFKV&;6!-nj7e$japBB=eHv|t>uPD3vquK^bmkTK(9v)`m0ETuu{CZ^ z)4IK;mNndJFy2QgGv(ntMyc_hG|TbRf%Z(FCkQC$LR0z?>Z@W#t!%E{Jh>{r7I0yt zK_8gn*o8Kjbm<;C9GZUAdr&i^%$65|N9?WDZ3ZL}xizdkPc@mO(NN6pD#4-7BLTGJ zoZ>_UIm3UJ;wiDi-|3!$U#gcqis0`otzmB=0a>iY@4|n<)1?A}%`6{?kA4KJRgF?! z>S5`hiH9{pcG0}dsUvgh6q78QaRFFO`kUgE9$1z{+_#!ndc8nwUR1E`Fq(7-RM<6o z960n0I`JMdbr;bQ?2|@S)2dZa-Ad(CDg+$H+59@&f=KK7Ql5a37e_EeRLSoZ9K3}Z zL&;k#w?We)Z<*O8Zg?9`&m9gvZQHB`V--q6Q@`YvoqVRSWZ{w=qPZ|r%()In=G8V})=k*vKR**r)o>WUeGuW`ZoCF3s+b8`@FDcP6$9C!@KV{I^WO$H zM=z0tVj?MXS`Ro$!5Qx~eaYkDVcl7e95pRC3@aN)eh|90Y+Ks4Y@hSzSB7pBJYF$x zUfX8j6OB=xiN>H&Wqw!z=eEi9uR6)_jL_Gx2~z|L&(~yOd9~W(gn|Z)oQoPZ;2OHK z3O(FUs`U=vSS+fl(?Ac{G<62WI-q%ns_-^$%teYzGepFcE5#2iO@AofO;mbJ_jfR z?tS78KV#)O){8PfkM#6j41of^&srfI98lj|sL>Uf5*g1T-uV4W_?qM;v#$-SKd-IUALM5y!aS@A*;)-9S}hOP>H_>HLqs9Ol!&4Tt8@oZ8Ha(0 zA_o?^1du&i1IRsp>U&RNrvcCs+MQS#w5EDCE~MQNm0S!Fz+i!eMENmNK;v%42NQk0 zi*$SaiiLbxsyI`9R3xRD}o zA9ZdsD@m0|5X%TsmSv;yeU@XC2maR-Mr z@_@YIl>%Ps)`%aN3QQhgpEwAD2kfkX@00tg@4aphI z97vUEt_0qbox(Z=sfduO)^GW7IWjVz*yJ;F3d%n%Xi3$|P&%1R6vm!+@AP)sReBp! zpFay{5_LfHS-eyF*~Y2R6Zs`_`g=1}za{2fy;iFMMNVv&p8Z2?Ksp)K^zMQ^V{B18 zwI@1_C3qAf+j91C6_d>e=NUxf$YPRh4)pOXJK&G<>|BT$i8T;@nAx3Sqs=mN0>v7{ z4dk2RoNchUqWL^qf5g`Q3j3LiS2CmXnkS8D@rX1rl9P`$#ww@Vr*rIymoNM0^&L$F zle>Q2BVM+4eX8}tw&XYm_tl0Njx2&BTs#;Fl|*k|qR`MoC?U`YbziyIDo~LMq{I2L zx$38%{)RQ@2ZjEP(K!^#<}g3obgT{pAA}!)USZD3M{g6e+?G5;BfidrxIlA> zMXFMxD1h$vu`<0gTct!7KVhXo*@#EU&7CT9I-a3!euitJ#12qPS%bNXMQ%s37;GzAeXeC69ik^T?guhY8Eei_z?+Ejz-AZvoMae&|F$ z1!@HLwzzBo)1s8YtJRdrPiu$mFKXKemolQ+6WE)lVM)F*aG{%86Hh6wQ19o!m3D+~ z5$gfE53o!`GE(Y6XBY2F?-`m&=eV`OV6>&D=**ypTjmD1vGt^PWvEzQ7x(DHi-nwd zP-E^r4=ricEk1aT9zGr6LsBUrw09l7?>uQIO#^96(XOOo-2GYrTNcqZ(iGJ(lBSbn zm=RGZ1)(C(7ki$c(0r{LC#PE97NeDCM(LfG;ib;~ON9*C1O!}|`Z8N@od{4^G>=?v z>cT!jGLm4FVk8%-Zs^bTC$DP4m14FTZWcxMD`qhIt0(VEI=H?PLT!q3eoJh&)Bc{m zPRholY5m9@*uQUb)yNH6o$3`<*Y|a0=I&YdTZy)&HM?Fk(+?-%$;W+Ou}ae1VYTpT zcV+y0fm+>DtN_n_cXs%3M~B(*_8-5)Sp}BgjXx0_@!G84DcL`CU=l{C?L-|JM%jcpPiaZxm?7kX zgp|dUP^UJ~OlZ)2a#YK_sIH{t`R|=W-FgJ7M&PAuAb`uePh6i#9;6fD7d5uHm(@Dh|BNTug@n!bu!)po}0kC3e^qSX;8t~ES@IgCO{ zx-Mg%X9)KMXxHcozw3L}QHV^e(A`lRM0V!63M!POIwf1SBub+_MR{8lJ-Ud6L;O94 zNpnjar~RE)fcdXFqPt89zw`$aKxXESD;y#h1OS)g&=xDmzjuCc37D zPr3v>KtCY5M*ikcstatBFS_z5#DyI;P4xXt`ROy za6)fI5pLt{!YaHVHbR_dDFJ`^amt-e{O&>b5X_T^9-4u$vWSn&m(u&>ZbmUfV6h0B zEhMvFF61nCdKEJH>3%)<|By{e!#`UUO|XSF=rM7}I@<-Et%i_nD>oMqe=6Ajd4?es zu&%x5wFZddNT~THIiWX-o~_N@_v7H>C3L4yKNs z(S1uQA+200Vr$V z8VDB>EKM8Jv$1vyJwtQ|KZy0-;}0At^Y&e{BI#D0<2tH^eLk?t_-q3gkBmr~4qLNxy>&=kDcGTgJ2F+lrs$7T&#rJO8&WGM+ z@&P>|Oui$7XgrXiIfH)w?4_>#@}*{+l-7f}wJzl#g+3AkCPNr^JOd0?oe?l- zd0_wUFZ%`L631SJapbsPeLh~X(CAo5wg<;A>JhOVeV={VfxfbOMs%&tX)#3*dsOt* z^Gni9ep+E4cC1LJk&3WTV)SP%Fo*xi78%a2!S!Q`PS}uKkVI&M3OL({0N%sJo*XXt;>HU#BV|6iN?y4?*Hm> z(QoD!4zjopnv@34Uc85qib#*9!8{gpww}L&4UVFU! z>gI72DjyG=vAtY_Nm2gMmy_HhBBrMrq*8^B#T!jMRR^#ql=F}g5oI?wBQBpaNw~Qx z;g!J>jA=eQ6w|>N(M1PABA=^<9}haqFeTmU+kdBQkPru9C2`3!<>v2D9qRs%)o58u z`duAn=UpTrdVj9j@9{Ey+4SD?$vS#YZ3}n#@B7S~`0aX$dCZ-(i}q?s*&@Y1_jF7t zngQs>IQ@}sK=ZD%q$+wcrL#n{H8^H|qHpnRnci%oAI@DPHvk@r1WF4d`&JA#LGf0F z(t#fwD4IWig=(2dZJ2HpfH8-W%c^9nQA+fWBm2>6aO;X;-3yxJ3~x2 z?b}qC?gN(Q=LpNdplVPGU>7eC(d4&V=;`eVzH zP>r}J(F#^T~)|E1Ub&7wj^PXTIYo^JWU>C)Gfc6hh-tTrBkBT|Y3&5KNr?Dul zRM6T9*2Llv-V^j+p73xqsa8idvr5xYG4|=KvvwR*PQ^Sali#v8CHN~j;YQG*R62CAD@J% z+*Yx3+QOT4Qg&+tdNt|erhQQd{}|M}vb`W0hJbG|d-6hIyk;*Sw#(RK+HKA(pH1A4 z9wP3So>a7t9W{1B?);y9lNK%6Rj2dk6u6cZPL5&Yo)XCkQ!&dSuL#dTNvo~QbH>OO zd$R@KGv%)~NX0L1HkZbS0`RD1T zhpr8%rjy$@7c3;l(k_?%U-97iC-?Lm<0y=LErVR#3%9i2msN$*k~&P^bzMiUUL;1U z+ofz}&Ep+1(nUqGfG0T67&?Fp3&(#ZNO5+_t+zX;6b>^LK(e8Ji z+x?FH+*bEVdUobro`{=e<%gQB|8J1-aqltz{FL#@;%})w5izJ4-NgV;8ZP;xJO76g@WgIZXps;1ZNP>06pywr{U) zw!uO^)NAqmFjx_zj&8~A>H~X$?dqPhl?ak!C4JS7jaSqx@<9`Hx9*o9OT$)lP4g!`!z77cwz3Uja=dJ_())uE4c-|Ix* zM5Rn{*JGS-0F%4ej>E0KG&-MhpHcfqF834-2}2HXJ_RHltZ<~(KPyw$o#ZPz(xJg< z`P3~P>s6hSJvx_XP(>nOAA@2g7R^+xb8GDZ9U?h6ypWE>RNKv^LCs)7Q!*}Up&HDD zBNp+X`H*_7!ikIlQla(Z-&O&o{hIu}Aji zGJUz{RiMmT7-?hh4W9QAe{m*G@;Mpbn?>IW>Ex`C`5G45$J!TpiZO7|PD@w+d%4_d zoClwx*4bpk7`F?YsGSGTs&-0rh!2h!v@TkRj2xZd?f+k! z=EAM|GhPZK7C)gcf z7h^=iXx41+lW7^59V2HTGOpY=9eW>pl>xRvKD5!}Op z8WWvGe6#^jZF8Ddw6%zd1;PfX<;*;CQqJdG!QXetQ*^P@`s!s8lg(G$x>(?C&@b4q zyNz`i@H8uR(mI~rYiv6?jwVNY`7q*TF%P88&=>O%C^fcrtu9b>h#^1wWs7jbuQyU$ zUd3^+-2cCc%J`}kX7Rp2Kt6Jy{~!4W3>-`xOeTi33gwVOC@l?xc67@>1O-={)PPGR6%$~>V$k=Bz~WbC z2tY=g)Oe7G!;(jH9L#i7osE5)aoJe}JWD@rUUtRqTt|c2b`*ECm$$dS=`C#`uJtU@ z35h-6InLt?g(6-uT!*23CDy#@nJ#@hOtr@nusQVh)(vRlG1z^yG7^g&rn~Ab73y@P zUs*O=wdj<@;BB}9oO|=yFhZjU<}AOv$(t-U>QpumxEinOg+`vL)|)I=s{4ss*QU`i zeLQz6cXGNNOCrSZy$`AoW!+1(63V-cVhNaPul@XuetmbG%Z1>#kwrb7%P@V4BCwbJ z`ySCUI@dG$Tv6fs#ryT5&_}QrSFD#@29BvT`qHR?gNKdP*1#c3E%z+lR=eM3`|*Q6 z?y}u~^g%}yi($F1rTk1Dt=?u?@I4IkmvJx}i3N85x?uIRcA8#+e+J`ksd9W3$|HolAG6%A?tGvC{2( zfndFXXuj1l;3r%4?h0Vb)!CTF<9fc>RJhq&Vo9M!$-Ze$hPE9h_i{e({pHoa5|wF} zPXFNcSiGh%@E$Vxa%!_=yUAb~o_!lDTb!a5$Xsov`$@oe82FvSmLfy-7K_WSZ+F8L zy^@b#B@^p>Iu{TE#5%akL;X83(2}(kA~DdJ^&W?m2J(u=VK#oE&tuX5u?-i1Lq>1qK_7}{+WzI=Xalsn(@46jF@1?D9tm?yRDi|ch6ggybbH*&a{=}c6 z5mWPwgr=Xl%xWgSR_fu*vWwK4h~wui#xSp$G;NEdMMcYbsMf+xH~VQH{LHI|%XUE% z{M+vP=isP)7i3EUl^`=~2Ye~i_uPDoM}NfZc0SaGgNV5d-f9eW{}ThB zLBwo`Pe;2jGB>yzD<4eA?OZO=;+Q)RdLHd#X;$+16VfQFF$lCqkg`jzm{=n^l>$x` z-&7Ka>Oie9j3YVOB+`|F{_;boSOr9XCJvwBYFHe3RP7T`1<-OJ{L<)<oCfNu z^tR`N>xpa!-rMuA@A992{lg%dT%#U6#GlPpl2xW!xz8IC9<{6&0&L5mv+EMepz1Tu zPHcC_YdH*dldb;b5F5?2d>d(rgb!wg$h0FI18?)yMzbn#_zEN%z5G#qlIxh zrHRA)B8!wV&LUeG^nVU`&6-myY(^p_1$IW1B0`&L&+W4Gm5Kf-+TNX%yUXHe9`Ue*T4Cu9z>cT`Ra|6}m_^z0yGW0yFhg{9X|zs( zqU8*0I=+!&@zBOR#?|(_37Te2kuFuHD7w}8@Mf88_5jQy(_9(( zz6G#g@wqHPd90-W)GxKBWK5ZMYtpJ%@z)D3qG{gvojP!;FaIR<=_SxuA+yu*ma~fa7AIk(OlWzztg;uC%^QPCGDW+%Hy6lSXf_|_XL>@^6JP5 zAXM>)(<=AMh++k<0|YMa65Y9MOecKOY1P73_r2NXdu9P;j}0Pif0no57P`{*7BhC^ zkJfSSNu~;8e(Tzk@>EN5xN&)(Vyanz7%}uQ0>)S$TELM?tnTrvtdxkXgAfdB?D2R^ zu{~`s`vA+Jt?RH!te{I5O7XO%Q`EIjSPFk}PVI?#Y$Q3^CK2Hxv=55jlMt$QT$&|3DI}U!T-%2QGVUd*&sG;ONY(ShQY! zTv?Vf97=F%uwsy3#GpCW|0_h8jX3+cO1jUchGn(KJAa&{t`i}uze}9U%?ip;eK7R1 z2Jr64#Co3E+m83Kw#WL|`$}pAGZW?_iU(Z^kf|ch?bz_OzGKu>HM3mLd8ii?K{0X* z#)3b%EsBWju5pVZ%5y*xW45t1l@Xmtcd+F)$uE1V-}64WW#Y>fM*YiM5)qgXDMP|6 zs)=76mMhlQMq_jt8WVAk;0!pOUU+aLJJOHFT%gZh0xR}YnUNs7a8J+98_%|%L2K0g zE3=I9MoXkfKYvh^IEK^w(qD!HyzMJ_;t zf!KwObqdCsP$pbhTfCPaGn7h0R_G>3KU|dM%Y?cx@n1wIMkXK|M|Y5W9!-Yv)JR2* zifaGzEPL+?zO_Y(MEc2O#kVcN_4=vNnAesdY6kP$3DWDVfcb5?GrObmA043gUla@S zKWnQt^d%#qlnJf?1$>7h9_rUParYqAdG49<`{tNKqw`Vn2mVDv4AHx?pcF5K;F-_c zlh{A)C#xAh%VQ>>ljYth`FP|+_M;WKzHK&|0JcCCK8T|ycO>QEh3cr*^2am&B?Ld$ z0oC|T3!1)dXnAT2cGVa7)qS{9ov?JRgR zF?iKWQ+agieTGkGi4RS4F?h55QIy{f>mBtGZEip3>{e>L4-?d}dl}4TfFzPE!dBkL z1QlGfXDrAkALDIDC$$Q|UzSR{siR=GvT?|w4fT%5%4{bSzp3Ngd|CY^UAMKQw+MU( z?A=k(qr^@Vy{^-D3TPz(nr@rGKd$2x-Rr23Sgb>TP?w1HRYZt9?|Fz^q>6D<{wK0g z&*U%5J?NC*IoX5cr`j%U+-c6)8OG5bN6UP5O=CS*8IW!uFoC_XuA|#nNds%|KC{?Z zPUH*GRZW*bV|pI6xe-G*d4YPDD_qLTke0)<>S^@?Y;H}0${OToXB^BhHJ@nFV{7rFQ}nZM zq++IuSbE6i5cyYG&n>dB-YUIIy@|pq8I7#T>-_S5Mj^>}D_;mGhtUVaYAhH&4Xoz9#s{I3`NJ;WCW8%R=!)i6(v|$A6 zRMKv>B_Z@87jvpCW;bR*#r!82?!LvH{Bm4=)8x(A2v}*QA^2UT`Yk^+;~iIpJ*#qv zl9;^LHpLAet{wg%%D~+`)#xlv#p!Bh5zqYFRM7HdLMQ**a!vu&4JTnqf=T1LVXT~T zA)#qJJ5beZ&Dc3F_CVPd(qjX;3o(TM=X1h=wa*u5r7?OLW|<4?xxi%nq-Y4AWRb1I z{+e>$nDa#qeqC>qwuc6`i&$c@+|*&x;MQzZP19@Ow@P73LDI8xTgJbZ%o@rgm*sLW zDNc?0K??prG~+)3+xc!W&JKG#*SdTwP4B66Vh`N6Z6E0`*8{I+>9!rROJq9@r(Ns6 zg>PxF4(noYMyjD+uLTaa7lyu9BQ-B&5anNCQ0ila1-p$<>ggp$wB~!|@;Ks9}`Ph`DCZi5r%^&klO&9|~H2o>V^Ve^0s#r%|aSV(_P;Nz@p?pW5^# zM*Z5$KH*knja>t;H*9Uz;87TOW-XqE)zDWEA!JeC{mcHEY-xag#uF?yK?Xy+)ZpQE z?}1%+I}lk~+itVS6R4axx-LaQ+hi=Q|JjR*t9^2!j!{cA!-(|5+j{GomIgQg{Dj=7 z)X_*sl`+Ty=@cl5?600er75lvc|Z`SDyZgxNd=boJn9WJ-5!$3%P&q`2awxy`*S)F z;)=kvpjOF3 zW^)KKIXQCZ&K&jonTH8^kP;I$7kb@zQVZ?)P=euYXV**?>A=2BK4XqT@skWKVVaqw z@+(dJP=!iR4y1v=1t86OxD0GogWcL(#T4d4^FO0hecY2&_&611gOM-E;IMOM%l=tEe8uJz=C6e|3p&~;M?Enj^2t%zT6vB!! z*~%Dx;It-M6t0MEIcg=Q7Cbk*GKJledy$L*5PD+&8C=HGBS_w?zt846x-I6OU;F5p zy>M?-63sJunHppq6|lo&RRy4eKtP?;XGUsmm)+IH7%PdCAXj~9R;0zbA!z{@=N~;P z#XyawW72(qH+KHOm4yxXQ*VYBoBv9n-4E!YVutuvbr;_HJ!SAUwXtrvxlb}INt7kH zgDC3%?iVNA>4Wg-Ws~-kj^Xe&RP|F_WAs&`YG18Qd^$PJrxIiyKA2cXu*BFNzFZ^_ zUnnQ=Dj{>7OYBcvFjodU&K9oiWje(Q!~5165pH$gz}USBy0&ah>s9dQ?tsY!BH4|? zE$HhMcQ1DZevJs33@bq@O@yYYq{^T^TO99z(tli?cZxkCA1KrADv2N?d(E(^#9|bW zyGYB(Se^l&_Gh2o35GjeO|--5sT%*B9u*Y5ted`HS|BEX`}6^AvZB#P~xJ^^KYX-Bu85N9U1 zwDzaigTUXMZt#BgH{D-7FnfgI!${$0B(nC%w9|m)27x(NDM&nBw~0+h*?9vFiNBI! zFDyem62CJ`FlTLB6M{}A5~1etS?1gVt56;L!FZXh;~m<~9C zmxK>e52_eYWj<~aZ?4!o7S@eX$?ceck#4!{*2bkFagv+$XFb#TeH*>?nC6ZE`Fs@j z9f-M2o2@Kfqt5=TQQdD&d&DU`YSx7%&x{I?{jaDmR3-?!rVJ0vGWt-f_iaHuLfD?& zxjkF#x9RWIquoi*=H8SI&llb$0^;?h6N2 z9$p9i6SfnH2{2S6fhju@Zm&ECM^M93vu^^!69=sHzG%1e@1qT`Jia>yt54C{qmb@t zn72$G5^oap5@49JP7+j9C}>UnAJ2XhE@|HG)?bNS-%~st(}p-Sy7VN=LE#d6)NuJb zVFaLSKPJAvVf+VN=Lzk6n!z>sCJGHIlSA}`=aIBMjYQK1KnFz78v!4n#dsyWVF=%v zrtF&$=*c=ffV~an`%AWxV0w&Jy-*Wt?w2lU>z%%6rQSfkD5eK;J(p!e#e221Z$HGw zFFM#k7&SYP#z)8w?~7&0x%Q%dAm_7TCcQKi*iPd5LZ%)7U}%oT0oqR4Q8w+&Y5adn zucOd+($dk)1#rWz##rP)*kWEa3>6mm~n(YY&b z88V7Shlv{Ap^AL)@eznh>yH@K zkbqMHsd1SKQ-o`|IF=a46wkv7cnl%?Y7GWii5W>f1)p{z`XoTLs<&=`%bM7QVCQi~jsv>_y5+I+APR%jO zeiLlR5;eT^R%la31Cif~xnOB4(~21HHc*S#dNY4>&zP2TyPh=KhT7xzf(2b_XOnSQ zOC90}4E!Mc`^cri&E*`JzlxIg1E}q(+)eJ!#eyop_(vWL+MRZV6 z{8;9mSkD2yF@q$B>(2QtzZx<#Hb4OD8mO&&#;|ziYr*dRtMV5KKJ>RU=CLk^msgTG z|EAYAXg6WOQoYD6LybE^#|Q0&5vWIApF_*FA#H5iP9OGzw|672N*p{;!phvdm4Ln&JCkflvM-F$#MYD&3Wy+20z(TiIs}4&H zg~AGyLw1FAh65N$!qv%NbIrJ{yxH;3zsWm2t0(fZ^pnsfL6~kh)i%p`h5?jr zp!kZ7$8Uh0iHIWI6%n#srFZOJ{CJvXapM|iSLx`sBP)pV0`)_@%3EFANS5q&_)Skb z&lHBnF}?z%N*?(pDL;6)mufANzELbQ+_ys6!)XS*&#d{}ssxby|AS|}Uk~iLn^63< z>887w2ypjqHS-?x17ioYwaeB@IM{+BillaskaKp3bgukdegdJ1)F3rR=v>P6JNp`G zJZs4)jR*#Du5^Zm3pva7cZ~|81Iu_E(${)NnE^tw&0!1tJ;izkd&8ze(os z6Ol5B?+hUs0Ri*s%aWVpQ5!M6z{)=7i(NYqD-M(+n{Sg#6TewSpW=`QY2Z9qTA|)q zzFP!x|F#I!R`#8ptEg&nZ+i9cXc|oyfw27vS@Uy%%pBskWGvN2enETEuN}nq>JxSr zZ{xedXbc$_M)v@T7SM~KX3ICN%#S!8=ofYl!&urQQh*2IumsHwu_G4~kO4Fgif)c) zAB0m9pZZ&TL7=iIG6@u{X5-Xi@d)IyYW@*eKf^E3EQW0)<6uK@J6x!M_=^I)zLoN!PHzutci*kni ztm$E~@Stqk%3{C7^C`%JHZxMg2@JU)Vag1qzFy=mHIzY&BB$IZ-={|NmdICAe(JBOJ<8)$G z>Zmxl-!9Gh@Y)gCsXu(bR&{5RqhW9)D?KU#W==(P-(q33zvB_O?fs4RHrKUhCxx(X zV_I0+>dlTr5pn9=@ZZ9Fl6WWH`!2tiv*xuPHXetU$xbn=dix3w;GJ^WJUPAbmU7Gx z8x`wx(nnp2u{BU%7VV*z$VTHSan1TnL?X2B#YGG2^hw+PY^P#ZU>9r0$9zp`jIAJV z#%OGft(4gfW%aP%VS}oeXv^S=(61D1Ao7*ja5vNo2kgIW3@S&dW&UO%@Q;}+7EMgx z>C=eA_T+0Ln*-wy@{3MVJm&}pEK8?F_tb04;1AECboEHigCc;or#}KURwKLjHjmXk zy=b0aIzRB6enRZ7(MZIy87@zTl4%?@1TF)xXMkv1vpwuUd2DFd2vKP+_TfD+eG?Kc zBW4z|P|9pYzb)8XW;bvfJ~W(>Xiq|}!r5kRdaLY1tdy3*_C#?mHX7@?IV_B>yd;O8 z!GzkA%YhcSr5RkxOFXr^h; zFcP47xI`pazlt^zn{!lNK5DB(A!bD-^ll)`>W=duV+mBY9u;aT1$fq!t5m%`91X@7kT9hZI*IA=(bu}n9OD{=BV}?Mdjw^h9ABKfv@DqNMim@ zA;{ZBq(;LjUzW|#bU*hHs+VhK;Z%>p)enx)slHGfc@?o@H!|Kib%n+~+>%@f2DiscfvKTI}(=Q3+{1FS1x#TNi>$Ppk^?LMIm-#Ru`nMUzrane; z410cL?8JoERMBsVHeh}Xf)NzEr6@KCFDz%H-jbtoSETms(|t3PtuMc{nwg2u5z>qGYp`lqj5FRK588q@R_-NOd?WKS?mgSGV29eL_sCQ@}@ebGR6 zrVMVyAHU`&d+9dhK+`M*mTzW&DCB9=3H@9Y#<}Ve#+C&}X%m{6sQYJW&svn>3$^gA zB#yXDOibyH4$Ly_s`)SftzP%pAGTyy1@eS1HsZj*&L)KIg${*6Ch!O9^QU2 zAW*?XtEu^$N@X$7(t|~k3(b!(?Y2DSvQSWcXcqrJB;Zf>U=CA_-WO0Q3dh($NpxX6 zwJVMN&Hnas`f2&VZ=cZ67iem+09QS&5}RTOEG)9p30cKDkBqsr+AFH4Qdmxh?^C)+ zhbD~M-%ZxVIs(8PYjbX%bEt9}jdyO=tzVXv3hFmnYH+{ArSs{BFDa@O0^zMmP*qKe zSRrZCIhPMArc{5y(4GvlmZ!-H)?=fE35N97NiL%Qb;L17SuZYP&V8d$HiY7A0vWNbjZkcD(3tF>%$(ZPncl{g+hnp{)D1P>3J}2) zNNJYMKqAJ_S`geww-#GMkN$ zH!r%I+-7Yy#VOyW(7kt>N=H>tc(9qfL`l0AzNT(;We*>X+?2w(poQ5`p1xt2?K%r~ z%&+N^xSCN7Xw0ae3s7Y%2;U6P80Gf%K(!%qMcC2>j}ESo6JsslW>7D~l+(AB&nn}J zc1UT24pk{t>uVD{in@{V?TWYw1}(~UDY?EBh~-&8_#N9dUmlz5Kpv!T8;)e>w#aMo zeUy>gWNTOSCu5e2RqaU%7AB`!r`7BJydR@u;yO4!2Q^mHac^+>+Epd_A*z2TW9CkA z6sFiY@u#Ha%wWDKj=Ci4b+_@|!Z=qTlMccNvK z_ZN{xBsDE~QN`{;=b&i59V9G!Uri??TszNu@Kt>^+|O*()j5}lOnTICock?%iT>Lo(R!g*|K6S z*0_j|M}Fr7yz(C?N%A%6uw$;V6CJ%dywiFQ@g-x}PkRF|ivujAN(|9C_m_dVIcNJ3 z!Fl~w!xC=Eam5oC9%U$?_rt&f)oz$69`ivwge!V5AY7u7_w;uCA8u;xmcnPQ zaPyF*nyhU;1vMJtm3G3uJLV65We#%JWCQpRHOXM3ZTb7fG4G9rgi6ks`A-(eRG}zl zv#Ay=Z&C|nshPQ(>rP}gew#X`Xe|?Mt&jc{!$VgvnD!H55gS;vHq85BS_-sS# zn%JD!wr!(h+wLS2+qN;Wt%+@$6MJIL+yDD{KXg@Bbyr>e;q*EC?7h}+orHw6{3^cU z46@~Rtt%x;s5?(Cy;vY4fmu01N*5=jT4ABHK$xXJCp=e~E^E73L^i6&FCUK062`K3 zOnRx|InLUZ_xC9nyzZjUeo@^ic8{LI6|*M6fEP79E>R#Mk6z2GY;mehUc*|R+UPX8 zY-6-4mO&JX6TL4kTCHJ^*gR#KH78P5g_=O1ja?{%bUEbz7;N_cxnZaU)$D-HJG^E) zcZhucnQM*SJiGA3*gk)IaW>CxAHE0;*qJV&9@PWRbxN)H_!4ZlrxQIFK1&GH18w>s zck<(OGZUQb9?MzF9EjAo5;J4UdIP6Mz+>J6n^nt>GlD@OF?|}h8w{1JGZu}Xvwd??*D(s0%oXrFoN#o;;cU>t9 zQwc1BMGfpYP>)OppZN;LL}8T%#4?r~t-#ZP3I}G6w8J z@&62ai|2ka0s3&-M+N9gipD%k{>@pGCPO%tilG2d<*o9v2%II<-(yL|zFLIzU(@Tj z>?5*Ur09u(x73g(ib$9IH(fZ-x6kGrpsBIN%sP?yj!GSteA^R%^ZFfcH2T4dhM|@i zR=b=Lxj97#UfE9vZseKCE0vr3ZB^c6n&zW)n z&iPu8lKxi!(c~g>#t#fI(N{v{vjk2uGI<6e`S&U?=MV}jKMcLJDVUC6&N1RqmC=k; z-)frTA(5q}_mmw$w#wqttCOVzM1=yx<9)gD@Bc6le~cOit*kcwAR>!iCiHuS>0wIJ ztx^$p-Kf%~vW+_)YHP5wte9Z*`=vG8A>)%GF${(Xd>2^Ng^)7+ygR!>X+)I*bJEnU zY?Lcc5C85)4cRUSh*A1uq+Ec>p$gahQ$8E@+x-1ga$ei3%&e|+L&mLKIS{j68wKN1 zwoZtQG22n_%ug@P+K+v|gm(6iJCYAR%?z3Z}z9#WR8Lybp5`}id{~9?l#b}ZSA-Jso$bwjWOVR57;te`$ zvLtEuicnSU$x)|^$6V4eNNOe>r_R7${_wT59Tzhv2O0( z-1$Rc9wzI>Qd1nJNLhqp@ohm?D$#LkARQ^ct}XmSsOh^p_crZe)uMdi3%+azQrJ(Z z`NFhTD;S9KAx?UG@if3Aw&BXQ$t_hhMhIUvO8;8 zpDRd5k5(1)H7{P zSeW*WvOUU}96^mkigTY&k+?xs3>h=6{V1=)lzBk<#U{mJ{O^F3vdm6XU%4VqKWvQ) z<`5QTb2bEL*DvQd(Jit=CR=cTt_4JYehoKxka@6_Ap8z5I^KtfOuv2k{cb zW_tyO)?(Old9duZ^mfb;)>=eurvMtOmedZ+h+Wgx`B)F!LvdXN;;i&gdko6cQ&k@- zMC<;4lvwozD?Y=}Rn&@Y>sL{V?s@S;4NsW3)=VhQsL=lYG3VXF{c(znslPy2!dSg%_^McBa{h z#Bb#Hdt-AL^FY>*3jS~s07d-?M-ZlN_iu$`T=(Tm<;aiN zX<58=>xCh}hVU*>08-yQJN;%>Tz6SgQ@^wMS&8m9HN>T0h*WQDXPWX?yJkq%FDp(fq?DTS`-f4ONQ*g( zAIUUiVIf)lLm2ADJ!;p+w}0XL^e@f>3pm8f9Llp0x*wF!N1Sod7dA9iWNZhS(U^ke ztekkN4EKDvABj^HSjyfPOokrrrrf}VuH5aH715g|aXhogUniKOdUs>$z7-EXOPpRg z*#k!cUM2KfM@R$Ee$4_b%RBN48;WonHO;OJ)~>5{ZppFnKStyKd>wN4#MPzV^6vC| z@T_|Y>Y|s!a^0=-inPX|4CJ36T(sAbT?7RcSA4xUoH(s;Iv(@nY)Ja<^W->q3aH5F zpp+S$`=MO&Ml}o=^=&u%10!P-BTmv6p)QD~k z_iOHODF&_B!J#YIg0P%Fl{08JhDU1ZJGf&VB1OQ;SrNzf2%b+XsykMx-E2V{8=E9S zR|mr}E(fXbo=){ykqfG2!+fhrF?3B+u4L8Bj2;)Oy2|QcXF8xknE05&&AB_Ap^omm1~dg~kVah~dlhr+Z^Gh<4JKGT_qL5g!7tTui% zZ23fD1uy_H=n@pBTl=T(*+InHRN(M%aPsz9mpql z;pc@(SGzP~eHH^9w&GlR`kGUC(qzAIf9Bgd<|wID8gxBC@wK})kDU^dCjk)=Hn8jc~H%tX-$n;o`hqQKGyt2#V}G zmAo|t1pEEKfY?7RLK_8NT5q32)E5a~>;&g+#kYU?zX!hJ!ah7-Egc{O119R2g*|2ENoM!jqcLi6YsGti+{|}^ zc5@X(!sV0sSRdB1nD74`hbfZ(`0VAi>$8N}e{)SieN|d-Q?UeQV>pPbainuG;WQtA zcH>QMKp``J^pJS}!}y94Jl^Gk&nw;BDkKtJu6MsaG(oH!w^ z89Ct;%77PJhiZ`{-K}YYO-GQpBQ9zS*Z-g$o4CpnMqmY%I3Q0GT(a&QfaJakdFkhJ zF=J8TM`eK36nw-;PJz`!LR1)i`VSWuBJ!OTixl&56BjI5oDXhOCrndjD*;lrZ%(Z$ z5=4q_#W6H2!~YE~54EB0sAX*$@L);?`Ef09kQc-DX~r*6kZ{7}n3$%1Y8Z+2W^E)q z-*NtFnPA=%%Kd$Mp8Z)>8W#BLSZ~^w{PyXLdGPid*S8_8OC#SfW9M??`qH6xs>KwW z{-2s%ilda5yzXx9xybgzY7(}Z;vI?taWBsWSPZ|cSI_nab_ex;sU-Kv!0o;j(R0cM z3Q5re1x;lI?uPzAGg4w)_cQsKG*X}gJ;FKx zex6>O!~>>3$rkodsLhXqJ`#NK2rKAzAfgf$LYuEe{mYU*wR-YY0>3uCc^@ykd7riu z>gk^xJs$LzP+6eAXuOjCw^hrf16R-rZDrOol>WAwE+4+hJO~Xi9CyQ!ns3ho+kW9S zl|^X!pQ#a)|G^j2OM!lF#*E$?>x#D>Cw29ki`dlO2MNV zWrFZ48zU=~mA5MQF@d!}-<@NQ!>A3;>m2n*cRUU;WmFG6_fd@fi{c@_kyYfaMLaSK@s zjl2fQraF|sl&d+;(CN_^K-rgd1`79-W1ZY(AK1P8$l*+Q5sAw(k9`f+>ys!QCn*b3|G;C}}T&9cIjRBf5pc$y)r`aYW+wz_~RH#?L+0_|z95^H>?+`nO zYSPM+%$Qp%ehgqDwL9&v#n5Q{CpR!+YvHJ4RBUR*E-I2l7l&bRk`g7Pg7l;au?*Q5 zX~04P#}+71|ICOHYs6}APJe}B~LFJeHV`79VQJt9JAhh#v(y-sH_eu&+| z@8b-92660wEM6bn7CZ98+w$zUnmVt5bu30UI7sQDg9PtR5DuYY8#LT1MfAfnlq zM&6AW`ju+!UhRlkPlkS?nL$LjnL*SpamXz{Ln#^WzDU0raZAS^XUS(cw?SX!+1n_8 zCjE23gCx`1_52P?M-4AUA}MasIfFqWNqx+zl3~J0)ef0;XVxPXlTuruZK3My6TYrY z-%g9rewxY!|GYn#AL1_GE{D+kvyPV)uLv|QfIF+soAzb9IT~z1#vyBJU%#wgS_MK$ z1&A8bky1JGF%TjqJ+;^gKVNmYDIW;(Bj>v{C(4Yv(kXS(2)#CZuyi0X^*(G_+R04M z&tJ&M^KSe_{yQmIZ*}%&t?jh2yiOXeAE*>Qp{LEDG_%H*ym24jCW~E@_;y&ZO#1h* zeYb>s+La2pWJahet=vf%x+ro4s!pnHLtZUDkLJ91ajo3p8L!i-+M9K@Ma(pVvGFN% z5&fkONUi~AvX0x0$d;efCt+_cBu-_o6n#rBR3makVz4#cG3m4Ck(=w0>HWT~W?qq# z0#1f7*YrGG%9Rf}W+L4mz;(U)M_}D4^}-HDgIY zVt**+_tS%c9Xv!$kNFN0H=IEc{<~Q$jr)opY|_xvbXds5>}vB9x=bt=Oze6UgSqp? z#@N8YJGz>a&?NYSM?v%>q?qR_RGFx#L^5Bjf&&U?M}d2Sys6>xC9 zcLsXJ^cAmHHze&}Qtw=jl$X115q{w*2VQI4?1q$wiLe7J{YYS}e!nHrp!1)MU6ec`TABhR;nQ=r#jJ*yyf=J06M z2)ELM@SW#k4;#zWssAf3Zr)O2SO|wJdB-epvC4SwIcoOFmOB~~oC*@|LGsssgc}+d z7;0yDMN>?F7Oj^1rQ=Z4a?$kMPicut>|WI^&C1W$!jAL)SvF8TYUZkJXaN%I>z2kl zpsMlMl*;q;F$i-@B=OKyka7dR4?23|;E^osN@aY-&AdHgH;;^M#ie-gjqp}P9LURK z!ecLxIMe;xJKcXG;&zH=E%T+*O&p5(i@^eZSnq^=WV24ku5y28yh2rFt$^CVsmv|r zDuQtnQ7@<=4?-d%a%p>tW<2sF>JsB||E_w%+XYY64`!?%xVL{C^G5=5K*@AE2=~5aX5P9ZWZISu za9CEk46aI~W~f|lhdI?OgVQ#D5?kCHG7=3dtv^?3#LI#)obS(NQpow4&wVD?e105oSjp#T z`ea9?TB*Fpkv?Sw^67J?mC-~B#aQVJkm`b=L<;Gr^XBx|BlkJN7cQCZBQ%r_h{j; zcdeJWUmrVew4x6jt|=)GzHx00iSIZ@yr(!dEpV`x**1lBmuY9_{%PE_9klLmpD~`a zlir~*b6de;<-f>Y=aK8G=YR*jh97arTA7KWZaTWDR^zd!-%IvBMxhuFS%2tNv1d#A z`>iC1eqG1BhTgffy-E`R2?yudpT_yn@~sX&_EKs^F`%u&D+T-VV;ego(ms zWPvC50#`C}t#Yj>xMoo9;UbB5#D%Wd=b_uiVeI2GtO}2|(X-FQp9zBamn<8<2yCfO z4*KK~8N+QU^kM%6T(cPH^t3Geh)cbWR%F#Js|!TEd0eJ z#?!jH9T%Rap{DVPKTCMhGLwR&O41M<9&j_R2?Of0d*u@m3u8qCjasgyp#aD;qwA=u zKm`Ft57ibQbq36K>16;FOVFW>;DbFb5G`;2 za5T%An0b`7`s}httTrDy#yBxmV{M{Uc@a5jFrW%nz$=#KLNyG4%Ag1dY=8|V1w990 zu5(wQZR# zney}=wN?x_@SDHVy!+aN5YzJt@#z}N%$PR4`8XiKx5iqORx;$w9`ojX&9&{!xD+mk zBLiR%x>jKjyDku2VVV$K&k?#jYm}z{(M%CP=`(Zk-I(itW+U+^7MBT{{Fx&=oIcr2 z)sVLNf<=2ROwiJLSVfMnp6_@>JLpp6Zr%@ZQlb8(?ZHNUcF#udUz}1gPx) zBotR>$AT3%byjAVl#viiv(VJ?)Yqnq1!wuv6KUqB*8U~pHPJie1=s7|)j1|9r9YCx-$(|h89z$@Y2{=`xd|7g6WV2a z0^rSqbohVCwY(T!Konluic&F09y|yDU5H|?bS4+|M%3kYl3u+V^3{&)H70B zjUgE#xIgAl|D3)JZoet3B+xy86u#cM_H{sp}LYrBkc~C)B=wqEKOd5!JFcWiG(wl66u}%3a7_ zXH0if3smP$rzrwW$1Ri2723hDPuSqE1MsF-sMqoyV}PxKt89(fPLO`U*1+Vv@g`S0 zZvmN$^)SF}o;)v+uCKL7d)^ga^2ItxItgsO#WpiN_0Ldy@oCTFs4;0{pLmFI$o>00 z<9n5JqOzzM)PNedU?=0a+#JoLvw(xT=#&#E;!G10d4C|bVwe)NNEi26>}c1yZpG85 z*V6EtIn%H3orF-XgGISo%b9=dto6aH^({cIKISBIlxb=EVX^PB+U+`ZmbIkH@e9Le z#KCG~&b-G*!Iprou$T;{oVf55cxxfzDK&#nNSjWJ@_8JM@gJpd5`|@n6>|6RuH)D<;Ce7kLujgHN8an+OXZ<#g78k!jtlxJa|)`Qpn zT=GG3ALs#c0rCAr(xO|qy+AO8gMl1dV`y=le&Y3os0SDg?X}61GtK<~*$Hf6))^W^ zH{--~7Pa#L0Y>zVNGNzuFTI=GJ2~xPHW9GSWfI=GiOFx2f^iO)@{ZedF&)&J#o_d6 z9StIGDQ_km>D!M34bfJI4wLHeCRgX#8ZA|tA42GwAB+;A;0VWVTn>t!Qq7r=V^8G~ zYJHtM&r)z2Hqhd%GO1Btw|T%kO-jNjx{053Q;P<^c~50T?8o=b@pgIYWnf>f^7hO> zN^q31n|9`UjlNIM{CsHj4;6D617A82R@h_It|R*Hc_VnNN1(p{+ZwzXIZdZd?DVkR z^+FkV5xE0S#>0au(V@*ZIJ2l9EZAIC+rfTi=}L4L0^j_cD|6v zzEw<1@VzXqR+#`MHGB`3m^{-e@7moiRHzEF85pVQhHrbh%ooXN)&(-5w@0`e+RVoj z75t?h>ilii47tV#gR2av7O^dmuZEo;b;jK^0^$elz&6$X_Zz|%4%-n-N76K^6K^@_ z<*SL8O$4@Nsu921b!XH{w8=T(A_1d|PEzXJCEhPzB@R~=4 z&@Q@_su{H4YcZP(f6K-W~C`YgV(^b7Kb8MIbAKo5B)=@BUqSl>YA3p zjOv>_?V(OQGP7_=94m6{hNy{b+{qUu7D^?;8D)LgV~=F;%3Tjy1kE+UYGfLTjQ%pm zo{r#Rj@UOXI~BiX8i)C0mbs@%>qD-NF2T6+iWc7S{u1-xQrNyS=6oXgjf_&V*%J%T ztIH~KGMD1EQLy^)sO6jT{H6Im_-vTxF#_cCX`aEvwbcz-`FR@LLmB;oRGf*_duQhmWQ&rrF6K65KLlS{q12K8jm;Ky`>R<6>cRnqEy~lWSh_gu@EG(? zQ+)N*r^CuBQXfg(vDhn}Gs+L0Gb%TiP6b9_5Qer)$&=7KlRc7DL*T9>I~rx%KRD)l z{>zryTKta`HdROGcCF~a`jFv5wRwi$DhG3Ll|Axt&uxroiU-2a&t5!ak&(glN5T#S zWVOUH@_5&a3(SJX)~9P7y$eRTuG?$L{Zey&v<8%76~>p(=uO1J&Dp){2)1v*-?i-7 zjw=O*^f=~#+O5l?e*Sudfe!qt#t?(L|I>aIZ2iN+loW1Ut^&*R7Rk_>mt6!djmN9& zZd0@Y2;Nb|Tf&S?DB?rCFxgB@r2wV2M;4#FmibKb-1&il@w{@9TfXIR77ioKV z6&&ymZIe@iZzc#{Z$e>jaw$}c zHUnNyla!YV$pC&%xWK-1v2Re3YfHSRlFH`iLSkT1b=ClX4^~9JGRpOl-10@Ex2jRB z0g)9SoRi$Q=2(-j_TT$R=a<=-$fql9<-?nx-S3N;_(pnaRAh?OD;=6g&EYm z`#R?(U?;8kS!AvKKlexY!%O)998Vk2NVwXc;5cx*GUkuGs2B5b@MyzA>aho zcMu2$*p1Sp-Tb5N6C?4DPh9$xPAbr{P8#^}?SduX`n(q=e&v}p?^Bp~bm>#tQ7F@L zns(3X)g>cyNh!5UNTvIf+fKNa40TJo1R!Cs^i68UUF2%QhxjMz*Q+RKN7y%o`LAT&!|gCdWy$IV=R>Vg5*bF7N!K&P)5%po^2Iw9m$zA5O0m=H$c z|6N2o`hfuO=wrw?RLMo$gxF-Ix*SJk7Y|TyKAVPP?q~=ZFV5uL4}-Dq6|Rbm8Q*hM zJ0G!muu5ZNT?hGEdV!4MFZ7&|ANS;3p zZJW?w_V(by*(lI+fVw0~Qfoz&Eh$$rH3=>LxLgf@T4 zP?q=p%2q3HnKe<5qOz9S2o3PD+Q*eVI8FEg66xJJcqX{oX7;T6$95jW{EQDgt`q!_ zEZ5Bp`kd_q!GMtP$H8w0n*cbj3HYceez&;iJ9Cs9mqCBQ-X=vD;vGBl=x{CiE1=WO z624Nde{^m4X7{>&G}phwPMQ`|Mc@1S%`QDnIGUJBI@<4zDiVqYba-&rXFN=1A{!Y^ z>4x7!>>&LXe`vNVxV)9gAzBK~<*chyxQ0T-Z;09xw5cQN<5O*KMIdAvF5EX!(Y=U#W4EUGRTI$1>6=HL zkLa;xNJNjFO5DWonB7UhlPUXWIpuVme(QUQ#HYM_ZURu{pVTccX%it>7K+3#mG+;& zP_%DWHay`q_rfb@1Ih)ODm*g%8j4-okbe!pQ!bB;8ou?tL z;R5M*HH{~f>;D^i)gU&}#hhAI@j~itYc_{Kv((D0_#S6s;bJ+7U~l4XmpyG2)rgOU zbSV&uZc}b%oae$6b|@Qu0Hk^ptL1^Y)RJryHiEPCIC1~9IPxoc(lJ!dvu3UBulRV* zVt?QZS8^4ZOtheJtFsYY+{Pf95oA%9{1fiE3=uqMcW(e#W15~GY{XsHPHjWwx zMaf-=ZKN+}mo#ooyfHbXyg_LXR=hiYt^;*;{8Nj3v|Syup>38fG~r{DXe@M&Rek&@ zhGfozD-&M1bE|s}OUpBg#3naC0?SB*P@no^nBW!Y`H~-ZK~aI7LH5?LWy19WAd{Yz zR;{oZQ$5lX&71=nv`cs{4CdH4qKk6Db_>KZMYS-;@H#6ilQhf4zf^4TALRmO4i#F% z0#=gB8feRIhJBS*lChWLN6E#KY4y}xR#%v~M?1Pwi_d5^K+cCUxSVs;qbIl65#~1I z;?{SY&ou#-Pi5uVBB+m`_4Rlqx?Cg3=0i5Vcd0OYaKR$MXy-5+6Fh1drDb~oZHvL_ zV{jnnv*Ox@y9>;Ed|0OL_t3{hyZ8x=mi1&v>nVZLK!h^K0X0Esh(oXRnydh6fpUa| zpq2hcwL8#Nf*Q`3y617I!V8tc=0B%AjsWs3E_j(H1DN1r1hbI8Kj9Y70z5>~0h3}u z`51nQ%0Ha;g<`9AsS|>^YHEI8c||nsxX3v01b)sFCSe3Xj~V(l->+kw!xuO?E#k;> zXLct;5UK3k(rxa|RZixV%W2Y*1~x)i<$q3jXOdV4Q~~A7S2kwvdb0?_deWbRzRGiW zNo*FvI=n#;6JizQrwh(J=*^2Bb+u`)#?D4c5=Vn#O=cMF)4WOhbJqyNtB0dNU``SnSzJvcV&C5iq>lsX9c{_H{EA`@yno z<<}hF@m(02NQ>7IkO8Z>(|_n4C@uTA4lGEO(}inV)`L>$H=Z(Db~sN7qY z_@ql2sf9Vh=^`xlcd3x>zewxqbD;Tb+Cp6;l!(^0_?HnnzRtE_m9jogVqeFKK80-t zheZ8PvSM7TqYr1QB3$<)j&nQVYn|9~?xZDm;jn)a3!~}j;VJZhk>-cRmhDt>7|Sj+ z+_koLT{s+8GRJo^3yrGpKk2F45scTXQ8YMLH{q{9TjNu6DoWf5WO#x8qJ%(BCYg;| z%)`Ph+1J$#+1HcO(hmkKXvD=_9?XRG%|Ec*gP*dh=3LTSgbAui4$zTw96ixhXOB)_ zFH!EEGc{3G70zwXR4g9#>#kjvz2J zV4JrXr2!7PH;BqEbdmu-Oo!pV4*S9J2`)5knpc8>9{I9f9=0ZRDrq)}5>43&8bzuJ zM4B~A!u0Cb-Ud=WPcygy+6}GQOhfAqD;urZ``8I`K^!P5YYtdg>G$_LM#Naqwh-Bx zCmVk1i!*~799x_xXf>itR6W-AYTt0vjsO>U8{!M4KgVxN_BtIfw(! zi3tH&$9R$Opgr>c3{?Oi{S|e>Rt$mk_qi zS8)TeNNjP>4iMfRB6vq8pp1BH#r*mMWUrXU>`!~Z+%9NQA2Bo1HYg+d-*|xs9cskI zw_T4oq!;gmgnj?JU7gB5(v~{0$7u*#4M4Lm+vOIBCINU$TXZ771)>eca#lNDxE~#s zD9PpOvt9FU=|CCDR=S+>v0E%9iJOldlC+92=lrK&N8lsMXM;Ga4hlNHiK6Js9>OlR zK_2O5B_j7n83`sL{xcNH0uHyOqrcLgllfiwQ~6KGdYI+aomAEt@!}?xq^arU9ar^e zej^cN*K_84k^c+>Z$Iy?K)%F3vIk!+Bo#gHd$~8Lq7SD7sgp~R<~pyFI1aCom-F^+ zsf!CeedN&B!`-=274Lgp;QI_UT3YLFaSbIiGT}d!MvPB!eZtD)Fw-;P3(Iv?s9Ix8 zQNy1iH^J3SYsdKyB>u6bnf>P%g`Pa(CN9cw7;s&?RusQa2%P+Gx&MvPKu`rE`pX^% zYJLBV_7*63Y_lWM1U*R{{wB2LSLVJ+Mr9uTI0K|zD}MStF?rBUM# zUiu=Wh7wia_?c`!>g)vO{$2AfG$2zJExCV}{;?p4s6&=Kxh5JlOG`7%i_YKnq`H!| zKdH*yHZ9pzQy~}%xr%NZzn_6+#~Q)Rm=4d-g$fmXL9Og^brx55LK=C7(5F%17ljh zoU29RIbs{_q&jVw%DhW=Qv%Kbsg&>S9zUAm|EI~eBwmGYiRb*O9ljFSSoox(E6fvR%)S3C1x79fUrGgmFZBEjwd^= z!0C4BE2c-{mQ&|=y2iP=+21LOcFMwq4NGRq5Z37qp4{PH_;t?)V|P4?mwon<3D~s! zyPuzk%T>8|AtH=_eQV;w5O_gF83-MdX4?W2y3_s8AbhqP;H#i&a{Ng=t$E?$SjZgp zCLxhaqKWaT8~Wzno&sSPC4~Y;d(W53?lTx0|pmlFL}&OM-qNY%!NmzM47YB7D_C? zim>(w9L*Ein$csMJId*&aCifWdy=JS`z8*222IH7X}Iweu}>#ftsQ)6 zgrR{eEJfVqu^cNz#B#Y393=)`Z1}XUx>K&i3VTTOsP{jLuO=lo(_^uk-*B-bzI+4A zhn`;{ScKhff6159eM_n2_AbvsT?&b8_oUV#;EPMDz!VfsQ@{yAb&l74a|YT$=g6x)7A^2l*BZ zC=vI6Q$YwuJGSZQ-1lbR@_tYtSVMo}!ec?-X48}|7p^qRLNIT3*&YF~pmXD3|5y}Xf@+X^iqY)4NorH&!s%IZ?{n$C*2_+(PwYYRI67*rV@BLl@5LB4W~6W ztucOUt_4w$Yxm!g4v62*Hy!nKX=s8l|CG8%to9ErP+81xUdoc=&23=?1&SJz%;8jH zF2i-@HJs>;5Y2Ux&ZKR17$B!wJfH@b?zk3_kVKK}NXvIsEI_T$EqNcX3OnO}EEt~9 z_|8T~MZ1=7>jAgUS%0-@R0;-7WSyS!M~=CE+xBfmf~eUv-z?yG9KDWcK$`e>(<LkLLeY2q`Zn{jwD7?&07Z z95r1_Zs!X?<=-BVFwJL6W&XdAJ#J8(#Q6gh?GWevB#XSFt+V*-=WM^;=maNx{Hs3q z-K-}5?NI`5ToYni-KTN zA@9Lle=vGt&vl=kp3t$gP1aAS5qBBK8Ki2*^6L`#k`(@rox5r_$$?5)r-rgqFq)l8 z84zX8;V0j<;y4^>MX8r~V1F(&P=7PG*);-IYfiD>&;E=^iMQx1 zCt_fGmlRPp_2C74OEODpX>c9;JFa-)e{Sf4oN4e>NvQ1QK=Jz{GI-@{b?aK#!7-r{ zXJ7I7c)H=EfPkSz+`xQhI`GQ;r~!x8eI1{VOpCXZho{i_I2@l3`ir~t>QS(1Kl7O4 zpVQc8yukivU&Oy$h0f|AV8>sP0gcdeZ125RRlXr=9jFkkSityjJFB|aiz+&I^Fmz3 zTbgUiDt{1m0Xn6~MHeWm0e4r;Gw~silt9xuocps+XeZt8L+Z(S?ychbFpvLZ3SQZs z^mdF2<#))ax=Q+)*7;w@hnJoOa6phM5p@YTt&|4dY>EQIzw!r5TNe_1t52F}SHjZt z`rkRK<*zuU^Vk*#3F-PbXpA}P_9qft>XXoQR_IN_rn*@HMVeV!FpF=fAmezhf|U zuv94hN9nL>R@kojqv2N+(Xs||M2X~55sQGZIgXtqdgL^ii%WAOu0qwvA~FcyY6nyD z?QfU^dlf4gPfaaVePoqZ=n!MLHNZCFTQpZ#DUhx6RO|51xlAp?lkzXX4Rk$PTcf3d z2?A{r$FH`QN&+k`=H^67W-I`G)|RuK%c?~(BH#jwYIW*e=|QnA6#XXEBsJt&R`HVSufq@_{F^+YZ&8q{GUD1{p>tLWi+a@ZEQk9cTQS42^so$ zCj0}cFqU&&Ogk5@KyWKGRZ_b|ZYtO(ebJf*DGsw8#C?^CMMpPaN%HXgoZcp(k?|>cZ0QM zEtIH$71C};qw(^3gmagG5FXGqnWis(!?JlgLZmSg*_tKD{_8KrN~vpDT*@V`Ww=3O zqngiIE@|oUk1jFKnM|b1IXM`{O?+K}tR}xx`(0XC67q?pLPb2dsao~{33-NR)5eKF ztb&%`c7}7>{+D1>DhNe7$?%WA%ofeX;b~_UGLvrj ztFoFs5LS8r?3*EfFrbh<5y;1j=|TNdwwX@`!I|lx{xL@;=FM^rg|nqYHpeC4T1(^p zLE7eSoQNQ8#k1}cjYrtr-Kw-EB(xmOWjc!EyXibEODZBVXH5mC}q(+~KJaaTi2B&HP2<)5FVhT8iZ{+y=!7xe{WtWL0mKn2= zCtfYg(~*2;Pli=PvbXTRcJ>|yFb7}`WedevI`NP!73NqvUz5QWEtwApt$r2CU8ltrT0G4I=M=|TwylKps$8H zwQZ2ePt#4-D*(L|oT=nSPdq-lieOG6UA+QS1dV1aR(xMg4yO5~@yb>re4&~t@uBT% z-f3p8g&V1bUC+u(?tGwP%b2tF_6coc&OP|Xbb~3ST+qP}nnApz5$;9s1 z6Ppv~_B;Pub-&(kU0wa*sjl;!bN1eAt>1!17xUX*uoo=zTO&v_5gcoGMB>`~t54dK z1EMS+?nUlvW%0n~8Q|b^og1enRIk>=KgFGOlxd zJRmZR##L&zS7P#HFG|wG#31~qgfeXg?g&GDS(1Tdf|eSh6y@%BMYnIN{`KsGBC-q? zY!P7CaCS(-=iXWT?|KoK_KB-QI$$sZ$!7f%jG?g)PxhrW!fG=)Czs|}v%8qqERtxN zu(O7Brj1=`a`HGjHA3f{T8iI3xoM*YXXQ1r5@-L78?nduVtZgX?6&d>U)~!ERUH`0 z+q8)?_#=o`|=YaMxykg6qqI>;+Mab4vsguX4ua8(3dsVdrim3G1<3ArN~ zVAB>|y;bEf?$dIWamw{0y;zFA>sBZYw#@dwN-pqQ`E*q*v1YY@$xDdQt6r@YKl%JM zFkJv>;NI}fW;xRRgZkMl^dVX#UaQb2Df8$90nE3hr$y+v88T8jm3&5YMHyW6h3+b( zg(t^cV#IK4pCNpQT&nX+-6nK#!X>8jumQ6%IuduD1f6Y_1;&0CO<7@A=Y@~yiHX*( zQ=lQ>2z+;T&L`extWr)dqo6#Nh7Bn&hTl#EsuuIpaiBbtD~G-zHL9h-Uf9A}sncqp zA9zCTD?y~T;X(=3U`61Br#udR)tEj-K*0}{W#m}&)dedPIlxVOQ2alG-cauzhs_Yk zwg8qncK&g=5zBthCUXz6HMT9YjYpvSPs|Gn?^$<={bR}zr!Yq``C0YN-fLjIwMXL$ z$`|2M@gX|{FMm&;B(Zb2?2|c(uStYRCH3bk>Fr4wEt>vDN=lioyu7Gs*5vr)9*L}K zwqMvfL&;b*qtWR~l`4_28J_EdTU75p^BLEw1Z2y0Dg(^MNkT6MSyeic#PX89koYnt zM2YqGV4{%fr5(dZ3R*%HhQ&^&`0|hNM&AgixZu9)g$*AyL{G!WHJfZ9o!>vD0NnW> zev~$ak3q5k#-*9DJM6u?!kS*9#MZwdO`JcdZH|Oj8ic{oN)_aSyuK8VkPAr};tN37 zpDQOE@6hRHxEbhYR)uHJ$DHamF4RocIcH9-|hyjR%|w_R9*a&t0_k0b`va zZlWVHN|`TgxG%>K&x0eczS0c8ih*$s@aOlf!>_(W=uTYbenRIuS)}`O*k)z(ao1I{ zFUaX_8Ye0ixg^&?Nmz%w$~W|(c6~za4N;ePCnLiDJjXjC+qD(4$6QH%${hJqtq_Z!}Fr}^Jg(; zz#_ORV$e-(BDO)kMniI#xX?LK)~$H26*{*h*Jmy3A&=%i{mT18l9~j2_wsYGSJn67 zXToBuG_`K%kvjxDro7of(!WifG>%_~%Oplgt{fXz3oIzf{JtAovaRI$RZv7Y$)YbN z=!CO%q?;YZ>!7-bT0u9#LQMYz!ABx>>p(%Unid0V_TLi*nY0*7>e%})YJFU>gvrM2 zvLH>3#VM|0=u#t)qeiDzL&6-@w__)mX2HT`cJ72DLc;+G6nj^bR%?~);Id0H?~$=r zKYUvarRb1eLiko&O!ELJ%VP((s6@!Yrk8MpNoNmJl4il(SzMzh3px9MNQRqW-=_+% z%8y10soz6QWlwN`Ds?ig)CWL=-z`89GhJ=-btl{`NMG`zwB%bDy6EckqW@5*zVdK| z3lX2yT>%$n`3H$tl%y|*v>?Olkd2M7C&}$|kIVGR3XwJ2M=)JM4gVbqQ?(e2qV!;C zp%?@iT8X!44pyKSZr!owIH>RRVB3MLK;djprYK%=>7D*zJ8y#daGkx zhD6Y{2i(5t-2HP6E$nsVifP0V&nP&tCa8Hxw~#LFzUoLm0gRb`>iX zhf!ky6-oV9Zq(<}_W4M&Ah_m9 z>aH@Sk^f~S`;q4tQFTb&*u@s5_17BOlLW-DQW=)ExhnRV<-l6+vVNqW4PD4aBg=JW z;d9cS^c4PL`~c7dkA#7)rqK%cB8xBCez|&Ri-=j` zYnz8SM}&_teB9MR$K`l}`Nc3Hyqx?UTO3Z^4{mCOrR2X1l~4#Pr1B(u5hV1tUbs7# zO0nQ;6L`qvr>kJJ%lR{!6vL$yi4jNFg9dA~nw@_tg2OVRN>-8TT2y$`r#&N7-;8p% zP^sX0_&|&LzSvKJmgI~Z#0~w~T-aJ<^C(82pP#LSlj z=^qf-1Q|GL{Ye3|VpR+57|Y1wY@}1O;j+5Byw>+XCms@;Ab7`1k>k))9D zy^05%j!4o>+%rW4;svj0aNTRj7Z8)EiME?q=U1CZ|`>%eEt>Zkh(VXTZel{7Ldaup~ta9(= zEi6sLqh@4-RPA1yS){H*#FnfHy?ow2a2BnDX2oXV*TMEc-;*R){moL%>o5J*BA?nR zXV<#ns+{X-ZAHm1rR%?qs|3q**0cQk3<2B4CbMkR>V6zlJ!U`9tx|c7rk;&6%O2#0 z72*d0*DwXBVo1~j_lP*Sd>LlNJhNtXfXOcu>oT^98Op-(oCEk3xIM4X+%!n}xssW){|^clNN3D-PG9 zNnquzkFl{Dn?skOqIz$Xgw;Ll?7Smzmk)RGWf^hTQWb%)682r$Ec9=dw!HbmY>-o- zThP?!b+sTTh5QmMoI8b4+hgNr`^ZcFCF>trd35-fF|3UQ07bJ>-;D zWK?GwDezGvB8w0wntoB>o^6uCKGP!s zFMa)e3UFkhxqmcBxb}U^&nNf~TW) zvJnDhz{Hk)Zb|19BGqh%jm?F`ryeZ`ntrZF*PF@#1G8jn_8_?)(ycUXsq^!?)CPI8 zw06WQ3OdW?vkyQl)cTfV^{0K#M7|Z=8;KcXX$c}tt~!ny%j6sFq85>g7R4Rqp{mqR=xphC|3v}oM!+Rp1+g>+q8Y7i|V&zzf%b& z*jm*O4G7QYJLLkhwk=QGjJ;|P`K z6F~uFRM7qSst00QD_6@Bx(O`QNxVHM4_^)y>vE>FD1)jWAEXp|&^ZN{2=`duAPIV^Bsrl({z`|GoF6d*bvd${ zuGY1wWaKlv7O3@NP_?l&sp1;s*R{bfF0Qw!^FD4xTwE_Cm(GB>Mesor5hX*v>p88+HtX^c;LCm zO0}iEqc~|GknBXIr9BT_&i~yIoR6y3^4d~dC%2`z)kVDa3J-WZ%Oxncg!Oq+t34vQ z04pDA?L}HaYc*}T@FTwiatsEVLJL-5Jlg0hk_;Jw7U3<|P=uYbF&{>hp}#*zpf!7= zCYYb$Cnkv|H%OOR;>N9(@q&luarFtd!s36pi zAlyp3V01O>IE2@Qz=m+jc-oEk9`X@(y=Ne{sebsGwgf)#%ECw|Vz$$6^uWzNrw{7d zkl`e`jb?RKWn??CIalP}Pi;5E_C*c~^de zdIqKFEKPu=@f?L1s7y{se3r5x(nHi%Nsv}J#Bb}XaXCxl!if-}%Hh({LRj{GWJyH7 zHpNB$jl<&^{zxSCuA+48_Byuikqf-dzkU&_{u%pM=RBbnGvaTV^eWxNLi=q!j+3vO zcj4|9|LzWM9K^d%pc6a@eBL#Bdb|#VL|s zL&%S;V#D$6_T+lL1%N46ryYdhNjx6PAJ6#ScT`s2TBWU4?*--RSTNkC zU=~m(Gt)2T$|*L6aXsJWuhf3-G(cAbVI4emL1IZc?{38N$FbW%k7`dYf;e&+2+)ZM z7<-gU4dwTB^w8(6U8Hjc#PaxEXby-ld!b+K&xVoE=>A!ADraekN$XJHW$mJ-6$xyw zLc*Z(tX)Z#-?XoV1eq2X1m40!6j^AE&-JfGN0u3B7c@dTTNQ8PwhgBx#l47(tWp;avHW~CiVKN4 z5>la#oBH|eDYAa~_<#horgZ?gN*h}H@_g(|h5!wK4XZs&^J1e-LjTcnO7hewzL>EF z5Q)-{W@c*jNK`mW0?xlEG0;7LbbQ*M--$++AwPCQb)J(wUL!{;eOTsl1!26kv4#Mp zj+0;Po!@KF8&^kvJ1ODuj1Gs$T}pNjZ9WV!a3l0!-!BsmKqliqH<~CYH1X@U%poI}hxw=0L%#v-d z|8?HSU4EgKQfTUYKkG*l2(Tb}zqo7SZ?Wp@xM|iwT``@Kq(Kn8W_#CFO0XH`1FT%A zM%!417tHUf*3U^;$5vS-2QBlnog?WsmuuM|JGM-DCrITHA0L!0!}_e%1gO;4n&034 zaqf}#lo&dUec+j?5aMilVbAWQoLh<;3I= z{(4ZXui-rVgrWv7EB}68th-0r5MkcK) ztbdKK3_*A?Xov*$g~q&F;+vtXVbQ;U$xmn6Lh$GBu*fJ;N@%QxOK26<2H#~%{Ez&FVFwBl4ZTOd>NhRZt@kw39*(H9% z@$Qma^Ac>2@qqcG)a|5E_e@rkUaveAUv7_OIBY$hzsM4oD?ax7ss4<4(1im?<+&PO z^0XX-IfF`8vbq7R*gP;NN_rz9Rs$|X5bNyrhlSHG!}cl<$y4*7D5KH9x$2e482bY@U;+jncY!BEK#o91zpKiIq-p{!E{smUq@qkx&G{ z8aO&di8;au>2$C&MAzNjcA&@mjf8h=Z8(lKA#m~J&JD0Ae`M|6i8lrsFMXX0yQIC| zMsL8pAJm4*lvc4ODVXV!Zp9cqKI-!gK?@Z5qqsFwMD2z4M$(3%sfw|;)Z7KBD2*To zdNX~7BfW=qMR-)>M?u^3_bdddU@mPMq#@-dOG0VU^*#60-mUoGLguKg_}sqVUYn;+ zy?t&_-2ctX*6lGge_eR^Qj`IQKnDQz_n%0d@zA)+KZ`v70?SaA94XVz8LS?8J$QQr<8 z)Q-EHhnt$4+hbpq&%@Q4aR!PBlze8-SfrBZ`i_q#RKUd7i@{aFms%a*U{%@#8Y#cI8{8Mfa&fo37YlS z=dnUOVVWGy<#NtZJRz^A^T$_0yY`tu?dP$eAg*u$GTuSZuo#SvseD>0|U`?ejGF$~a3jU_oW3{iIWp*vUv~vE}2Oi67z1M4?CrO~! zn{yf|m)&YGvc!+51|ZkJ*&2a>!)7`dDf~f&fDhPtbNU((4L^!(`U@fnVEL*Mj}H%% zG}E#n&HNcqxxI3;%~gcBbhpj*=>3I+G!_peg=4%jB5G6DoIELWS>em=A)&Zca3Pm5&O#= zGyJxSV-fyRNtFIV!u(f6$HT(?-bCtQH1Udzp{hBTKvs zmzgE!YH!4k`=Q!XgJ#a}=jY(Z+p0H~cA_SgHGJ+9OGAU?#zXZKTDZj9?i^Dg!sUF+#=-{n@r5!N#5Mgy%oI!NA<-WR4z!X`*< z=KLo=zP!%_b%?yii+R5h4%nXz(567ezew&LfJ*V;#g^3ULk)~MWs-}ZZf9}BwIO}Df5i3&yXm>S;~v+%RpnQvhZ*t6NX1 z`AvyyBzQkYiLgB?zhA3F3&v^<74^O?m(PUPN1O>gSAqLY`bDB*d_W94d&Ak##s=&V z!nX>irY;MSnAm*!xihR>dNx7M#rG4Yedzc50Q?7R|0P~RfB(wmv55pwoWpy>(y@7* zMQsaUcLDfd!cloewOMeyrv(V|DzvE1prht6{NW2Gni3a-LysrhPVE9;TYuVt^8;B4 zAvZB0TH4V#0v7XRSI@ScjZl%U{^a|MT9;tRHkXJQ@Qrr6tv0nBz!!b>WdtJ5y7lgy zyr_1o)rz}iMjKEca>nkNxI09KL1)CYplishiMeXRY8yl#PCz_#p<^g%(X*;Qwf^(S zV(3?h$7{pNe&XMf5%~{T?P{74qS2bXxpI~VY?%ZnWU->}gH>@AV-Vi5Q<<_kWtZeY z7ik&QIw74>;Fav3gvsaLfVuAiWZFXwhe7Q=VEmL8`0Af%Y}Sh)w9wd+_7{E{<-e#J z8v_C3|79igjXa&JdgrD)-4IE!TUS_v_0GR7QuGRjylzN7=KG)(x|P@bwJ=*szqR$w zO=0n3PH($x={LeZHvU6$J$5Eo$m8`3kXGDV?xs&U?#%;__Xz2(#$m5&J2ZlbGr9Hh z&_QhCnjy=;WV#rVXY4VIYER>7zaic<-laK<_UcTI?H^SNonbC=Rel9(sh2L@q)r1h z2?Rad200?(G?PO`>EL+rAmI3X(49D$jSf5x%pB3m?OMu;fcbcFeAryzTG0FMrSm{0 z0617#0)HFcdob{q zcMKb~CMt zzAOgNeKrrMDok_A3UR>@`9n*d`RldT=7w2|4@=**`C2zvr&PN0z6;3|&Z=~ig|H=X zD_nM2Jyd)~vKoGd1pKOQu7jJ;$5p*Hc<4wWWMf6lU)Otx>1O*8G$u@Sm2@)PD@%1% z65!`LI)(7c|Ep$qJ{xBV)&&VUzq5d_`Q%n;bU;I_@<1491{jWY8sTd7rAE8Mc*MkQ zF`x8C8iGUPiy%a@h~2JQJ{FpkWJM8>RG?^Vbzx)dR=Mr$P?-YrS&%#pIsuq6^98|% z`BWdw7d~o^QZNf7WIrtQW;vwevdK`{qzmLbH4H|Vlr;^u6)_g(lhR7L7r7ga8jbq| z`!`CVaUcEhRML!~o8SR)N8@1ydAtLRts1(M(gn_7yoggH2*NV~!mXd){11u`)bV3%3<4FUgDZf}9z~)x zq3I3?C3e#Mk-$YPP9oi4jlt>XU{2a{2FAbHj*76dPep-$wXu{0`tTp)%N+kn{d%5h zdj+B{^u(7KC9gW`guWzz|EDQoivWCdd?z$aC<*x!3ctWirn^VF7q|B85S9Er^1=7a zW8q8uTCx`|w?8W0#7*1?WG}LVGFMh2G#+onKz?v(1n^LqHR};m{ebiKl}WU#2}OVU{Obc%Ua+;u<4foY1!S9(zyeE0#nWAH2BU?f0&;Us2n0 z`%8Nk-tQs_25g9wZ(Oe<*T5&mv3xU#D&8Jby-$eUnF<6Hu!?`g`17iJ99+j*$^6&b z%?hC0V#_cy8@=pM8=w4X)Dd?|LI0&U) zw@Xf=U{YNwsg@-g2~vThaso<=uFrfyBFj)c`7VjeX9%LrVMglJ9|auqW-DIPh!f+N zHcSnHdlP0yACX{t$^RV&{V*t#^&&?K$*4|;_4L9&*tRzNRAjTPCEP8u*a1+RJjIdY z%_I;-=pPLvo8BI-PJ^XOLqt0za|xQjIF`1uQi{Q_)2a08#B2jNtwWqJpNsatn|<>0 z!*C)^i9D>=WLB-1>m=;C?CCn|o!xqyA;zz~E*XcgiW?e*OLP~B9dQ=l>eWAFjIjyX zm}Gqp9_`X&wEfA7r3wFM%m{R9>HjTyi&f1p`6rxoP95ASySz2(x6s^`+oeak_5Aw* zqk}MJ81#7_=NnFgIm=YV_Hl??PCC!UeF%SCTGMpoP)-Jo$s3=l2V7KX&+zwO6zANQ zb`&Y-_+iEYvA=Bf%vZJQn?^GmKO~W|m~Exz*Ld9*64fTCWwu)8-_HK&D{Lhb)HBN!g??A1MpD^b51(ZU z#cOSxh1|~D=p=TyZDL;{n*EU22@G!Gq?N+^b#r&{+Ez-u!>9B%u)QG%e1F%|mLotK zo!gHgzRzh$qxsT#NX}0Xz<<+{T!|703VE1$0F+G`EjEy~u1ceMV&$IFvJgySTXeCE zjq)yMWW|H|;YWOJoz_Bo6UDOs6KG$EBc~o zHo#*aR7!QA8j!#`LV^EFNvcNrGCz5IUzo?U=zBh#wolYM(Z)>;|8~xII9mvL?a2w* zBBpUcHp1^AIHBW)KT`_i}2L0Tl* zbEAJSf`LS*Vp38olK&4?Kk0#c z!tC){lHnCNA@&aoMoi4i2r?^5EDC1{24chRStA7yZ)SiYQp)DvwEsz&K_>6HyotVf zYEQ!%r;3(LP1gU=w~nCDl036Lm(nYCGwmQeh4IGIqJ*NqeZFF0cXO%N{yc}X(ABwk_CT9tb?j=&`~^`R8}|+bx%KpxEgTbbK`M+->7ZN`JID}_6X0Ah zT<-zfyFNb)aKM2tG#FFri?0}iHA5WG8+(k_K!K1i7S-N+jmW(nBdDXeMhjZ{QE`hshu&?2<|pD-jpES$|+EhDXP5%@-A% z+*&girWTOP zab@35{mPp;Z)`95aRgrlvcy;{5H%Avb*UiGo ztfx5TOCnzrIf=J8ZUez`x(Q}&-P1MQR*{dcGr&uWBrUpMRYi1t0Q>lAc!24@L;^T$m>Uv8%F z`%DKAN60zu3ilQE0;uGlLD|1`0C;~Eu;~U9cqqkmPof7gDwg<=TQ9|3>rcKXs?T*P zl>cTsJ?P)xR&Z#Zd)qaN`S0KF2Y>KvnDSIKS*Fgt=R-F;-&yu%*^p-9yZ($%WoZs1 zJn%I~e0<+yjZi5{IKfItr2*sbuYwpOcbRTFWOdrq{(`-w}$%=6|vt-e+f1c(<0Z@5CVTYJ0*|rHXZGlsAOBM@j?cL2gV3ycH z%?SY=%}qiCyFq{$ z*70FXG-9B}ri^tlDEZ%Va?gb}nh=dp0?>@T%x)}ekR8P+ zuPtB6yZXB$Zul9J*JpYV}om6vj}+h^figS z(Xn@_igFZb+c{lHGFKw=+02hDE=if3iF`{Kh6%mOljYb>T0PIc6f7HccWtPN8IjSQ zFnd8b>kr$M@okm`YbDZ5wc?OD-o){E@4BHi<6c%#wZ!-ttLyFos?0Eg17$gh-8H5d2Qf9!bP~J+gMs^zgha-sWF|*$3RNDZQ=f zl=(+x9My@iLjVQ28Mko92Htz6rqQY&X^OrSGX`rW{8Or1GGkEW9o)M#pe0rwro}7V zrigpKrw%Z#okR1e@@Rou&}nEBu@NZc>1KzY58(dW@Y;umr2O2%=fZ89kbCv-F`+PD zC->k^XV6Vyj;L^^pJ`^{;KyR~)7lk}+)4teqg@+NlF8S0?<`X^*t+7K(%g54wj8=~ zQKDv@U+e@eRTJQWXV&t8!BD#;4m`Cq8T1vjfrGZRnWLMdU&~7|3vi3O@2rg6t+go8ms_byR19GaaE)($LBJebQ%4KElrqZ zsTVp7ahYh?@)&g1>#FUTMTDx8dctSRYOlV_x4cPoo}XK3SI5|z-Rx(1jTk0R@rgyq za~j`;E(={J^mlPg5FpxzBq1*^F0uYXCETo&#*HRhGJj~pbXD_ojKMInvxXAwlJDd; zvYBjnS<=EPT<0sSp06k*5leoK%t)=!i8C2Tbu+YCK{Foneh^AuXk|7&$6HAglJQ@S z4pGS41JTC&9lN@?9%)CdOzuWsiHXyD?f3J5FG@!NW!ZB7?15Xc5ri51@G$C={@~i)4%e53qZa5!g^(-?DCi!>Y!Sq$e za0XwBOE5bko?(v0%I%64Q^fD{&N=d?^MZgR?ydgRMac|{l6NfM?A+{Q4_3!o6T8-| z1BTOhA|u|&qbePMMArd{^vlzh!|q2Cv6Q%*cQ}o~md9ARa_7k1i&Wlo;96x!A>-Xr z;oKPrDA0d#$-uO`v-9+IBrC`5mrz`-{yHz-c8MSPSZ3`ENgpvlUo1rLrYBuUe?;5_ z*ZZX5H6p8HKt~&2Q)2{ZB6$>$)9N?Lv^*_W&)Zu;Z8_H7N!ww`zn(m_79$2(}` zZQsp-L;34heCGRE-6Qb&byUvP+#@ZTUvOPO672ZbjcYXPpJN=q6aNUWIfGNxq=>C( zRNDaE!gYCODm@?}MGB}U z#Q5+0PFv6LyIN@Bv-W}RH@G8Cksk2X-r_z%h{3?jA@$SKX zvnQo)qS&ESGVF{rxadiY$w$4s4&KPV9g(mkGS6jK)UbsZfUdy-);}=ytXgZ(31fOW z!nCtsj2^ibej%lt=oVm%nmi6Yw;`mtOw2m^&uwGr4NuEeY+a*{QJ6cRw7m^~d5Pe3 z_Y^JbvN1OT6_mt_#5}Etd)43!4TFTu-lL1684hU~I=r(t{+Ddc{aZ_XlHG~K{8*Al zf|D;q0v#x;Ir}-NWgH75uSqB41vt(@7qfgz5nOTX#cym}(z`RlCh7SOIkxTB&V z00d6TfPteoDL-Wz-kzj-oY1{V3A=G5Ao3uWoivhB*=f5gb-!oKMu(T(PUjpy4vxFj zjWeQUPAK950{7mOnsa*N+sQ)|YM%c1@MWp9;4Wl~e0mffkf4$9D_7Y*)J1CqVOk*$ zwLM9DQywi!2P6-DxJ#^n9f0+lV*~s@d}4#vP#Z!+DGl>#5kXEGzvUWlG(Q@LPkC2E z1tY{ZZ4(tM?%wZw5qckaswW^gKfY zS;!o_(n|1#WE!qwoYcUXW=lgf1r_G^9P0s!VTQM@IkQrzI-8i)%nbvj|j?0@2mzLJX zCL@$x&_WpO*>z_Vn8~Fknnh8|GI=WS+DTJy&o=!_Ml9)}nm|&@snuwSZ$Q=ot$*&U zh(&`VP+&(K6FPn?&1tIgU1yO_nnY1=pVqs(YZJ5}wDiM9TbXHTeV9Cb+ySo zK{IhnE)QgL$Y+6l{P!ZOv|qVXA<(hxIGB9FnDANI?*TEt;C2NF=#V)-Jn^nFRG zUX+Ovr%tZjBplB{(_rCzPSgszU$pbpUS|PRPeyIZndJgU4(Hp=1!5xt*om#00uWqZ z=s%->C~=dgra1R*mIP55TvOIr4oVgfHhN3TuTO`yLIf76sF$eM9SRKG@zZOeXhoRS zuajf@K=Afiw6u){_8-09G0$0U{2lNZUU@f*`(*lZ#~5CH4wW92mXJjsqeDLtF=X!? zy{v+eYSaiDQs<5Z3fs*jtQyi73o(hTz}`&s1=8t73sT?eZw^3s=3d)vaYU$5=#XFU zXn-vXG3|>xQvTnws8(i8bnp9+yDoMa1+u)VmeoafkQpA|4TpZFC~WtoULvV>NV8Z` zGr6I0>ampB+oC0WgW;CY(iou-fi@ebL#u>SQ#E@39sDekR(_{T_{7p0o06L7hcMd2 zu!7pnZKqINTTO)`Mlw>2V^TOgxaNmJRd0oByBG2EJt+dyrUxmY?D#FN<+wZU+?NhV z_-rnzp~&tTBJ3%pWzE~wV2-t8QY@1_lM|v7-1zZ2fXa38tZV< z)CY*RtN|vtb{}v-e16LFKQr-vGPA992@Bl|mbfQRK8(IFRpgn<&R2WuoU}MeOw+2? zzvhJJjA!q9r6<8J`s_E^h6owSlSQ@L9%@nK5mj9Jgek2J^54GtL0>-@)sGCYRG6cW||HL<_y0s@6)5lg;xD34!ZR{gHp7CxaAZobnBvJV)T(l7%gKtR@3)r|Y9S!BHw z+wqER7%WlXnf(GgW_GK?6QW%>0!5P{xUm0hpCT`5M9LxuTMrS-MOqS>CUl&XGQEH)J8{vu`-C#BRB zC#`y9CkK$T&CE8V5XQy3nWcrZneSH&v1>{Wqa?A-uhk{z(8+-*B;VjUl+Z0ui=Xo) zND71?b|_jf_XcHwmEzBzSBGwrMJVDyFyE?yC7`CJZYRv)AVyf>`nCe4+YujjKM-a! zB(>Kh3{s1$RjqLqCkBu7dJIeV@|&3Be%}`}G+J>9N|GASLhhC{p_PH#hN}p+%-~hC z+lt&~na6pdOJ7xUN;HPUyI-isi?aeuf-FhoxguOWWMNA>Tx>no9P==lv!b1$iIP5{ z>@t1Hm`-lj8oRERB7VHpcCitG<~^}7i%WjnOlzQ|)lR+TRA?D<$wAma+gy(MTMT1; znVT1H5~EHG9nJC8W4JDHRIo~|CGy6i33P^+W~Cags(m0D*>~jLq-oLfnlB;rmUW2Z zfvHKnhq3kVw+pCp@#&|lY=lddB0x#dZId4Wrk53fFd5F;$8Yd76bub|PMk6s|DdND zCSqXE&>m6<#=zT1xcT2Y%`@ZgADfS3d{{-{gL&*fQw14mRpF%h;bk(9xTU@HV{5&{ z{+2~L=vVI;kf+^kqmC1alNn-s(WsVkmE9uI2`a-y1JGMTnTdXdN6F%K_ zdK0xN{VW^jZBNf_DzHEL=YD5y(U$duabO~XfWNRf)oz-5$^3P`n~-!->H?vbxFKdK zE2w~lLR%JeZLev%k;5x@as*2}bfY$TQ+7U9fS`%R!_m0rMkr91ML#`(Yj z@vKP@Hfdv<=$d7B(|j<1`2dV1mkF8dWRdq4(%7{00G&OpE*@>U>E1E0ES?M8J*>)U zY>ryeOb(eZfv$u{qjCz@|MXxY^TZ5|;%s!mV|3oc7XZ4&PE!2}Lt8HlL-`{#knDgm zN$Q06Lik*BE&q;VI_;n-n0-Mo8l>zoMrUH0iD?^x@7HxUoPzbEorc+W1)9(9HCLv% z7E76msWAiaUzyi3WS5M6L!X}e7-y$>LJleaVugg!JkkEe3Z3yL0WHz;$t*b#^E$N( z?nY0~#r)rxeY67CCWEI4sZ8B_KJ$w+?t4}AXExUEq~#BzrEe_gBLznI7|uhnRLpx- zJsW9;$y2{_emGQgV+XTUj$~!`vtkD~lQ(&B>L7r4FEznxAOGg0*Q{4+LO#E#IHW8r z`@ii!MhQYNEA3t^_G^^GqpNzWJSwH!ftb!T@2BYE{oIwFr-u1!rmT9(hpGL(UYxJW zcPF#5E-VM*8yb0{)7ndX>u)<^*Wvve_tV`ZILT{n%VnqugcHrT{W@5dF7v#0E_)Xr z)lm(qSo7lL=g}h-=U+%_aoQjKur-p0ko=X#WFe20tJx7*bR0ErBH4#P6O6S5T|>S; zeWMm#RyfE_+5L?0IckL`=pcQDvgBOZWRt{24u5ZWwgI7;Kh&At6NY@Zt;|R(6ck2X zsc^9?Zf1ZQAQZGYh~Po0&K)-jayw1CXBXFw1*(F8$uazIm1hw4LOQd)BSRdH_- z1lxaH%-T&^;Eub`6}ojhLHj{_NJj;nRL@d;XmHY+jg89qNJR-aQ9U(0iCfOd8zR_B(u-oZ1-6-#_3(t4?ZnRM?RpaAHnwa|+&JCZ&TY@mbs;;L z#aYPKJ;4LRUxSh6PKc(miQ|G;sIUa~AvIFDjdch(H8)SV`+ zoP5N{?bQuUQ3`aZ29HN$Hgp;6E6N${XMU1cgQPFSKWeNFUoy6IWE~qvKWz}ov0@dq zG=EoG&yss467>xUbs+4Wp8dYd9K`OMo6S%tnb%lLbqCk^TSZUgeSzvuL2S$%Yn}>3 zL0E3st&y6KMhhKa!Z?_1`vFl~VM@~Xy;e*ihH^%mNr@%_teZ7J6*o=O1bTb?IlT{+pw-^5U&2RguYg^mt zj&EQ@Gcilx-wp1pW@VZ{&fFl1bDy*~lZswbIx=^R&$iOME$*EGpm~44wR)t6q>QGBu)j5z=jGoXX~|!P$8Z;@?fHo}Rqhb@P+f zx?1VXp7KRUGU%q3JbatGLj&(tUr8d3v@(6_0wHeCHQ~-2CJT4ewUNE94D}lnvfoPN zv3t^laMJSwg9$U67xK!8!Z`&=Iz_iP2-5F~!YMCD*{< zZ*`Y`i8dyw%vb-pCQZX$LR9;S|Goc9_G37FlIZ@?V##&M9Hu%Lb*d4V;;N42WD(B&fcajdpyY?dgtjTZJFCL0oLMEMKbBn&MTef-V|NxhXZa* z$90674TRu$`U&4(Amp8Glgf%}1co%zin*ne8kII>cWH%#Ir$M>%3|l^;zC}3kFfEQ z8b{W_9m{B9mGy>*O4171EM_vE+P-j&*;Y$z=w}hy9N;^;l}?4MS?10$*@%7N_`PNJ zh~Y`z25K~9S~@H!;ypGw!!GdrNMpB&Cvifd5M0h{Ig)5STKYL4#oe^;?DMLJrScY|Ve6R2I0uOGn3}N~_!fb+u-{)j3EM_aeCr zD`gOCfn7EkyYJ;Wn*N%i=;K;Sg4+*s&My5OPFqw^Z;ZeIO%JYIu}_@aq&@ZPB|N8P z@}aXU^Rd$n!Ao(rCDUGSfFOouEeRUaXqg8d{^xtevbbPQrN>!A#8*t)VdEJ5Z^>!Q zvl@Hhjn#NU-9!AFQeD+ZJK&Cf|3_$Z(h7;t>@W@QLOjELZd29-lJE$z{fR_HCMvE& zlPHLfLr!F^=stTDftb6cFX!51Pc8a}ImAH`d$?v8t1I<>O>Z^TYzky1&%{Cd9o!u-6} z1yA;C64zgs`ijhEr4(}9;7wWj7vHLSn|Q6kO8#VDlB2#2SNH>hXzw(U?*$i6Cj^r;~ckJKMWUHJ?;yFpTtPEDoGagQ5(?SEH zOScU6$U5i2 z6k(*GQafD+4r@>_>PIj2rLgDbW#a;g4leGGqP7NHvhAdyIy`h{|XpP zxex9i93Lmi9M6F37yOSd7v&uWJi$uQ4aw=b?)zp#1-uU^MxJ^}t z1(@=yGeDm#fv2#rCUL%U@#$eDI1-+^md_yu;Jn@);V-Bjl&AO#xXWiQq9p+aUgIeu z6-b_+_eMZW#%!P8^Ds9L4h)PRERH3C-j|bNAo4Cm=_jn`LBfuxOI)Z+sg4hh+l!<3 zJ-bX{(Ix7_o)l1|D;S8kBoqOjn*Ly$7cWLUEa; z5}<%J7dWoSK=0i6$o3_-I&XW8aD|9rMW>wz&I5S~WGnP>lU;1_tXc|)u|;zDcCE|b zH#>o-#Pm0dTH*h3hLZCYRX&&v#EN7E2?{+{6TA*GkNs`wPBDKN$1P|})EL9UI9LFd z{97RFn5Bg!PS)u+(G)xOsmU-$NJXeLku37Uv>!?*0oCqhc~nbpsm(o|Wpv8r9npe> zU7!>}WsxfQ$#TdZ1*LU?M3b}XrT}kA2INeQ8^^s(-j9=I5Ur6_q5|AMggXN4b(n5! zpJ&C=Y-!YpzVuxLDK0_}t(go;0^8WH$f6&;8(#>Dh=@>9L3v5;fnv6VBJCmwdqCah@X<$LCM>*tVYE*xhJ~Gd|i7P{~ zZE<$X1$Y1NHZofOlW#zIXnZe_cwyAUE0vHdUH@vQYpIhGHldnp?UGex?NaaB05sB% zAPD8HVrqv@z%f6uk~(tpSC52OSUCK_dZu&vWP>!d(!<;^)cWSn?zc8ReS>j?Tg?oy zCSKwT=VEt2N&fp?sU(5OxJ0H%mKu(yfvh$j$0IWWRKp$o5U!Js?ZQv03FfRls?JAe z3fT>^>fF>D@?`9>9dG$q?in+3rKjendr-oPZZ6G(#@N8Pw`VT(Flo0I$Y1=pTTiml zb$LpM!n{>f67Y+VE%9OdV(19-pK$Wo_O4nEyR`ju?mzoTlXKl{{03B-Yr+nxq7a?I z>4)yZkR6dFB0orW+)nO8P?i)U&K`W#V@1q z8{9lbGr`%7WxHjiI83s@3rN~C7;dCA=36LNlvbL?am@*=&NLMNj|SxUMIs-@ zV*|TpMlCxH%t^IH^JD0WN$*2T^pr=xY|r4p7p9r@NX9i}0l^|zwIBIn6Gv&(Of2R> zOUBqUiQ%3C$8fpH z+GoOM&Bq!jRS9RjRg3v-EDyb8^ZCj4O|lG^Qt(>--yQUJ*@7L0`#PP27!wTR$j%zKJ9_&r1dCT_M^Q(%~yhRtbma z)D7WJ-2%te>OhHi-M6?$V{}FYhVex!13eyGDov^?`UF>{Vb_=76yRVvisEWm2=G2F z1tBoaZS>TWi)9E5`E3pa)nQ}-@V%YTy{5EZEfzd9O+f5b!){yw>?=po>hu1G%kUsp zk;$?;WDPd^o zJLSOQQ?UaQQenk-;y3_OvN~2AfWdUK4W~lmGAYER-VxXLU?{?^_f zz*K$P#MvtnP2wrOftNq4;WnG&5vBD{n7FvMHSefTgRJ@*qetuX)%y;1?RT6>lQa5{ zO0xg_$3=1w3szeVSxmcdzDf15MC?KVUaN5QdxWtj-U>XYs?RRymO-n6Hu?MF>v*+5 zU59~noTtPx-UJP}!SyukxLRtxKOdQ{Mqdr`nAqz+ZODaSPub*bL4H3;eOir@A4Jk7$x0F?*y7$OmpbO>2a=__W?0nGl@7W! zEj}qXEn0iqU~DB5*CZMDpdgKybGlmTOB@eZs1c8C(zhMuS;*%Gh7>c|j<%!e)*A$GC*Pa=;F-}8 zw3MCT?O{b%s`$v9Yy5;r>UBma(k7jsNkr; z1$Inm8PY}cOl1PmNdYede4B3_MmUXQz-B{kE_rKBor_$hsRWCF4X|J9>yb#mO;tab zuNwTb4y0;|)nqPMAZA@{h}OvpO-8JN;bQ>-!o6@<0dt6)qoPz;pe2@7?5>IA>})SE z^w&_kvQztaq?BRTg19TW*uZfyj}k0?V0~X=obM4QcV9iBDEgV1Z8@_h&oSFEKkW1{ zNqFB(xahyOrGT#QYHw?Kn;MRlj@FJeBFiW@ znFv$;xmwbHO+-BHu-z-?BfH@58=n9EGxyq0R&9C@jRKEJe`oK|k$nsF^3&VuC(B_` zR}doNLgZcaoayiJgZ*{T`XYUb*g-w@vLe=R0=~En&(N%*0j(ndJ-z{L@^JeVwKj{# zh^z&Q13CgRm-UYRR629MH#nb%|Er29_XG1r$r?tRKx}w?HaesF{TMp4o`;EaYS1bQ z4S@tq>14Ef)1amVjA0sBO4b*&#)l7}Vtyuq&hV&UA^WPaUSE^PB zsP$Ilh&_5tG$aAg^Xgl`jeH3|_}p1SVv(&w&nND`;*pPRCpK7kLF!!n$)rELmK}h387uO^>p{^*OD` z$L+P-3$ftXCE_mLI&|V`$gXg3hWj&Y1YdbLwZBh-zAhe zyT^F+1a(ZER~#X#iK^!N5Y%r(+&@m>>>eZ|5z=*ji%1WNi(;ismDa>Y=G3xy_jgPC z^xHlWMjsxz;Xef2j~!RXctnb6I}4mg{)V7pLQmy`G)SSr(%(2JI%nT%HV(`#xt@0i z6B(8s^hzH&wn8H%<1_ZGw9Up~4chOrSo>2BTQeX`0fzx6hV@}Pe``H!N;C}Jg8Y4T zCHI7#ura2_aNq7X7rBL)SB6fu+HTF%$oE^JbJMi_ z_plhl_!SSJ{kQ*0~mVtZr{4}uZ%x>XI$6;*d%%RqkiG?SD3cW!sjw+LmF1+ z9!Wqf@dMqVJeFH^3(ZWlp#iP&ejAmh&C{>B9aZrTu9P5K`bK!hw|)lF<(ZS3Do3Ga zaImNf7$GyOsW4&=C`G#W7Mh!P;hA=ztzEWh#E=Q?B^Np6ra#bf#^D4E74NGyYA3xG z@8nV0xC&!g3l1N9nK+Xc7{c(6f#U-BG&PnB(tiCyCvYd=-BLK3mZfg@FsHe!) z;w(uJ(OUi@#}Hmk1%f|GP9-**Tdhm`M5G2t);fl&Y*j8nk2)vhS1MY_+f;`&0p^H; zy#`+r``!zx6g4Rs=U4g>m-wxl1z1g36}J(x=h$^&UHToLQ0<}nlN8e6oIc?dn?yLG zLWBaAOe8)Bbep`^$}i&|CFn7xv6rBgN~3_2knGkQoGOY==bSCJWn(@#)M<+ES<~vNK&lOO zV1^WyiV>5@)YQWb4X!nIQK2E&94Vs8s>#9Y&(1W?JT?8~AUFG}Ot>?1gq|#F_@fCw z*dux;K*99|3w}5<+^eobG;@-oj)OKCe|#dv#@Sr?8j4x&fwF8jGZ-6X{%BHNN|r|T zB^@m2g53D2k28SrALGpXCwYQe3aU)iNcg^_EH^0%B z&P;FSw7ZuII8=Xeny^>h@vS{$gB9a!BJ)YlipaphySLiz>KhArptP2Or+}62s zU2k3O-QSyc1WnHd=$4^_pU~kQv*A_#f*Q{bIUrRzrd?mie!$A>L(ZWm_o+{e^`G_O zzd3C%3+&{XJ#na=S7L`#rh&RYb`>q)g0O|H8dz$!RFgbi^eo&7(&DpjeOCULNS!tF z$TTW;=iAkjmKuol(X;ouOtJ(_2*ukXUN`&l&28qpCSKCEjw^sJ#URGP^y;&`^J+G; zFha%w(0)Ip!_rGoi?&reNQ8bN*MxDfv!MyJ;E$l|@E}1Ry%1ERF z-8r2~EizGrH1~=*N6m5GgC`)K?-&!n&oXNxMVY>R++x1Pw3NF@&pf+D+Mtom!0L)k z@Oq|ex-=!paMTO|y#G-JJ4bbft?EUvw}D1&`WV%BxNW`~C|~!rY&)*MC(TCKw(!lZ znns0q@~7v0+kKePZk zL&o+K)i#}D{LKeMCj%Hm_YKgPi>Dj#Q&_kz_3jUQMKea)T`z^CTi?L%5mnwS9MTTq zvQHJh^^v&cFz7k_x1c9oY0n;Zr?(N9^V+1q81;R^X#OHB->or*Rg(bNk@6N7^r60Hd#Y!6SpF zkSb7)5A24c5LxeCX*pOwbiQqNT(r%-HAg01!-DOZi;IbtqlUJceRp1%%sk5`)Fw(@kOxR4RS6mu&FVod! zEWIp+zPyuD;yn=WV?6Anv0ywIz)bv(eLT?iGUZB_m9oC%+3g|;e4Gj|c|GbURH+0M zv&x`*oPy?xxD;nkA^_rYQSH9hnY<>y878qlA=rXHksBhvVk9&xl_%{DrRrNJ-E}t5 z%!CIS?OQP%B=;T_?oZM^MifEKahGcX#=RZZvI-61venL4L}Y0k)$U8P@Jgr0yAc7R{e=QqMdn#ah= zN~F$(JhBouG;3|FDR%!I=+oqz13F06hbga+UTY{F(rk3Lm#TmMzh&{&b_cN7_%wfD zob~-Gy}9B}*I)_{fMTpGg*PzHV}iz;VuY^f8OXO0z2fu=8T=8qQxaDog~3Nm1jy(5 z%~YeNf-RliefW?&;%KWhVsg#dmmZjb?K7`SloAYCU!Owh$o(J0i*NDPh_WTuEo{QQ zs}#8r$qf~;sTQK98#ZhxKG#w%E+T~f``m*+dOOFgtP=f02(+c09#Y_&Lv`o|s!h|lW2>pTBK(FPdaH=3_6}T}Ss$I)kaP`790kZwxxV3CI@w?A z$yQX#FRAb|{K4c8U7R4{ikyjqKd}RDziyBnDwvB<|99NNN8L)W3Q83v(P$)izT9Vr z&H@x=>PP#|1zo7}r2q2Hg$L$T_fEF>^TgUgP>ZBw+Pm<^0lMx^=9}E-j#Pq!tdXmt zAN``bi|D<;!+vAzQojf6$d#{V%IAl}weN6}aVs)1)KeUss=)vJ;r2KkHT|jZW{bjw zDsEW87eV110Q}+|B7d+BA<<nIzfeHqc^eG>G!61G2NNkc%@Efs%p zF&kOqoNq$?oGSvRakO%J$MBujWJ1fppmnjQO#T-z-lwM^=?JEnZO&SQ?Q$JHi}XkR zFE8a+W!?<3toxz(H>$-9OHicaPuS|LpoHQFgy!Safb7u)iR@AHae#|jM&e&@V}*s_ zd`avgFE8t&srf#AYnboA;7!XEn0Xv)7OXng{QBM?CRsiC>}&Sy%Q+#Nz=_3yNxfEB zq|MY+&cmF4hKim1>Jr*0ZHXl_q)96Awsd&5Dii(Y-!i@TSaXy{00vXa`QIlZm>H-C z%BT2<2POpAht`DCn)2mU;pBYVAPe>CVl=0<#YBjcui zymq`i6E;3m?Gh#uK~)YTfIqvqC;s3H#e*`2pCa}R+0~mRWFz<4)<1Ym{4ZSBq{sRm|2nx<(`U@Y~8Y@zZP`8kfzuwy! zRj(q+;iS3@NsiE(ZuKoHn_HE3Cf-7Zq(?l361ocBOahrml9MsNCGu`akD+2$Bzf5a zQ(87b)jE~`qF8>5BA+0JA%RY-uQN|OG{yRGlf&%ra&8dJwKXbZV7v^P$SJ{7e5-7% z;ZUV{CufCCJWpme*vg<@XCE}Qdf~3oZ|rVi77D*TVtRgYAt=T-yE<+%Aw3824QcE2 zk3nS6sKTxaf1P;LgiNy>-D(pl1^QM|k>GVQcZ5x&qstnb@ur(sXk+1d*&w2qxDkcz zX*3%2U&-c+jRBFPT(^daWQCI0{pJ?klS_?zfz<2&=nKS-c>MO$crK7t?l@&3nv&eV zFYm>+ciCpgFr8&&%X=UOSoQSmLoxb(&N^OC@RC#RX|#Rw65qj=a}BiZ=&;OJAGC;F z+N=-nrm6v&0G<)IKD&S>fbguRI>LY@xwuf141Al|>yoLdd}zj_H*h{5p}GAU?bx`y zQhS*@OMdG8RndDVxlLQ|j0Dc9boJiZzq{0+U!w5OWC~z}2@2^m@smZu0y4-ejm`*9 zEUn2sRwaI4EnM|Jd@169dTLZSva*g}gYVT2MK|~(+8LU+}bm3V1-17pJUPtOs!0jzlXnf+Sm$n zTF8HbontdJ1=_w+{ubX=4{nUF!UHU>4miqzI~8J!%qex*!6vuE=EC@!UcA4DztCz^p6RYdZqb=BwI|#KTUk$> z`zSa$PFyL}oy7k*?#O=>4XbeCQHc?k+wYg62 zdp!f5LTwLHWy3W4HkrCb#;dXofYG@gyC?KWCOL-j^I~!s8)+=P&wI7Fj#Sg3fGZ#9 z+xZBOJ2j|#q9W}MvZ3yqK{gT%O3P}_x8V``)mgyscc@aS;z4xWU3tj?T;3)vOdTLb zd9I$oQpp-IMP;imp;Ix%Idmh4@p974sDtx>dJ8j}LnO&k-jiIW_&3lcVB3SJc`cwO zr)=E&=db~bwk5~Ykk698eaHCO&_l=VVH}m*{EwW@S8<3y7z)Zyd1O8J6;|W4&%`&B z_hRt_?6ZDFttY|pWy5b~M-$AGY187Qh4ff0M(~xu2TOY=&{*CZlpuQzOs1z|qSY<7I30rADj6Ghf`% zpUT&x({*1xi3=HP=4z20L7F&C)qE;d{f^R4WWT|j|H8-f={`@cc$sKwZ|hNe<`cMS z4o5GHRNJp#Oy>@v0)$>E@1WD>yr7dEthkaOv&l7hY$oZ>2pBV7<5nJ2w@5a@YOOZX z!D#jGBo>Kmn)iV0R6ubjQ~`yVbAI5(=Hz{v(B7+!N*Ya>maQxH7}xaN4u(J3H+}} z!q!(y#hYt~(Pm@AAr@1?lMFl|I#rrAjU5Bdjg3(IMD_Iei~pJPPwGy0vdoUQ>k%uK zce2uAG0r2#2wI*<&tA2O^_QRAb@g?zQ~MD()H!FQF$hx z9VLy)u*XNL8l3R(4qF7$0L5xvkUZcNLwk$R|FzdaX`dSEuMgObVx{#ZVvD%q z97$ZGuC9*WyR?F?5^a(yd~#cl%0Ktpobwigp(tuUm7!s;=xgW*$=*z}0{7ABn6h`Q zz{=1tX?j*@kkuUXD$^>X@EKwCIcO(zAxl=mwSDxLj|Z6F_exl^Ek!iZ0JC&Vs~7#g<=VTCjylw zoW7?&s?sYXpDUD1REMv^FQ~BlC-UMR)m1F~MvmmRn`s;D?%@CC7l{MG$Y?Fg1H^-U zh*Cy-lu5;|-(=SZdCq>}%zdlP%2UIucn~h0Dpp=P79&VopBUBDC{Uc&{LBg;M>F?h zexEF;oW|OCohe1NywlEM5bt(&v@8prz_s;jo?AMOZUl#!N;!e}?twe1S$v+~DVHg6 zmsHgX8$MD|h*J?l#sI#21M=MFRzy-m?j;a>5XIm8N*}>nu*UZ4<+KGMiIO?(pn6&( z0AJYGoD_feBIKrZOi$A8H8Kuj)k!v%tfMI?`$pg9W_hm423U7Om%`B_4)vp~RX2-o zI2an^&#Q>+#P~gwQF{3x#-QjEY0WFU1(;T~IqO6AEwd)eC~1*q+45#UdaXI8u~(!E zS{>AEwv`pNmPFprb0LtE@Cl#xM0WuTs9nOI4$y#J&8{Ky!^akO%Ws)lxr20(`*$|l zXH14=O#CAj&qcgtx{irg(d(7g0P<(hOuBrl){o(xDBis%qqbc;k;5g0Sq+Eh?m zG10S#d-9b|dpw~U+s7%}C5zHcqW0ZeM>?d$qN&g?$AD_#j&KdC^#d)RPF^>6Wbd7+ zYTmvEehU8XNE&EomBvs69iKFQQ&~wz4}c0EpJr52FiB8~`Tfrl z1GwiZol7+|HN)&&7#EiUTQ4W6*MWO=Adqx8!#If?n(E#>pOTYoAVi2^DHT5uMl*G-T_7=ozolbBsr@q7$(JB@o&$T z3(Tuh!~LFLNgDX89H6}BnzdA1kku$1+&r)UE0;5`aR{rLp z=}tMU;0JGBuG-9ngh3v0|v0h8@{hN#C2TIuHzeRh%+lO-cfxl>8Z zYsADw@nw-Wpq?ACgHo7ZxQ7m~R|8>n_Or0$YQ4aK37hF!RujYxv`}a>l;SiJ(Hc=E z3H0Cd*fK&Gz7Nk5J2erf{`4)PNf={|FL{tsklG_6$CrM0cc|aGirXpn-n#I0$Xx3R zNB^_u?vU&SF+~*ig>H3E^@C8<=%>#pnYEX5zP6ml=rCkT$qb4@(Xf@dSK~&tc3B8A zt?UocVj0_!Q`=F=c4UxCr|E1=n&r3Vch3A7mnx5q?ObGUU@0BJ_9yBo9fMmlctJ@4R^9n3;#$>} zY?Z(IY0iI5ij-4j)e&{eC~6-kmQ;#LeeD&~!&qcQR-LYfBd6M_Lh-Rw7xX4^2p&c| znwluIvr_ZDGpLorpQl6EzUBnx2b zGGbBa0K|kz&bI$bAViiOu0{3re1{5JY63FP^94C3gZsuLQYuL+=p|{B`&t8FTSA4C zGt|RFA4Z2zP6CbZIvi6GB z-tHMo4WL$`n4;1b{dmqv+3a(OZLItSx)q&rIYW2Wx49WVJINDOw%Y)B@Df%f76~${ z-9i6`4UEi|237+)x+x|?O%AaM79QA-C3_VScK z8+FwvLL9r3;`gx8uCQiGB%$q&M)tJC$0hDe4hr3-#lAb$sftIZeD${x@(K=pxji#u zHt(ZMiWZ@Al-c-iQw%5GGxKBfdVOKzCirnwD@)PMZIYyICHWnmK*I8zv)T^2(I$ZZ614xT- z4aRd&i8?JUeHrmTH@W3sgfkttdlkr*-+K5iExl}xP1)R%^R!l^ob1Z?u6LX9rn7vN z?mo^E?PQ0Q^g1l-i1yalGQ1thT2@?W#RHt!6~AY%w#<9+V--7U16JewQrp8aKne3& z+8hIeKpg+|7m}y9T1BXQ_HKay{+uib&1C08-wj6)=4ZaMxpx_87@le@&rTK869htX zA$F$H`#+dM6$&AAx>{wF$ong(T|Y(Uz>#(h2Y|1GV#HLnT>{X3p}MeIatc`g)()_k zmh#p!ioy83!*dGkMv`t3xb}`qp{M8fgJsYWR_nVr%lAc?C;|ROdKh06K>LUzOIMd| zvS0;L{vn+*o^m>YLu(BWA}1vz>8_*UANK^F=ylr1z5z&*mw!%?R@;1wBGf4dGtBfS z%v+GBpIwdQSA-A^ZQisOiWQaEjGBjrk8h-Pg0)#x_N4wVHC?ED*NKWFoJ;|5#p55(GmRq zBr+x`G|zQbDk3=iL?v3;wncnNU1>nUdS3{XJV(#zu1L*f*3xcyT_nCStF_uSC`{97W)6g~E&J_Kn_x*0!j#b-AC`AfG!k@^>iqWI4?ktZ2p@dr4dN80rxw`K)<{G? zUE$OO{XtFOZ(1DgG?swuU*OQRI23&;`f!BEgMIu7XW_!eD47<6RqNTKo8yHcP^I z)B+`jd<#oMo#s?8@zF_tZ${clcI&1>#degA&3q&M7w>P%eh!EROIuw!pkB4L|KwT5 z1S&@TV760&9269Jlwpx>X?SoXV}{H6basJy{85hdlBHVZkdq>}-8yMhpju<_g6tM# z`)+l3u_FJXAev~2q&m;SO5WQ>gQDByOq^q&X$2(?q|sd+jI+CYxIdL}Q~QwOIPtX* z>q)dqR1Xa1y->zSLCQrS_Px5?t>PVt)v`*nh`>}4W$73KMjNrTO%a8I8ZNM?rFG6) z^z2gNer1KLF1eYZbdVCb;+kikldpgfsnbr{E;wpq&TaBavYZ`Q0}Uy_(^dQCW!FS( z*=@Fv@0D&~-R#WDmaJB^uyIxOpmHa%X77=4qn((6txuhV-GzvVyUYySe)P+rBk|le zG0{GV>FZ&p1@673@vK|KZ$<{QVe>UpWHsg|eM6dLcBhGa z$}j%x^NPEPbaFux{VLvVko-6AD_OHArajmn_}-AH+CatV0%1Bd{8g<^@yR5lX`3XI zm{Lk1Qqwno8z;qdb-PLlp3}vSnws4(braUq1`oT51?2p&j=M_({K>LV=O+0L;AG0~ zh*9%xWD&$ps`Qg+kX3$Pg$86`nJU@kNc}#|#$!s_|EaFcV+D}Xe(`-m8^+p^=GlZp z)AU{foNIG~Ic+?hpPXvzP`^U$Yx7#Uh;_+h3hj3aYXo-;!koCmdCW(X6Iyr2!Ff=n zoT(IK@Y-0F%4_5Da32Rl7Ukt}+QSwBs*P`IH&025m^&FaxRk0KiT(YCehiPm045uY zpswtgaUG}_1kQ`?}%Jx+-QbaT`mY zpLM@GobqkTqqPfIdeO)bNm4ys#kt7TXeu0xR1(KZ?YC>p)=QA&2AGEIwKIDxitSsB#vM#YUP-}Y|K1gx*&efe|8V4@o( z#m}!&w4UwOSOLlc!>i~Z)<%7hmb_DL-nM3df)c?(_@kL@qE22{6-ug(`zk02- zBg-n|QN|2xv(DY3n?}S1mov3LvWrq9rSXp5r-E1-jr3=R(Bna|4G+ zllg^U@=gCLy{^>KLN`*6F(8+ilbx{CkAY%)$R)j!m(oMpNJ`6b51YnNN>o&M^Xr%d zMux94Gb{$->r#{!-b#Y3HNPBgx%E75l$;jJ-~w%QHPevR_pnVp@gc!K_QN!R^xf<& z%2rE;XWuBw8>B{!0b3-htdUeiodnYf$~IaoaJL`LbAMG>c(-c!72cZON{*Ik3xD`T zn)vXwfc@eh=GkumqZ+~2b(1K6)++z5QVCNk<`>Mv0MqA!ZU+u~f|n%$otJ)bphGTF zLM!(x37QHGS!wi*+?#iG5I0+dL17U>Ac&n$K0jA=MFf1JRzqnfoz|sl7cTdeEBU#G zPM*tb{X&!05Nw}|fDHLZW>pModJgKLC}E{l7EK*t5Vniz#|5VOIqL7%*s+eXubB@d zrn*H7{#P8irrb)N4Z^HZgsp6|E$b09SiK+=wW>{UY0j;e>(w>$NBK?j5C`a3fxT@{ z7DH*m#!B*s`q~@G?0UZOH;*p*RF}_+cbahydG1gy7e;=i_)j1Dfn(|WcPdKto?1kD z%dG20z#zvb7|`_T`XdQ-%T8T8_YYHq%Buewhhe7adW!SIwd~HTChd`-j#(hLuEgIi z!cjBn$8(B`PrtUMdVm#?DfhNyC77l0f_p#68Yb|fw{@iG(hv4R06Su^n?-=AE*@rA zm#FPhKL9NDaKu3rcK8h=BF%}0Xu zF<^;6Iv;N;DdtoFo(VB`;h8v~)X8O_C(O!2BMs#_8)0IHRcJ;TXhj3}P#5nHc9I|5 zywCDEajF^5+|n!pnmy%bXVcK)j(eZqR=2Pl%|{=*?|_PC7guLE~1u9LdIE3Q<{LTl^e?dtkQ%V2epcX-gr8Ye+3V z{{L@$A6PYUNY+pCF_@6WdYcu3c#y4m8|6zhf^^W=Ly+t?%UwF$FLe>E1RG7(Nve3= zE;hV>^GQ<9b}7J(G7=E!kM5cYvl%>JVs=I8#XqvnaX@&+Fe}xl2r`zrjueNjGM|@8 zw=eBD?y>(`J*^uk<&n7kN)6sNun^W)(#J*l8c5Tjk=@19!Ks$zmRVTkmcf7s<-Es5 z$U{=G@|~b_@A{8}m2gH@eXg4;RR3MqSinmWE$=Ny^b3J^ZlMWsCDFCaMu~o!2RuY! z^)i^w_2?sB?|PRa|8oH0c)6S-|G2wRwZO?;GN7LK+a9wEd;Q)C-gSC zlg15|MZ_QNDf^<13@1(DXQ2{SEC1L1SgR2#nTa*(=+m*%iO$ z7$&OIfqk$bA1;C!m{)vs9w_O}jHyRr=}G}rANNK1>E1*bqT_s>l|Pef6pXWF)%%O; zb-rb2sth0fl4-?YFjQxsSC+x<#XWBDU0ehvnhX}H5P;=b)5F!}<6PC!vKS>7By5}g zkeewlbMHIN4Y5N{Z_x2(t8xdytQKL=D1t7H`UO@+sZ1PDJ`7|ob){pM_)_aCNHz6+Yguxp|oHn@BsG<;9%>owq(F) z&NW~(=cj(?R=Or{C7aO+ZF(<+RH>yiRsE=biaeub6?UfH_v`K1_3(!*&l-*N_!|K! zz4oAD>k-2Kj$8K`JWJJg;j`k_KVR>yOgtqV5Ef0N+_pq;%nnixcx^bn(PiTB@;jzD zz4GKd^)yz&?MG|@bx>84rcfw^sD(|cUOPPjic)V9VCHRvwm!3t4h$+ z1cy|$<;zyP=f?m2Ok4TuNGS~@)}gLUYF8V)A6K&xHT z0gA3(Kzmkp(c}G6o{pZ$8#c#4jBQx2mPfe)O0yGVtqgky|GP^WJD6EJDA*9F@3A%X z35&IjN0|mhb$R_CdyfOw-gDn;waZwG0FC9dR!=A;uu|>_5?MUpdkj+jz11er1w6t{Dt+!5G<7UF^`i)=P2Jy9YZG z$FcIeJ-%TL8Rr{iI8I{a$+`cUe38iYY5rXQlYIvyh)f9B zrF4)))APq^m1Yz89*#Ibxd;cszJhhHU5)|S$an-*IoB=M)+TwGh<8LfF|hW|H86l$ zF$Qd_wB6q#2?ui=b;X9I2Bpy(udClP?W(}6ozg80$)U^in>AeQeb1zlsPIcrIDhEO zz7lqyV3ZEN0x(k+J8)am_~*3+OE_67C#a6(*ag$SKu&yhJBYXS>ze%JkNiiuCu^OL z1PFe(A_Q*?y&yuhneCv*lr=A0p$35UC-~{*i8b_ZCiB0{@F}qiZ{980U`6#xtZ{|PCWu92GnXfW9C=b&VIOTZIEWEeitaJ z?cz6~Q<~M@^6v~ypIJ%_BYn?Zo@ByHZyj1U_b(z1;#35+_XP&m9$g<&hNe@D=ZF^2_doPN_L>^=#>XkE-I%17&j_q; zU}+_zqv92`BuuE9^DUa0f7?Mp><3>=F#GI9+niMubDwcPQI5x9!L)p;-HJvKDcYMR zJZX&+lyei}N3kyRi&T|9Q)<6s^UeU(V3aQxbTlukjqw$`5E+tQOvbX@4r>I-4tqUms2!fVO($P zgSSnqw(r#pv`uT0)k^Jmgltv2DU;v4u*&b)P{)U@;#c!T192GD2`m{0W9#sG*Zmi_ z;)C%2FAn29-YMuY5?OAY$=qy#}rbbmWM{v6p|Cj^Z| z)}-R{2d1#!(sCj;P9O{w?na*S*1NRMpgoqCf3tJt=?w_GZwWe?eco`@BGNNUuc%Tr zvYLMdYrd=;gM$e?UM}uhV8GHPEBxP#7|IQjI^rPk;0>ANEXIyY0e6B=Y_J)wo7|Rf zj5eqG3w!qRI}VjaPf`JC%Ow$XT>W#ps+g9sD2S#%cM%uH5zZ9eDirA{)^&T*qhbcP;%^Aix_z?oz$ueYV+w#EaK;$E$63R_8y@uq=b3{I;KRLUwJF}Qx*|J((<#G<`Im9`XOOV*Me|siIqxDnFggDnbcrI ze41a(Vsx?bWZpW?AA>sc_u{#nv=UXDy26X!uQq&+!h9oV+=7A$OuEhj*kL1}1V2O#}cjjMEm_!^d50)isaDj8;- zc7Jw^==?9v-YK}U@9n~kZD+@}ZQHhO+qODJ$F^9#+t7aH zrI?5rz5ZpruRFbKiFwizqU-%}DPKZdJk$)oRhve)V>a>UKmC?Pc9M)~d^sI`UMYn` zlG(a=q+$r9djHX7Um{mo#HR^}dL4IJExnio*P9VxwJsy&8?QIoFxqnU5gEz&`^Lp$ z;kAMV_*)CEFJkjcuR(d9QIv?2e@2uddq92s$dXlg_I8y(uo$J0PJebkL%>5Qb{nGM zJ>m~TOnrCjam?QXnaND*yUl`{|Hloeo`+Bvea&!tFDgyv+kOCq@?zlqu}|z9pd8cp zEo`iS^{#m}5T5fV)%Z>n3@gPL%Vid0KP;+J*{O8eUpG@y;BrWXM=bgmCulRpJLsMX5U!9 zcQ~`aC8XH0^9H_9w{Hjrb~o z&OsDEjjqLDzFR!*=&ZRd{A$Q7t!SuG2K2QEb2Qux5^S*1Pt<5*M@v)vl;`KDNFPM{ za36kkCHcYkoXO?H&u8Vn%{yNAQCKhAP*@_VPbIhsE!OyyK=fuS2`MN;4HG>W#zHJ( zrDnaQv$yS|`)JQx;hbK(FN`678Q7Xgfi0}78hMf$oeUJizX#y-Z!{o7rP)6&Kmw)` z=hke{jZZc@IYB-H?Qo=DI{!mKH5T~R!W^ry{$up%z4;9sw`Qv)`C@@sqTYmX0G_{2~PJ?-An$Gfz4c+Yr z$o!`AF<)*4=D!+ilx14!j=l5MVWE`OKjD8`Yn(XCg(py!6@h~urhyq%rqW{5d0oM@ zwLr93m8cVJW-#honCPJ7F>;Tlh{;b}B);hI}H-W6J<9hXfZv*uPGEmaPI z$n0e3Hh+@K=|_rN)7$?_4$p5q;AJEuXycjLb8$lH7vlW3j*TKxUjtMi{4UbHkYTH# zC$3Q9p$sdamGbugiJ0f(K~YhR^`-E>IRDT7)0)-a@~4m?bKA<+xvOCUyymwV;JxJ! z4`z;MUA2LfCjluveVqiTK)uuNmFrC{z0B68&CZ}_%am>?OQ>PnWEWKviI#2r;aWXw z+`vFV*@9s5@@;K9Fx^b;Ywg3jv;HM~K^uWyrU|>J4FP?!in_(AC{dHh@;#`ogieOPV<~8gq zt}01I8L~Dzjy7jsF7{J(d4SL29c3i-hL09p2|vua9POnDqN-pn`~aV3A-BthEXYG= zzGqmR#0663c|JK;IqaWx9T-MHVpp?7qhaPt%%DUcf)!z zgoI4OB0oYLy&6H8-l^~;CjkYNE5WFduQp0)c^~TFOFrwoF(ZSB)QP+xUi1fh(4HD_`>6PUhrbQGLY~oA1Cim5&IAnF;2fb0R(%XP z0sbRE&WmHM5h7ltzARsC{?830v|2bbS1PN!*SxARIOvUT<{$3(AW{_WamgYeLV{=$ zd@KP^*W!H-&n__3{JKGG_pr43nj4n?jmIh=B$Z*zLs{;^9Z>-Dst+Snfrq&)Ey3oL z5@?`I7f$HX&I2^D2@YPfFD?{+(ho%kM7M+y$iDw1kruew9U1z*tL`J__MSAHYjVmo zNv6x2r5*dTCv<~@@~JoW_e=#V9BSS|H;+#7s!C!X!S7@!noL*MXL$wwr4Y}2ZDXyG zk}A|Gq58lkrDp?n?FvknP_jmKq&1#>>^MBVdI3PfF_}9zliwo$_r1bzuIvVqOg*1P z>ypiF*>*Nex_`7N>z&yC;mUF>|J1%DM^dH3cziuf%Qow-08_7x2Kl(sit@XHyD0L^ z9RzX8;2c*?*AR$}GZVk8-Lk)8`G9qpD{69WK9C9pK4UW299IQVyKL%`Iv&C46XZuD z90xt-@IQ@k^6*Uqb*M8?H9IyHn1%SpQC*97ZYrr|nl-?WJ3jAkAeIO%&&e ztP5TUXMWz42)HZ#a`aOMMh&}wZPSq z`P;7?Ti9+Mm-Vo)l0-&16t@%UrR*7P<8+jB=#lO1w}BH}vz9;Pw~B22aE;2@{5((R zS8KT2Y}R^L690+twBjvxs*KInKx#D``jvvOub-m;0Ui7yXUV#-qH*eyY_h|`8Z)^d zop=F5w0A_mQ&xv16zoV{$g@JcMnEpI18-iU8i*D?{U#Q1qTn-M=FEQvLK2FSRov(v zjbvb2g)|d}tq+&SgsAB<6YlEJkH4;PlEhRO%K^ncivjsL=L3heiciK^MBA|kAmVhT zmx7^h-xRfF(l6L8BMP#DfVI{zjWWefWZODxH%wfhD8TV%AeC(i-KY6bpUOwG+lRWGdXUDHbAL1{Qw^zr6BMtodWA-N-SKK6N4hiYB?oj1e6aI ztiWnI8hco&hl(%+I`1swpnL4LBo$eZ1Pfl|*~A^|S2D#O#tL&b*t1r7qXH|twba5j zPG;f}mYc9<&$UjwH>mV*ekuEbZ`mrC6ma*KpGbIhCAc^|Lxzg9w{;ONZo$KN*-Epm zqUjI>{Aon4!>NpJ0(?kJhQumB_NKZwE)OCjGfHaR*R zj^kSU{nzBX&+V0R9t}`t@L*DTT&GMN^18A0M#9Wx?SI8S)yDL7pNiq8hJO@fvI&;j7%_^4W*jfp_K~@eC|;GNJ$q+2KCHziK9``WXZM(CT~;#s_&O~ZVsurvpV}85 z6qa+u8K2d+gWAZR+WcRcn8)8d3iZ)UP2^Z4^Gg&mByi@()^T4fJVcE4N{oRgifMqD z!J=T3=3G;-dDidu-h3Ppr++gs6Mu79qLN-qtlVe^PPnYt)y8(t~I z)>uY)A_&K9q13iPkXC*Vf*JLRym0?Fe=`W_tmooj2Z!P>vY~^7nMK&qg*P9rUA+!` zMj&pJJ9e>|Uj_+<5=;bydzCk5B0^^s6@>+`Q zfSs3y(NNtMHRy#gR!&zgP!wF@9_=ec!6t#9s#(Q#?_2;{7&FZ9RMfO?(5?mrBhNdux{CZz+sc)gUHP`{DR!pim>D) z0H;&%N`^2=QS#*jKoUN&e9uhoipj_Vq}?|){mQ^C`LYW}bg-D(nL=c1vZkHVF6L+M z_!P^o{IE_Hw@RNH}#fL``NV zL`Us6D5&J>w?+dI_2}ik298_^$X3qcvKo9yzi1!BaPX*NB%0XkJ*i2m9Bv>cr=VB^ zrDCQLe*hady4=Tv4DK$Z_KKWn?;2dsRE5>SuWqzZ{^G1|w8}9Hxv#>c!cZ&J13x>| zh+7U4cln%A`bH^SPc8%A2VTgZOU@cgTMYH|))C7v#!k z;vg&pJvDpGbJ^YG|8_YRN77TT9A=_~Z^o)x6D|*w49YXSZ}vz1DgRa>m_gUo82E9x z&ms?GrBKF#hj$brP|5Ws&JC^BHrZ=#g%!O~|BS@2k&9;46t=mW5MtsO@xd2teARW> zoKz4;4n}2U)C-$g5clo|6GuCUfvbXv`Q{gb1eg3WEDQ@HA2l#EHalRbXD27$)Y(`c zmy1$- z5mM*|xAqB--}b7@eeP;`9k9Cj7t`K|9dopI-=>*@y(jvx=>-d;zr2=UMtvqQSyRw? zK1qW1cv-t^N>OT+3$K&+qyfWzU+&)#a*X_^afTSbd>__7?=YR?s<4>mN@5H>7%uaU zq#_zDE?F;ewS9~n2>nrC@$QU;dwd$l431{YVEPeS!l9^9;gh_&&1a;b^j>4HwGXGF49NXz%+db5BD0qFsNbKwho6LFs z;JbC#Z6t7DwM-wzq1pEcxkWyB>cs+A$g++UHu#6}p<3f1rI;>8KlSQsUlbGQ6iL8H zJ5fU`j!dL^iUer0Xj|BrUtGpITvQvJwJM@Rjxa=`Z&Ij}t4o{z7OJ&#jAW4#Jzt0+0&vWy|AQ%G4fN7v^NDI&L z#ZE2h{Rt74=PS^+_$o#=@*VN70-VRnqgxh3Qx8#9Il@pH#5so=63;W?w>;|*6DCQ|)RJBM0 z`cJx779iXtNc#KHvz?m@2qj?C@wm^UZR6dAxHc`^C1BC7r8f#(o5O$MEk432na6T) z+!u1^FkFJi(!yXcS~vZ9K)`q{Jm&?PF+lp;J^sL5L7;~gUs-y8>iAK5YVKiNmDBET zoy#8tK7YHOtC`#?PaK+a94O3>P7Z7S#ptg_G@|e?*67p}cp}~OSySYW?A#PX?Dy#_rbO!C8c8>nxYBnR zeipqoKGJp|f^2B{t8}qzkw_`r4RytEMoffDHg%tR zsUT~q38U3}(w(%?ZH*wG{)$%4)}-Cp);&ae3ApB;SE$@zL^g^@Qgu>3eXpik?lnh= zOc9(eF0k*nI4guC7}4TMjEb~zN_l7fByq!4=!A=QQDRQ8d@9{6sOZ|sLnk#(_6oSR zkS)h9X`EUQ&Vdk<;y2WRzu(5dsY>5nPsh~%4(V!S9HHu%$EHGMiYrl)6ijuAcVY~m z?(lg_5V{jSi6_lvc!!|9ki}pq$|ET)wT?i`3Zn^ue(u*G_-5=3HK`%Yfu`f*pY>D0 zQeW4NL&{H(1XU*y@RC=3G!XF8H=X+;+Mo9btaM%u^sJWVCJm(hRY66h_ySRs0R=+? z0s?{p@^P+H4YnE_vBCrbqDuw>Qv3N=+|9+x!CuJ4#mrUS$j(eh$i&sk-As=`*xAg{ zg6l-ISG; zjo!u1xJM7x7h|L)FD%hgA4FM5C@!+O8U9!*n35p>MbJx9v2rn-VFD8>lmQC`tP-O| znP5bk=5OIjVX%U=m6#4Xc%@j?iZ-=!w4hj3t94AzGX~wWzQb$)%fr>>?B%RI`^3%3 z3)yaNlf`V#*muqb-sqYveb#)6d@DU)TLqU|!<`MBKo9rV)cFfT+0Dzp*0k{D!dEdB zMWYL2eX3&9-~rXrPW-w*M5v;b!q(m$Ut zjpy2cH1poEGT&be1|OSFN-pogVb?K?kEJv<@dXA#d15XqGc%J_jHb|~J&u0rCXM{5 zyAi5M9g)Y$c_JbXPOnOMdCm`S=oqniOeSyOsMd46#pa{S6W|*Yt*xu2++*+w7^I5V zPA{pD^#Vw(_Ma;9N1AIs*p1AL~_+yzYOtrq~@?zWex-`rg07EdJI? z!PVF7DF#mnOhMiv-tQvX%@h%UrW&6Pflp)enjMg_lq&pI=Hc2{Eod@rQmIp1saKU6 zDxX~V`*%cbBE0h;dh*xefvKC6+Ff~cW#>`(sA^?lhhD=F^CTxY~zKFGQ7+7^TaoZlq)Vgs1=r| zN??T1eQOBLrVxFeF5>3Eo$#v{)0R-?D1v`6Sz!dIGjBx;bOl?E@y{Q#Qp{;`Ij~j|t+&2hUyG<$}Yy?`Pa! zQc%p=Ca(|eanpy$7^)`j6YMCRL7`XFLjp` z6Evf;d-4+KPH|}MmBk*ph_1c!zD}MVkHeHV0f*L!bMovi>X$w9*0`Ls)FtyM=!Ij3 zcL0kET^UUxIkjcEYF1S^hAHUCj6|5z9Cc@*_O>tOHP7a-+-%~uDcmRf(WBo`W1Y_L zdavJ9T~YENr!Z%yw1ClYCub~aVMb2)W)az90wO7ac>fF89_Z{fPf3IIG+7T%5gohE zk{WmUOr_xrLZ+d|S#_4BX&4zV1o(_y(H1&d`Igp_w00}VsHs`9@4KE@UaoK6_6?<& zP3>ekm*ZCS#|j4rJzOZ6QC!7ik}ns^B$~%0n!+-x;$l@B$m-mfloOX3;-)cdDjL?L zdk}K5Nhwkwu3Qpj{qf-F(3sQB0b1dtdA)vT=EI7wm~GDoUiylH--Di&dD26y7{r0W zaB$I@7D&@D+u|z z6YLDuu$-Xf{QscnofX5$=}p9qUYtkw@lrg&kN4%l0NRN`z|BlCRLMUnSwdRVzJn`@ z0~Z%T=|q)&A(^5r+40HyAybchVJt4&jYF1f3gMX`)WI996${79($@6MwQWttgHB3D0BE7n(PML>%_@&>?C za$$Zv)&{meUTRzCMDhZz)?4`3a0+C!$N><3iogWW z?l%XT#sFY6B1Hk*ASUsa5jE6ABHF|TNeLzOE6KLzy zw33pdG}bF`xMMj{Ul3AVNUvM8!i5Tv!*-s25LlLIQS6`~2XU;`hD65u9#{(ady7z; z*|M@45d0i07B^7c_D)t3s4jq!8GAE`-rhO0v^oeQ)%O@DYy*L1Y&_gveko*^X+?B5 zAX~>Jm-6#2Uo)iXLs7LN)^cmIsdgh5!BvdF}6OnbukgS^G^3 z^|d3GE)-0#;K-q3tUwnE`q#Hw@!M7ADp>U*N41Qlt*r#cht7HloEna88uDWWit5@( ziJPHz`C`{=zh0|~viWd6TG*~Rx}v@|{NI>x-<~skO84w=mAN#HZ{3mFo*My+)N3HW z4Z*+Fe+8+NN~*kcSi+^P>AMci!`!l%3b5vUhkA6P{qVBr{%kB-l;-z9un7Q^oiKo_ zieDnBU*uid5{%}<5IM#x)W+TjZs;G{4GK@b$yjE1)f^OKTT|)$GI(CXzPQ)W1axCD ze`8Y}dzm&47JOD%g3Qqxt-Ha@>_Kj8l8w{K6T=-7Up%C}!%#OhfabFbc}bfbDNtr8 z=;~)IHrv$lTYP{8Ovl~{E3f>Jxq0k5(v;YtRmYLU-dpIUgwfR+UlYAOZ$7DrQ#f;` zaS^H`@JWbQ6~*jO;IF8 zoV8OKo?5;U<3KU}7!40nR%zcXFd@(fY(Og`y8rTgq18*Zp(6)|;csVP-4te8?|A3u@v+dThLmbmf{+G z520(=pVECo6(RyTb;1l&i!hJaG+H3y7Y1wzwav`@OD_#s3!WvOl9+paski_mdAPQ? zuSa|rzRK|ar`~QXg89^9E{W-PnkE7#*7GoM2aAGk5rr$Gga4U`vTT*xNUX z#{~=j&I%^8O~@JECbZTEtQuD~QHEv61|iWoH=i$+c0{8^&V?yUc<)z+jpPb?;Uh=S z`v4Z4o)?FMmCnGM;eHNwgbwz*btZBL+ijEPs0hNK`pAAEBnkyow@#2Ya!M?CNLzD$^a**UxH-)fLzJ5|IByP1!H?{464{mhh50W;y}Q0xkHCM1 zsb2~m(s@%=q>#ksTn`wYTIz~#0pjCuXV1)h|4z-MI0OiHy4(J;BHvEJbbArRaM(1S zsURSGI@Gc;BnBXDbho}_V)|K~R2Eeu&66+i@%T&V=t@|=FWTj=twEr!U0h_VqH8|a{8Ef(NAE2{YUm#}kpZE&pZW|p3sTF1b z*B#;li`jAU4EsT7?{BGY*38kjRZM4P1)|GNo`qNcS11fXv%iR>u601bMdqB1O=bb@^bXMdfUX zQ6>zIt(g!MwEg<-)&sF}`Hg4%T}P>51z#YBlen0ZEHD^)4273MqfsLqFINsa z%&jKf0NpKaW}K`fln7lM?v?Fb#vXg!q1Qm2)K*~`%jR$qzfzI*Bo725v>vo8k-hKH zhV8y)B3duT8b6z_U_uM-pMWL}4H-K3)o^{@4m;AXhu0jDBrr#6mQw<@4Z(NNaAdUd zo8CC&Ih2OpniR|8E;W!gglNDsdHf@ZbO1~Wk5<*Ldf*=v} zsfcnn^<-Ug=GO+(H(-HBiV=a!gW@qScvmC&m zR1%@Ht+0mob?v`Ek`0+x?*pkC;z0DRJdV`g`@;^*>5O~{%hR(KdY2$8L!vFKhoFV2 zU|05(acF^L>KyQ62_voz0kcP|;ZaYc><4;i*N^LO$%Veh`QUZQxSe*8 zkQ!xzB-X?RWN<9j55g>TeIO`plVU9g{xeSw>m6|>9?o2B3|Xr&hyB#+#E{c)C1VHy zyABoM%Arky-gAJ=|RBZ_rkEIE0ylXR45?R6{etv?Sj?%FMoUv$uE2&y zaY0QTBcaAtES|2Rsu4>qW2J$!=bj@uG^ z1{v)wm7OE#?~&p){LWn)-*Ju0KcRDzbW8AfYa+A4sZH_DE2gs^Lvp@j1L`}p6IIWU zrF8PA*5cqkZV%^&<2^Op-V}3!HI>L?y5kPU>JB$A*qayrWYm8SQRo>6;iv_&2`SfK zsSC|#%H=b*_f(Iq=@(kdXApT;UQ#*D`m^SR#CVD&U(}p8-vJ%rd=BoS3|vrgJeqO3gl0Q~Z8f=f}@Wop~d*vvIV3@}ArOdGUayZ{dv1rR!152W?+!T*@1 zUejWJjj1|N&g2Y>@%mT7m1G|&N>hO`^C0drLYF{046pTQift2M#`l1v^=ydBwMnY@ z4*o*uCDvESdi~3As%t4^KaXT5&>OS_Riv3m8lZJEPmc0uV(Zy^Z@ql~0Il`A7 zy9>sxULFwgi#_gglDd|&%w;?MOulZuJ_D1jRx_1)*YSw=lzlrl5{@r)z=jNB0aR$IQLwD13MDI`; z&`xo{p`v1O#O9I(clWH`!h6zil0h)c~*G1M_Zq`zG05HeBubRYn?uS{ssou z6M6DLo<;}Lx8r^$AMNdRa>xP~WtV)jV=up?B>~*fm3iF|QrZ+UX8osL_Jt_rZQ%vz zsL*v0$EE0Og(E#lONngr;W@jc&pPf;=ZFhy;&E!u3@1Ob7=S+0?S zzS$jT8Zgf+Fv9Cd)4%eHb@EOf5UDavfaKzv%TrSbqLe#D)^P@@JStB1s39Qv#lZ!! zcnxEJ5gA1AChY71;odyCLiGinbRZC2gf{u2MDA?L9~QVw5QEjobd7c3m$fO7H|=^Q zqle}Rv+TU)Leqxx*|oD&>}!n0Y3VXj%iYQ2NT_QlVGJ8#px%vklc&BHXH`yFF+=vbQ3yFO#1g+ed<;6hI ze54r<1HziP*HnN@zw@O|%Ru02;sKBB)mPV^@6o3Tmxu9nK-TAp&$Z*{5hUo>JE=4loOM-T+c~Dz#w92`$FA0`7W?o|p{FCJ& zm*lN<{E5a$+b6q3HfB4YLvCR3YZ=-E?&4=1s_vrg2LtVT3oajeK>hp+EA^SSF!f<( zbQ~b-$)V(6$mV>#-~QXPd|6=4aX- zJ-hrm$>w5lInH6(eKo%}TTYoA1U+6+W1}VDaS*C}oFxJCN1^+6vwUS}+bSoEdt$2? zd4Q!TPGeoWsymrC}ma(cmg`8%vHv zHWq}DjgXOX)%FLypXlD6fztH(SkL%#Av$;-&UncC+w2C{RADgWWLH*7u^oMH-Y*43 zZ-dCWKL;bMJh4sb^o<$3viABuHIys{lfhzo(G;vlTXWNiJqO3foRnhFGOh|Q2tmu` z`$ue6Zd8247e}v3v*vy1QnxCv@U(oSu66jN2P>(R$@8BEW)_vnWpXz(5|&jqG#Kf- ziAU&Ekt^EYa8-1w4s~y^;8Yz?yVA^6ORcyQPS^v-Ju+#~Tna7;CtaW=3odAnFPxke z-N=HDuSpo}#rKLuJUyA?xfgVjw)&(aG+kUMgLlNFvkG?Wcb&Y!k7!(NJWm`y$b`3k zY_IWlZ6TvS3pBBB$Y2HZO8(n?Sz$nBcySkMr25qHPbIN&z0Ns&58#6I=(O2BM#a(T zwS6@@#S@d@irK!Rh|OeoyB~&Tp{WAspy{*_s=Ush^dD@hs(Fb`)EAx~sCp@#-9~Q~ z-XAPx74DamsI8chiG7d7QOd z3aRx+2eg)oYpU48!R&+!3K*Ztc6oYb2N&e?dX$m06rf8SPs{8UE zk^Y%>9tD6;wG+RE)`&7YNR=tQuxM{ObdMs1SBGltW`{rMQW~q*tP$JWWNr@^=jp3X zeEHeBtL~41d?_1lbB&O2EuQ_kosgGepjgE|f=N&@p&=Fa9`TUvG0UvV;)Az6bH{)I z{J@ts4)ohFW6g%OAF@jX<>3v(R$~w3jC47cv{*$$%|f(Mo7fOS&fAi_0P9J*6+1iw zeyL7_9axWA$i8Zd#GOI4Nmyd`xM1^6&{c@_<4*)}^v4%VXJ5--B8^r4?gf*Hw{oLc zv{K4M7vahRrk3fg*1F181~}ew0GHU8fBm|A3=U4^@l58H=DgFtU*V|LLhpw|_?H34 zW6z;kwl2Ba?Y!ub?Lrakq-)Px45y?e<_+IwET<{j!Lwh&u-rwKK#)*$r?D7DLXZfp zJ$sP9pIY;mQuMjdO}b^OsKFqA|JasZM-`b?Px;eYq1e_z$CQ}#GeFW7o)A!aujbfR zB$DlKc`{DCBcQP95K9V`MWKAcR82t8Q7bY+7g)CN$xW++Q7E{?qcwAgW*QK;=zf?0+>}5cB9TpB!$OyZ$XLt1(_6uH*^=Z1=Gc#;t9jem} zDs<@-MrK#{jMUZ@&5Q!I|7wmD`SM=6;Rn~%(O8+k?_G>w3qwq>ydcC?ysg}jP8g* zEq4rbf4V0X9ZiCYn7d$7HC+-*z}jJE3W0KpTXu~%5ZhvAO1V9w>{ri_Sc!CxvkjYa zI`4ejq}O<@nc1nXVoq=B)-uqSo`j) zV9Qv0(_GC;JnulI$LK-3Iq*q116e?Jh#H>yv)<1#JPiufs8$r|R(GybGASbpYwq;3rFotncpBKgY zBd>7kj~82^Y_dCw_hpRosK@&^vU`tPAI14cB~ENYtJ0#AzZf+T18Td{=}feeE@;*9 z=kt!twUa{GTjhqxyJv>(zvdA(xoK1P*U@4{?YYn$-%-P;RPRccI~}w!Op8%`P;7*{ z?d_n?iR^zM49}QnHKlkSf0Ik1lT6KAH1N$gQWcOlYkjHV_gD+ACgq52HNNmx@W={L zrF+qB@2d?}WTnxOF&f-En~lHI%&gvhDua%0U8!doy#q_GGF1<0$?^f8xpY{-C|gZ1 zHz$$PK+swzFzSJ#rFW3jjA;*{(Da%gPSwrn9}ikzmM)&^fhmflHuk&LILCowAU817 zn&`3J*c;E_s=AcKq;r@`aWScZhx7li;=QWp;XpJ6$>tK>pyzmj4v{vP(qv}hjo1^U z)vlNa#=&=`L~b<}k$8FUMsLz^9h0(A--tYO?~%k0J|zHWe}$Fto)rI~T`Q0F$*Vf->4V5}X0>UR8(B1pKWO zE{;8AFoR=NOqge1Xq?IjBH*%>t;PaHIG>Ro5VL^tMcVwS2wB;7uyjsWr>d?X-Pvd# zLPmO=vVJf{4kO^edp+jWI$%6Bi0{gSUIm`L(kEhSPvm_KUf~gq^%w!urn@H^)f;25 ziOBh>RSD58%pSDl2!-3|^29^%D=h_AZBySVCEo2_)$Ze9){H!+~TT+v*$Sz*U zQmN@W%Ex-?Q_-Ff{T^n8^OLb;%@h+2S*~fr>L9cAT?9a+2Da!=AUJ3bk1tz|nvO)! zLWETpEh9X3<}@^&9XjoKnf>n-06nY|#;(u9G%kD~BO@(Tm8=|dzJa_7YByhqE~s)pjbwl>Lg7vpDRU#t6;$rv(C3UFdt1$yxaHM+FA3Q|vss(T$G)jxX#8!u9zyD4Qo7ytLbXq#;FJ%};degt$1 z=)k=St*38aGS%SRTs;MzbF|&6(f427c3_E5;TB;3%(h6;pge?zvV}q61Ilis=&Gp#mnie+G zW-1{WfeGclg+{iBL2UVj*g^u;1`)EqD-~T>k%nj@AI>hGxL7P@Yjxu1`6cJxnIUPeC7QW z!&CJKxua^2&7v4(*Z!xk=%!BxVqnU@aFAP##K6qsx6WBIX|O62{uIOH%m`BX)d&B9 zTl(MB^_ny{nwGD5C;PS4E8p)gRdrZtt&>*SO%=TiI<+pM)`BO<|GUq}#q^7Q69 zB;*nxaqX6(Y=O$Ep~?A$_hcyw1)f1`vPI{*J!Tqm_TICY<_Z09Tm8gd_(9hPQ+l)3 zbn256I&j%p^(o&8@dr7x_rmfHs}Z8y6PM;npA5v-JGJrbx5>ui%M3*;E$G8r zS}{#AF~oWF{2X`zl$fJnQ&+RSY%SeYCG2`!>?_7jn!86ZVU8WQx?hdj+a?J@;QjI6 zft;GonE_LsB7Evar|fK63mTa=ud&=_W*;S{$Y^4)Dz;$sHDG5T51>@afEJ?P1D$-A zS15jITdh(e}|yFiPoz~@>z*WS2d!HQ{UOor%B+IKfEt9O5>?48vYn? z%$9Aj(1#j+zo8&pq(%CgwdK>@`IA-gHUqj#iPP4GdC%(kwk4Ma2M^XCc(76&{tpnY=xWv|9z{>F_Qo?!P7BqVwmU5exRP$odTM+3VlyrK05?Y> z9u_z~S-{lRHUIu=x&o8`%_Z6n5Msm7jdfh;>k_U($+Ol_@L* zB)A|!i-3G6Q#!6xiD~DjQK}lxbm1Z86>(bgL>K*YHsk8dIdca^acmY$!sY>C=bD0? zgIfa`hOL_XHU&g6CMt~~QsznP7W59oa#p7W1;CFUUH#c(@}r}zQF}~!&`!qbiAo6G ze%n0`0Q9J+W*}|OmKf^j-W}J7+Q{^@T(mo&^y8)4Saj_xKIMZ?s82;0`Kso}p5L00 zP9GcrZ#h%`rtI)uS+FEKOjiYcr?j)=53P|Qmb_qz4#G3XJ0$Z%zJrHymM$sKBR+&m zOxpY6TngBKeKy#ow_@Vrmwsp8LI&E44`=6JtnKH}9YAf@Go8 z3epz$ofqCpTS5RosnQCkn6`?=gjGHeH}n0$GQxQj)0Aa;TKFs#a9b^|%YMLV@r2cq z$zgBkA&3Ghe@V*Pfp%IGuo7{hd^X{6upyR~?yw+^s8zi}-n z+olBmG9MC~h(~xHf_4dsSHv$l(6xp7^u*%YF;4c!|Dp)pRH4tqGzANuLg4q|QT*|d zg{2O%>F)_=XPhxJJSOKK@zW`x6(zZtV?Dd^Z^pptCQqR!+sYUo2^|@Bia81MpU{tu z4QdX7G1*Y9OhQG=6K>#a*Z5yg5t_d7Gr%Qf8FkphAUMApgNTC_T)HhrPtF{rce4cP zsp)}ie0mSLSb7C91!aJV89>ntu)-iDTgj=EGRdc;!+L}wJf6$h2DRX@RVTz$b!A6j z_*vYbSNc?$R9X?}ivW9|V=@X%u7P5&MZJ{iDxbM;g}O=lXj^*RmGHuSZNO$N zp@I}t{(#2Xqk>5E%BHG=mzwnYDeVKV7GY%9E~+ZZLHDr}fFD;~3G(!6`a`E@R2e1& zt5+)eLr-eEWEhRwnu=2hYEb3Yf4ja`y$j1utFnfyv<$?Ll@z(r-gyv#e4ar(kV=)u zz1AW1k5|p=>x}kEqMMBPX|sTk44Va~lLGr2_*LlVGV2$bHvH|UdfMTqFArI%0_(Hx zW|{5q$unykr*aHO&GCSTRD^R7p`M3})%d?d0j2pJ%5-b%zIX?f0`oT82*9q7Yv%V} z;MM6$+oY30Q=E=m`|f|$uKemuyZ2}ITi zc`&Wxq3dz>W|iAvnLDDIEoVIFiy;AzD>_(MI4NtCuV4j<>)H)V!dByYPq;d)Nbl$b z;+L2Z*I5hv1r7sVp6=_>+YBaI+#KvU6mD+vrlaeTkK}%btNRVnjs%UL0D8Mm1FrcO z5M=i6LM?!@>d39&sx||AQ}KXplWL;HOp4Mdda-|swT@UubVr2i}mSnO&SHa+ZAruqTKfuQ)1u|`nk;E6< z*TOd@EKp0cjnyO4yO6VkGg*+oN4~hG+OF#>Xb_#@mg(LSMfjOm6=Apua#JY-D-*cM zV#47sc}PvhEg1Yil)XcgC`}hE+O|&HJZ;;yZQHiZ)3$Bfwr$(C`}OzV^#*r%XEmuo zRqhqJGa@6l$nrxgQn0$2vUBF|jBaR{4YC)rL#b9pp1j<>K`TMQoryXklB90KDw3Ja zgKj#c6`$S!F7YA7=x~6#F1iE_zm4ET6u68TfWSPl`4VQ$kV@0eWy@f*84i8y8Aqi9 zcM6@{ePI|!3fqX^5s+nDPs9lx{je9b6grb$5Bjj3raRg7d>$#(+D} zb+^wooaX|%>W;pUNd3w%y8+@i%Fv_joAT{Z{+afT$!5iHFykzLYqLwBVM(9P3Ue-! zF019+U!$(Q#xt40N_;0~$-D|_dWT-S`%+bo7fBZFgW~|6FHFZ?HKZ!I^ix=ieB0qP zGq-vy+x%oT1ERCmrz5+zy3lKhw#p^jEZW_51R8|B`#Fn|>5fnB7o=F8h`J?p^ihur zYaazPBOYgqpdUTJiMIh2o`=5Tk&IBBj_cgdLGQ6tD$7iFDyZ}kiz6`GhT}c@%G8Hi z?btgqadqmMamMov+&BCNwc`6p*ywPNx<>8dSV|g1ZV17rITn@Mu;iLLr%axXN8*pQ zw-R9)1;tjWeJS3zf!v($Gb3Es05Ojd=pt!_N5=E0bYwY+n|i=E6VW(vnq)WG7=)XJ z7t#y0{lMPLg<_8htw&8lSxZO%;IDt&DZZQ$uX?c54+i0DO*8VA?>TC1HJPf-jypdT zk?uClipV>>{C}8Vns7=T9CeSMC0b}UCx2SDb@o#9_G#k9Sre>$)^DyNtU@Z`ah8Ss zN|a3T?ER8cY97?`bnocjI4+pA($`6qyd;K9&Quw@-`f^T;UIB#UbsHg_gZj3k&+&o;qF4H}E{#31n!H9)=-k!6Bxc zx}G!{x7eW6Z~~zrX8h|tZ>=SX+td{-y(gl-;@8=I#!R{mn!&#}?ggezQnyy0XLZM# zge9d$T}#FOn*C^(+~n=qTT}!^!or(!%B9LZ$~F2g|%gR=i+zu~lp2i9={Qkz9vVtOa;FOTCrev!3f5pf;1 zOy5ehkY;jCa92CTl1tW6vaPe_yX(iq0q?+2`X~Y?(@BES;5?4xEdTm$vbuTsUXi%d zX{cG|eY}?FESc{zlU)m?wnL7O=3BZJ@`de1?TALZ`{h+!iVbohlh)Srhh)khK;E~8 z(2rf0fR}AFde^z*+<~CgJxl$D8l?$~wZ&F_n%he1r(PPYGrBKM%&FQ_sz>;lfY#L* zYt7^XoKcKsFwnT4r>-vs1WG=`y>-3mqTn_^wjWjN{`~wm3=9M&FCS!h%<3PiXT|ix ztV`(}o1}A5GBHC8cc^(Wl=^^Ri^FUNKF5SP2#S2~LP{UGpHG+w66@7jYwp zs90tOr`^*R#i3aj*i$dvu7|J2X-~7P-+nxhf7W?KNDJm(9Rci3>df~CALc%-AHN`9 zFSc+fE+D5Ofo`fDUze;ObJ6)G2nQKAmsU*In!kAk6{KE-blu6LhgfVyGZ7I!68JD5 zCyJbSIDJHw{{i2-;{kmjeFQhGTy`~|Vg-G&x3{1$@-s%6*Wp_Vqzbyj=R%NaRscV2 zcwYA)A0NF|+%U-xFs#W0nL+0zHn5Qo9+}}}Ehn4Tmy0UK-sVIa*ee zZnaZtKyYK6F$(H?b#{sVp3zqGD88RFgWeu8F4g8%aB%b1WDAGAJ^?zvU7%uFDk|u@ zbyfP2gqV4t%YyR|cJ)=bvBox_x@eFG*ZU9zhqzR_>Di}P*F!<$bg21nG0tJffLG%n zZyY=XXtB#m8;Y1QyM59_ew)k0`!+Cor!P?1x{cQe$T(6_f)OGn8ks^4TcC@er?_ zZzN*M%61*-jb2jpl4Pp$eog{< zh)7BL&>F7m6Ju3RbbORO5iRr_X^d^0Pn~U?n+wZenn#)=h74z%_U491DdH+#)RiQ_K|lMNmLfa z9$!;x`5(BpfUC!gChv7biJ!ea6@CYNhg+~{qu+8B5f>q?(jRw$W}^C=yxrKaP8OUv z+mjuutP7Dtb;p8Dt1r6gspT;S_o;0gj1jhyA#u&M6oi7}s?OJ;&Y)B1;?1J6Bq@XE zWRnVdXJ&20rzY)YOy;8cTtR7N!=)eK|6BBoP^ijs=LP`C%LDv>H#E^1Fc`BLvKmku z8|&-;8k$V#f2~c12Gopf^u}xk`X;PK#_a#U=!rIT-=hmj27)X^rG_9U2Pq&%)(r3* zAl8td%4bH152EyI4R%EyqIr(!!Vl=Xez3J&^>mMTTFW{5O1n8CX>sjvZFuSUynpLt zcHf+ynwH5~>+&A}cg1G1mw0+SaLHk7Yu=9z#Qro|`K0YR_MKY6VQa8CUtPx#b=jwH=IwToxOxmX6j@P@!Moz&^02yU<>Ge%moozXGQ@dkq=K1Z$U~^k- zk*l*6he#}6ZP9qp{Ff{=P^S3AWF+>`B$!j>!0KzP%qjZu5cmSms|5N}M*IaK7Lhk7SnD48<$}d-up0X%0$*LNDcEp6 z=F=Xar0o%Pwc;w(Q};DtVQJ}+qWpNx*<7hBEG(>k^UdsSK{-(|;Td&7>vqQ>)oVh2 zV@x}sQ(bXwj3tm;)t+)ObWQvR8-3*3SlIU6J?iB?#GJE9&G~Dqughwa?kk_(jSfj z&k6{V;kK{%an*#Wv2ic(0q>`1qx3@nyK;m zHJ8{aDF$B3v1Qjh%j~rBfM7vO3fPZ^$twH>?Bv*)@%Mk;Om!+JyA<`%bVd5uR5|3i z5UEXN%w#$oCYFsz#W~!yZ!e3rw(9oSb5njSDkU#H-{@+q%+aDHEPp&6k><%GA{*~XiBQHEIKg%Z3AMWQuQ=+G2iEAcT8xQn4F%TnJ#ltkOs6m ztwq6RF&sN2g{(-02l4H8V2A^qWRsvRO_c|w(3R->);$HbfgN~#Le!>M8_@FPrhU7w!8LujO@l=Nq%I@lvj*0T^+2jrO+vSl(&fF^7&A(#~H zz)u=G9AjckckmpdPTW6C=B1wIo>2@s&|nclx(I-90tofAW_e^XRRZ5OQ@~R1c{DH> zCvh&_c*cB~VC<=w2#W!GznNf$JcAuW=a2^8j!;rL3B{1NCgY-YX|efyxmhpfELpa% zgDKFK{iDSgaRxy+Q$qx?vt*VHW|jMP<=&yG8XwOo(00i%z;Mpi2F%zpL*_JKU>sxY zxN@N7-UEFIr*y7@m_9HAJBKNFm5zQJH+|la>|i_qJR5Ls&5?~{z~~)s;L17%m|8Cc zpw>kWjtdjHm#nus`{=0lmrZoEH#xtp(&w-9?FP-^BtEweI{ueo2?4}PUC2M%%vuM+ zl#m=okg5s{*5`xd&I%P~9z5t5_YVRCV$+qDIT5Dlq;8r(JM7W}YUXs2NH{}uGK3(} zPRIjnYvGKMqH_%!96$qXXd$)qX-@8ecEvbu0V^f5bV(uR(Q1J(cAuDsW+OHJ`bH(3 zgs=>O*;NJlB(M$mt{b37GQ4Oq)H*IOup=BAX-hN05CM9JY?vmTsL76S-1I4cFnyZ` z#7dledFzmmDH)oth2z1_`H>clT}7nqI%Leql)UNz{uUi4l;{A<}a`!NLc=ue91|TCxQly0OrFv?nLp)$z-pz&uEnQBtz%%hH5ApOvnl~#O z*`Y>-a=>gmbVB$8!3j-epsRIRtNHdO@T3V-)d7?s;1t@j+?naQNNz+H{p6zM zQo?v*7qx*BF6FtrH(8idn;-cgvwHSHpyc1a)5;5Z_JVU$i=h~F;hEDDJ)8ClTf{jlU-6WTLiGLYQpnk6|Sq9~<;!@mPoL_g;WA_hcHPfwlSF{TE&gPaDYe{D#GVmI0X zLx^*WW}gO`09q45IE>&WP(gNfyn~r z*6AjP8b{3hHhj`us*{ys7J7L32NL89)2C{)C4?vfk#b^{WFI7Q^7hn4GnKwl`d1(p zPz6V_6^?+sWQJFEkADjG+%a|R8vBxLj{nJ!Rb3!nEKsn@d6N72TNdfMAX9!paf{zP zsGq6gw6GGbV?bSpJ-WxNMg8RuKx=+x#?)~gbU%hhj_=%i-4X=wQfwxlZJKST`>w#Q zI%B4Qknajn(yt1ouNh+mLKPXhjd9VH7JW+C0kLVOU?US7Ut8(N3GsU897g8ehDTy z^lzT$K`aE@IbB{uS1|Fpt>E;B76MSgn&<1M2nnr-K$>yvkB~V(Wa?IKSs?qv>X8oX3D8hN)N^h&6Us{M#N3pAT*#i>QN8}2qpZ+{I#zx48pgN^m_mx+3%TG-0Y_TDdJr1 z256aspz>#&?lmDhYs~EP=#)_N7X&^sen9~5 zw`73$xxRQw`-RhoYBQxa4Qof!q=010`v5kM$)HdYMQ%Cx!>fEuSE!b#gYqhyHs^v5 ze(Non2;ds}`QZ!RhfQ)$}tinS~z|EGzI{OY>#;;vP z1dXjKOCE+)x$%}KXU{6m9=3!Gp*#XMNaLPnt}S+61;c(#ZmPX;`n5puFX-}E7!ycD z@WuSZ#_X(0jDMW^a)CJP8Ne4b0M><-=)n6sn&0=8^1ChHOityz??9yRuEr92D`xCQ zn2!JW+3=%gXr%C*6H$^uDDjAH{#fZTQ2v+U%( zHjnk5=|)<#IUe-dcIc{vBJ571WP9RMgkC~9%b&qH;+)2hWlkiCth;VNyvvw^OlT3a-HW=+KQhcnfA2;AF!(( z*`;qKlt79n^Plf(BDome9Vdw*An6UF(A%nQIV7-hW~(pZ)Qjr-;s>S|^I#7ptc^H>;`^K(Hfu-Gp&*MD-(V?2aEOC0cN3ov8*W2!z4110!e zW1u1A;R*@HNtB_HsewECmK5M+ebhU8J!~6dwLYcPQW}dt zcrhm6;ao{d2M4p&z+p-3s;M{DdfIyr$dD3MV$0`ev>5<^MD6PJcK?xd^nM^DP zCx3g(lkDdSGR-kk!V&`1E5u|XrZl*J&1bo0b7w|^6L&(xkW2s)nzIi1LCFdI7<|RV zdN|~YHwkA)qH}b?A!WV0_88A{q%#lqD>Gq^HVep?9b}mRYN8dZBa(Mc$@`=W$p(r^ zULh8x=MaI@7MYBU>dBPNQ1Nn?eYvNm8NzP333Xz%xRQ*~6K((;ep9BBES}NzYZ;Uo zuxgIRl^$GO*xYWhsYnd%PHn%dm?gGQ8|}W{X43LU{5>ImbJ#R3g7lx00$swj#k;66 z`Cr-<+j}!9a}Rki?DSwte!a9eeo<-BQpGTl(Oz2w;Zhl?M@8#IS8spPMndf#IXIp4 z**p<()+(LO32PZcX;I>3CFZQN=;8%sX;(0PKKc~dg{(BO1<p9ii1$ClRaB9Dz(Y z6N15K!b32$K0*Zga2hb-{x14e`yraYOaA_XegT0ndnXGbMX5g+O!`0`Q1CAL(V1&;-w4Fm!abBVgF8FQh?fL z>I2#QohfMKVNzaMsAVXqfJ6TuHcz~QTxl_y(|^^G&EpQ#;R|$KNe?ixIOY^#{OqU5f`aps&RP7FjP5)r&%@>j>6xE#iMq?(q>ZDoL0K&vGE-=3m}V{6jb zL{{K1@Te?5UIjehK^f-&t>g%$WMkWa=^Gm2%DC@Hcn*tvqkm!ZaktdREUMH7u#;kq z+6-al#WxVTO7#Z}&sbonX9(hzroti5Cv)xyokyNHf(82KL42_!trR=3tXsvdPuycz zc)}-V4w0v%uPSb=T;Y-oqREE!6tARI;{|%TU9+UhS$aq7RpIE5g`d#(^a#<&0uH*q66_g5PjL5e~~YsR!}MKpe)sFlhaWj_q$Y>l~E zR^2E?LBSIiW_QsZXGWXgYtkubHyx3TiguxydSP{IIhfkcM5eezZpTiu}Vve1~ z?~{7RWqPv4}v7An3%bY34oZx_s!s{R`qBzFzZQmK}im*-$J))>9MXKnC@n zH?-by6JacnF3zC2QRDC~f$^1A{#0>}p;mrYy2^N~fZ=#G?u1i6>CzKzv(C^!Ihk~6 z0CHlQ$H%ZPiu|>7ZgOiG;P%TDzK%*_{a$bsFroI$4J<%QYYgD#+>`@3fLXJ&rJ_^m zl({*k_t}z-tS+ho>6;ANf&%fX{8U#+POvKBNvug{5-VFKDpwp0@@c+Y_^-Ig>SVpt zf94m609Ni;>zQ^q{bCfI?bgU;BJ^d;4Ft*z-6jes@997pyLIrpT`oeoPYvr*KA(Ah z&+5JrKq$emK>G#3_4+JMjedE#&9(9fP+A>P4H6LIkhXeP&;*=D1=p7J@=Ic5jWh*U zzDR_N#rTPIB{9WUQ}wuhNf`F?Hjcqu3CQ>O(5v#2+0;t+PWh^`N@ELaAzV*&hrbyU znc}Acv>ObTasPHAOq`}X0J4GH+r^b$m!aHa(_FXmVk)%MHb#|Rn;-A5ne=dem1YJ= zuo+5)S)z*(fZFB+{n-Sa=mc!O0<=@KO3~EnvI27e0EuMNrY4vIlflPr`E+}Vf$}hK z7^p^tLOyMQE`^(9S)IBHGdE^5bM_S$G*(~=D#b-Dt(;2IEN64ki9DAvh>%lBvqfVN zf~FGOW?bQ(uI<^MJF9c$7@wd&jbw23osc~QxqQ&nMj`g~4%U9?)1{B3MlCbvm7Ykrm0sd}lLu_iFT zzK?Dc;MsFvK2CCd^dHO{N-L8NG7v>~MD$i_HaPa|#_KxN*b zp*2MT_$IpFERO@A+*h~X7i=-OkMD-B429ukmto`lzdJieW`T%XX({^>6jTC^mPb4S zE#b~tFKm0#lCF0v2f%A#+?hNKAYeZh=#ckaCHNr6BeAle9WJ(C#-7nc z;F0Wz3L*)YIU>QFB7T4b-C73`OprzRyK`g>0hi4%G6LJ?u5O;M1C;}r!G4cVzyOs8 zVU^81`bzJqIyfkb+C#M#LMj|~-85=AWTyonnu0IK_3Hs4_M-IOUW?J+{hS;G^6UhAebis9 za!EK{>TB{;zWFYqq-C5EFfbE`8*@ZNu8WN%57uapP);)iNL|t@DMzf@^TCVAfP9SJ zl9y(s0(#wr|449>$cQp+Sl5ts`jy_TF_#HApzNGjl+Jb`keL6SF-V6u(#)p%BlD|h zRssB*R8kM3$aR|^A#C`IF)ByUAGS#!gs3n)GH*L#Ol<+JM*)y3J&;-1+?<=iu1atf z2xSiVcel^Y+r`itjrCqfw@)?Dt#bCfdqfZiV$fn21LmsXy};bNPy`}F7U69)>n^E7jc&bV!-EO+Y0 z2n=1r#AZog5@br4bNGSbc&sQ+q?>pGl>R)B=w*>FSd|vx-?*c~nwmPJU;>k7O=UXC zAj*{6Qpj+mu%Fd6vX43KjJlU=aOTkE3Pj6RX~&{GEr5p`8E~_diBus>c|b#SK%4jS z$5Yqcp^2;2g!X%s3f-|I^lFe9cKtg$V*3m@AUo3g)_a=xZMy&fE?WOqRApjlV=ZoS z2(wqn)hxpHyi+pMk__Rv{hQT8u=Mk>4zp=&DQi zd>c+sSo~7_?@yIDsw(Q7)wb5s&O77w%tymG!ao2|`mFdIOBrNeT52qd@izH8Hq#d8 zrp0Y|w@lcZYdn< zDD!3@ay^}_;}D$_!owf&gKTx(ddh5VhTq58kgaiCu@0l0F0VdarC4KuY4AdErT_yT zvk_bd)z1kd6%oU6q!3!=?`NeMngv!COFsx#!wQ4fOjHBiUL=Y;F|#n4TADIFRihT= zA;teOWHIw{F)vUj)rA-_cN3uo*9VBgcR~4N*^}?I&)}6MO2P!2)wFpG^OcRM$42l+<(#F_;@WGHhZA zC++Wnl(^X{D5p z7zQ?T(un)?4(itxGUU4q-~SO}f>g*s_B8xmUExhk2Q|BoXQ_8nkD@Ze*(K67x|1+6 z(l4(p8va8My5h$CLh(`HTXYqpf!7RO?d}ptA+gO~&^08u2Z|h&h9>v!DLnyQQ_*<~ z#x2gVJOXX2aVM~Up)DORPe)s6pp#22m4sGl3khBQb`Qp!>5@Vwq0WYVj|!An**psl zvm?*$7d^WxjtAbE;e0_`Oc{G>j{W5x^BX(cZjq@^GyCBOC>4nKBWG~9B(W{3?)!kJ z=S{_EVcQ`8@i&~vh6A31iVutup&QejAiGv&ZcXQQUe~S&3+3_;$c=wSm>&$roSP&Al^L*j$_6dcC1*E z#!(OKXY+m0#r$qu`vrd2U8?@A_O{?<8|cg1w|}Z3Mn7wOceddqAEI+c8IYsL=}`rmm3vl+%&k47y&8s~p6~Z^zp0rB)LeS*jBB7L2q_ zE^6m5vzq5&iXt!*kK4=Vtpw2zWO^;|j7+$}*zm&&0?0mhGEr8xm0?`u1h9J7`G#_5^V=66!k;r=8tO{?|M3|)&TW2 zM(|zoSqTzZrii&FI@G6qUD*L_aATpV6SYwk=j8nZ+P_ix$z}<<35L>~@5o*4q!Q zs!5(oDJ2S?T!@)ol;$o;1Q=W2hQBt`b^ISnHhL!pe4r*KegS05 zoNPipvDkAq^6K?y0w3N8(crDLB!A1}=#$u>mm=j%HM4lAZGAgPM0h&CS6iI%{g4ZQ zG8gh)qV}dlFUEmYl^UR;2j=7I?1*#L&v`M>hf{)XRlILk92B^BT|I;!r3tnWd0;irc`B@ zi~w$%Gj@L75ukxyUg(95SnHC)K1-B_>lt?XM{!Q#X~5vAE(h8xq;5ws$a^<>t?-1{ zI8+}Co~FIrhgNxMp^pEuNp|UGYZno%HCn`Gv1%Z{x)mNAZiyTm?kI1Dc_NqKJsY7%A|_Siisxa16bW z#a|UE=*n0e5`uz6YJq1gQzZLmq!RU==?G};b8=zixHS~eN&@x?hO_TUa?zqG^1MpR zdRwH74xt2}U~jT2cXU`b;E!%DyxTZ|AKFAO06o~0H_cVAT0lFDxCIBlIn(~fY5D0{ zCYBtc1dw!>m0%5))8QO@ZojaAg0a;iVE$}9Jpccl+hxYT3Wbpk_y6901f~bW{f}A7sV4n^ma zPM{wr7v>q+wWUkzt+*3;8I8Q`ldpl!UEsND5{pJMYQZp*L#p#9)mx@a7}~LL%UX*p zEEM(bN%Y!tBl1GYS7SzDDr~Aq(yG4mGe8ADGPduo=1kmkd9%gEG+aMsNs*X|SL2bt zd7|@JgbEZaq#eF%N~$Tio6XkT?WpRX`xeE9>Wtbc%_dOHYpkiW2TDjB8FZ-$ic+VW znH#M(ECM47AOh?vJIsHx&okbEcSyYBv${_z0n0JZL4n}p? z`s+d1$zO8)p|42RGlBa}Kyn@x-elQ`4$Mq2Pp$Cr@AOu%vn zbwj1%_EKDVOChlK19r@zX-or^9d~z6zrtSsc}q&CR%d!O)UBDPjowdU+b1mBNeN%r zMM(g@)^RGng);vaBEYM|q1#F|z=FY!2EUO~oYtfN&K;tKF7Zs zMb9C*vVokM02dx>E?a!GBT?|Jf%*t^5H2PEwuCQ5!t(T#;7c#0? zCO!}<&%ka{YpyYqJ)!shLDSS4wivYav0(o1thDJ$k6&WlM;{NR&DbIw7oj$Cbg_XH zH(n(0>TqLJ*dD0b9^})(NZP%4AWodvO?lE21*p`j`Jd3}Y1ZGxv`IR$`>j=aG5!%- zHBk=_LT5+46g2+#1@d4Dw9wv&cn zIY)i`d-5Oo2jkI~6_QV%@uZDjTHy$4(aLz9o7|=q7+5=IpY=D{_CLJiFCU^Sridpr z-GH)|-8G<#FPdAb+S(eO){dK8FNH`%bh!8f6A&;S>>#iF(>u%attKt;j}+c4`z5l{pscaUps886xX2(4DYp$Z>@q{q_b*QJW# z7z_7b#o)CnlF6r!BxIGC5FFyTFAFFXrT)d<_%x_R8Gt@f0FTHBZ^qmcVBeO@jqqd# zv~WFp@w#8}oJKAMa{FW>xP-U^!2&T>W!=yf_A+b$pG{AjXlBQ{n2uz8hf2clDU!;! zCG+dh)cW(#2>jNtr~IQPa|DO2jtB11O-3N*4vM>&?D1D5Oqe^cFCKXy^hmu15DUd+ z`xDWS$0o`}R6^c2lu?VeD*H-g%$vvHv||x?+Ep5{Eg94|!7lu$Gp3&Ph`RHbb{n9= zgq|A)MB>FJ`09k|%; z2@2jUA9ivu6Pw1mbcCv*n6f=c!#TFCR!M!^+Vr^WxMuIXfqCC9wt>R>sy-wUB_mXV z`I#y~VOu6~W!Sp>^0P;VOy;%Yzk&7RO@xo*_b9o?MwjQ28C z6!5{)lgsQY4m>?b>-X|y8*ZX}(O8P*xCdX`9lsV_bEcko5X#-jQBt9d^&g?Ehf|@C zCg5ySAT!`}F2)FkzQIswTL}~i#H(zncHI(W>$+Wc$=x8Og z#|whzM;aM6z5ILrg!`Lu{>-%>)Kz@fBn->3y}#!dWWM-;XC`guO`@gy0VlU3Xz~ zg_BI~+id{)iZItYb4348AKkYTA3uyEij(hpcM~TcS|_7V6i%7xx3(9se>JOY?=Qn4 z7+Ztck+S6q>+ofFAm2Ame)L?xsTH})nozsz$qEg*K*~ z47Oo5Sw!q3v!(#6c&4RJ874LPM~y=%OJ7CM-+gou{9EHE|EFH$d?1|5$@xvE(4RoU z2LF!;mM!wjO-3tS(NXsR#Ri}<%4m}=N4L2y49g(etz;M$Clw1$R`V=`#(i-c~qma!ycKX{&mLv^rF@ zlqP9NXys@t3d;4Mh~Ji=r`F z6|y$X4n!wxac+0+@9x|*Gou}ek6`4P{$~=2=OP2k?*9atHfAHO%>fc$_FA11h5q$W z1}GJYnLqa3$jEF4v`B~enrb^=cB?D3R0sGgrC>L!WK*2pw#&^sg`6Fu8zn(u2I?Y zzYKH)I9^V&Q2U!Z=b6>9hQD36QocjXm3K*&W%FTaS7(+a{n-v#tjd~BB{u1EuFLyj z(^2lLVT^Z@5?StZ1H(6elhq))F$zK!Vf6gf!+Lon%T4>PT?zvr{FHL^=+n0L&g^! zAL41RZ^&wdqP(SixcOPHX7t+UB>SPL$WuMaYC7aL1xdl15uPh)b5o(po}3p{nwBJ8 z&g?~D(4So=(W`+Xo;mAOBzLFq^F0sZ(KkWau#|>l+JW*q{6Kb{K)c?#p}@eVJ~oC_@7)jS?HXf zwGKFzQ>#&+2qjjKMWJg#5A+4Z8d%?X?>9iyd1o__#U}n_W%xS2MnVU_Vf-tWvWjTw z0n4ZocajJ%eE*yTbCseVF-5vQwWn-_m8bYc9+U#CprQl>vQW$|JvRaOX=biwhd8@G zol2C0g}6$NVnL?83SStOjy5qX6(iSVq7uTA>g#?)-XS2!DIi$xd{RTK0}gPc#|dR7v$Oh zZYenBbkY#vC3*N6GEjz}pzG$%a*}8;JHk){LcXk%>7Em9FBtkeJgko;kjiApTlTtr zs7_n4xW>FqX#YLFLibHIk^d0hvhC12j!ugGBu%ByaF-2hFNrq9_+&E1xHN2swQVjx zIY9t(ROa^e(oqV7Qc>Bwiavb`tC;#tl?Lg*hCbdwdO$t1E)+bOYfLa>Q|DBrZ1hIS z`%)f5;0rpQU;yz}8C3Q@6)QJC7 z@2|AzB+H)8fAwC+NSO8aHvg8=q7YA|XAfNVGTCkj2gb)S6_;9HgJOkI2(ako5H*?a zjnI?Wb+FO-Ww`C;Wj|Nl#rKUnC`YIcj#d{iNq+M|7T<*Mu-97sd^BTQM$-#yJ+;AV zO?5Jvd*s$mdJ;9D^tAtOm|~;!A)?OP)xkcW?uyd#=3W-eL=Ks{(~f=AfmaHKBKnZKgC2j2*N6$nbW*4SJD%NYVVqLtZf&q6?TZAjKTP=zHn`mM^t{S ziCgrhGTZRW0MpEQ`D^b<+RRVaEi!TnMynnpnc(;BVRr%T8Zv+eUF+oDvBAok(bM5z zlwg<*fg~n8?0fAcKs|GktpYDAx_7K{s?j?UXztVyMlfS7&<7urLJLlSXq9u69<5B7 zvNUCZTINllQ906}6Q@crO;#Cf2Q~R{)JO|rqR3HT0`tg~N3izDJs)zn9{$Vob!)J#3k6o0V)WRWs{34@w1b*ZYUqJ3 zQD0112D!Z3P&m z3`|;Q>LMPYri=%^3fSxL!ChxYl$b!uvi<}DnAt#~<<8|4_W3Wo_*z0`WA`jwYETA_ z8HdCPQ(gIk2xTV#uCL+*bcK=*z6JltyW1W$rH+}DSWzK0^l@-Y8k)s?G)1LlW#6Or zt&uyDF;6M?+|)Y?ZK`MJm`(=E+5ju^(TG&kzxL&;y8V*hI52MWnrccZc{FH^j*b>< zv)VKF{niS!n2kBl{uQ$Ys>dH@%T#06s6S17?P=ZnMd&+6U1_P!9!#L?H)Rnah+m`R zZ^%<0?sKj{8vXQYLwn+tDM@r8-+r}%3J+4Wd}eI=S25C^a*KDju#BzXN?@<@(YbC|?mCe3C=k*ajU7jgVc$!@zpo=Om=ZwX+!av>I zmLRm>iORj1jY|z@6PGz<6uXZ7yU@y@UTHBE44)Y!lVh5P>&GmwnqXD5wl~Z~Pf0EQ z$1{2i1zd;rl9En*P>Ew)s0wC@H4pnn+3C68a*&?@cFx`6^ZHSR4H2igrgQPZ#Jq7U zMUIWoUn1&czt`yQfR|g`<%2#zDpGHRC`eK%B+>7nmz|pPP2%d`dE(NgFLN_cQ{;T} z`vAJ|PG>!6YGC^g26RApw1|K!@r*LM{B)lS%o+l7&csr46Q1kitdqnc-Bb0aI zMc+dbxrpTrG$O;YtLvK1j+K(Gj=UHsabV1|xb@b5&_NxNU1By8g`+Pg3aYUg$Yj|a z|NPgrHp5!nD8HjHti{(OlW#A$ZHGXG3`7{yTtNKow&6fD$PHp9=!L$5ek6y+imSf&G;7 z^#2$P2$mR4HB9{7%R@u;*hGMUSL75+CWx$OIy4(iiOu;4qq?We!u3LM>0{tji;<}}%| zvk^m24~;`hPX6{6h(n}mp>%33LU)ksiGyz-*5>^us62qSQnREGX-Pq>0+piZO$GYi znCk7QHy-WbBsUdK;q(P4U*B+nuT*qMc%kP94iLc&q(q}cs zbON+0ZM7_v_J661)Myp1G8Sxcnzm#0uT`b!sPv&T+Exo>KJT0O?DzXulU!9)wu8SN z)Gx<=4F$=`I;nK)=7$47RK8t9YlJX~hmO?lNR}?P;ySh+q{RwCOH|4Fo zx-WNL>zy! zKpa{JuBBFWZ(%|A5W(z9>{`K4!TM^!%{D20B%w+jxbWvGT5J(*Bf13D@yXrAv8Hwz zfP!JS@HaGRqrAE|(?i7l)^It$oy+SnVM)aHH?^s0iYPG9B?A^X9zY!^+tU(`P_Sjo z@yL^Op{mLAVzKJuii@f3lYH^6$^E2`xKSZ(Sv#}0Sm(L>(#fXXWWTYFy?Yot7kn^< zzP*|`2b|s;A0*6hV=uXZ%jy^_8?kaTJN#{|h1a~{#8JtzW%5*P%2L#)pV9qv6lA8Y zRAlkle@pV_TuXAnJ~+IaQ<*hoI5Nb5IxjcLxPmd3G-`+R zMX?28_jku@;IsKV*FR zn6=O?gi6u^5Uew1S7h{FH4UJFISrr^-tW?Km>tW0!;T6d^Ff*bo=m36VQ*&YfF^4% zq`w(#LRIF%>0uLK05V11urL$iL6JiM-g#_d?w%EJC=TslBRX-IRBfonf<=X%ma}O+ zza9sdgR>RamIS!4_7Zadbbcn(J8J(*BMe9ts@ckPk%i65V|MW-Cq0BDHCA@<58O;S z!Gtxy;1D~)ow>Q}%?5dIaxY6#=)o6uaYRirLV0qhdph>#cii-DE*+-wb4ilH?qPNBGHp$B=FGLg$ox{dpYvD&B=p6BZRUK%KN$|g- zK+^@gdX7IDum50qiK;sDrKZ!y2)4X|-|a_0-dQi2k3FLvK$k$UAzUw5L1JN>-`0ti znm<;=BM0Bq9uR$~qb>N}a5RHHe~o^f+~yy;K+`L#Sb7GD$o6({Ny+l_Q`}52NQ)ry z);e41r3)r^J0Y1R{_cdQ<<2GSTrekBXKse`<;Vw+dyOoa!W-uz5|gq+&GV6KiUm7j zH(TpSaWTpks4_=2#%>@c^ z!Mjeh{wg(BMx#T5!|e8vA;_o~1(P%m#P-whSzTWOtS{_H=-Z${TW*MLC+r&R+Ve^` zkEiT_*ReY3Wo2>VwQ60y*G73AV}1SF25aEZi+qZEvN2Z8U>YXSOzYnyP3wn1wElm>>Zj20Xn zC6cfq9Jq_5uaA(r11_7LolhJ|yo$ojrjFi=J;OHEN3wSU3qnRO;v==VyB<9goJ(C#t(npsiBdzQ5EuNq#0XJH<71T zP=KAEm!1Fo zW_%*G(J=xeOJeuqM8#iO+8C~^&Ca>+T}||ER&&js!1jlEm+15f+ra|t*!+P6CWqnyrVCmW_xYmo}9kalcJ+@+H%@3AMiv)wv1c#kb=EDBn{EInxn>)Qxi8_jmsi_MP6+c{ou}*K= zX>sUhI;HbrCGR&%Du+&H`#_*rozunk zntliS+35PjWVhE?L0K{os=fo(Tkp(r>;ooCoOj#ADi!}2*r(9euAUdRhGT8Cgxovtpz4Yh(rRQ{Z_~k1kxj)X>4d}r*$~>IMtuEH4s=9d zKv>C2I(c-w-3z9qDvguK89Q**X~MlqjrQb2qS!w=c&D#m>Csg}Fsxp(1H92}s9~b? z_21m6aCve}KL5Z>g5{V(LI+S|xXFS5*!#UfOaSiTmGY(+F`d9cnw(m4QC5Zlc%Hk_ z2GV46`k+_zy}i{oHzv{@5dm27W@5SgwIH)215Fkb2E8E<0TIX1Ke9xWkSUT%cpK-| znPBBin7}Az?P1jO$xFJ-_4*V2J1XAw%SuP!X>Q%`=R1mdo(9)T1#N@(MNe+wfkaZ4 z-_Qe&MVzU?>Ih$vb3vou2dg<1C6 zE_;wE^ef|j5LHs!63wW{a3d2nTiUziUWns-!%$OJdiX_MIHe9NuPuyuxV*w@0gaxU zR{k^sDt2utvQ@2ed3C*Qpw>{?rYQ<6{g-KfD9QHZftYdF8ND2gdL3O!Y=Tm?iFw%^ zQp$F+MzR)$iKifT81bO)_A0S`x0aA%;q*Y&fdFSucoZn0xKg#Xo3UgYCv=-;2L1EA*A%(5!6;t zRK`(502>mOs1}tH_;6!z6hx{`2EWTE)^*Qb!$YD%dt#9eS9)5u2zSN1A`nT|JU**b znIYG2LuEc2D|+)LaJ10ePI&ZW@lQXCuh9mzEn=hD2>ob3U$mx!qf$yg3ld8aCmn!r;s)Z-_IeZ|Dvm92#MAnm#bX$gDS)CXV%q_*5bCz39VujoDFZF&*il zOU!<=tKV53WHVOq>yS!SCwv1lKxOd797@RO4fdzxm<%?hrH6+I9bqw`iWFNGi#iN& zyI?qro7m7M70k=47JOz&XS+c|-LNEcUDDFYg+hEKzR>L3X;|OCKf28$8hpLU(AcPn zS-%7fD)qw!S|NiZX^oCrfq0`9f(fg1r;KfcFNGm3{`cDCD~e}j-enA zmcyi`E3!NwCkduof>~m5lwzJ%g8l~EIM?veE^f6c6D>R6&~PuqdiT-(wKpf%A6g(~ zY1tq~f!Ghr22eijXjRc*qDHO(g9d6ALD{7_dO&-TPk*P179y$Iuj!-o;>+yBA=3dJ z(7{^jqc@x%hwnhGA1HsX6=osIq)4i^AW&fbB%d|wR9_CQXKlRIz~aR4i6~EpLJ%UH z!m{IW^oZtVXjqI03cg}5g_i>fZxs%5PX|N5%|PX{4QiK7cgDS4y=>NfZ{P&gl*<6@ zb-b8?C3PKk)la#rd-1N{C%XlV(cWqY zsI2v>cY5YR0L`*5cjHncsaMVQn@)O{z|c?QfJbI$FM&41qZ+coMQ3xp*BY{sMH<#z zpDewhMgHP8k=iZBpmWrRYoMvW%xR>nFN|<`dpgE)dn_A31yC`!gO>S) zA}go=w7rQ$(Qcf}O7N)PqECveI3tDCZsvoWS?8@7;%>e2qMaf`n&0v4B?9)CN6&q~padc(D-rZn|+#{gEgJ^xg6wH2jrizDY-UgJc;=@va_|)xFr2btxI1 zSYhW62CPPp{fyB1jo*{Qt%9BBN^&CuhAZx%9r#wj!d0M}Smbi4Sb2gH?qK z2W@A7Rrxbf8uEiUZ<~i$n;&;o5Zn^hAitbgip2Z6nIil5JEC2K?vLQ~Wj15+V2MQ- zPZEtxW2y`qe#E@rRXrRrZd|g~uQP2ttSb9;p_)b%L0zFA$|RUWP`bXi zOI%pi{3MHB^r`ho<(kD#jH3iCQn)BvWbYwv1<^Vy`!*n4LddL0EkptN$~dr)o@ zFILT=%yN;zbMm_{D6g!YT3zw&d~MuKa6ZFI#@fAh_SeLB%B7|;$Te(+8CU~i-vS9k z;~ck#rd43_2)*T}@n#fXt&UMLGqFY=AMRFYqS2)X4#RU2LMv)UiDL_UGr&3{izYSo4#0VPGI=UJNdkNgsRGihHhSB*MYV@ykmuKogPu* zv58wxiK`MN2u@~cBSrnCHc(Cpz@Y<5ET=XwbsA|&8VMtp01cxoDRm2@VPUsPTqm6v z`9Lu#W2rQ!w+69+**L@^9k@P4AtAzwu8Q&gQ_X@ftyP9l$d zS6lG6z158XPL5_|+HB8|t>ao)c2f>+Nl?uUFozIoUb#7q&OlU$G{vt`uYV0f-Pq|C z6M?J9HC}Oe>Bl&`agk>((%>s$uQ;iO;8CeAlnIrMS(6zMv>jMJ!C*1<_i#Zx$z-l> zsd~82GNon;a4JpY<4J*Yx}@sK+=1#D8LY`-nA~R>)CO5Ri;#j`j5Dk9t?gfSTy5L7 z!Ouu4O3!xG9n;JrM&d_IZJG@7&scsdokGla8~OLd$bYp$n>Q(We+@hcn?}Kvogz8k~e3C7LO| zpG2_U+KCx09XEDJuoy~`)Behq2z40V9V=Zhsxr>wSPK1NK34u}< zo|t&=2K&D!#0Y!}2LZFZ!NG+K7}gI8LtJ}u6Ch|mj$djEW*@9~lgzk#JkT89+|%1TplNyJ=rv=_~Z2YH?B`ec+^HN6bU1!(=}{1Nf=3PW#7 zD^nKK8e2kphks|QQLHRi*ez(UoLD2a2N;sdGAQNDDIbD7x}!^TSd*_pIecbHFpVUz zLNKh>FXsZq=I2gIYoeko*oa4Qk7#xzwO%1g(}c7oti;i>*-fo6kF9!E7k8(=jv*LVTVK zwJTc3=urlO^%v&-mg|hjm4Z~jh^)>KA${-(&X!>sz?eM?VI3NZ>Rx~r$Y~8>oR1W8 z;W++2Z zOi%$x&O07XU$5b?h6Uz7v`aqC#+GEEU^)d`lncBcSq8n;U^KYjEw!D&o$&D`kH8Ef z9hHN8N|dSg>EQ}<5#U`=KJq3)pMRvEGQ~DX*J{QG_#ybVP4WTHQee$jT~SsdZmvZ@ z%>;=M?8^=>PE%Gv68W&N>kpQC>xt5O3}wJUKV;C zhJ)dCa~SfI2~``g?;XJcY^e5h5b}@)oACsc(VbYwEO1=7B);A#bAM$eL@z|uT@vor zP0e(xu}d70m+)j) zGD~~vkNdY!m*0|-YxEyjbj5|HKBFD*GMl`N4b}f`Vp6zfiiu4vO>p?(yC$PrZ_xrd zL%^{jcT3F7zy`t+UkG4bjWml5<`DHhdBrU~ozo;<14F+eLAJw8brUq*u}^7g^y*bP z5r!H4#@&zz-K6Cx6WySY7Q{W^@9@ylman1=s&=PQZ z{AharA(TucxSw>{YV{IHB6!Y}O(vLspdublww_i-U4~)4q$Y*?J7k6Q8F>#U!?`n~ zKg+#7w+zPSn|jkbrOJq|HR4!jDPriW19ZM31zLIKI$&XH=!-#?&Io$$9M{_cgb~9I z)qHvpQqRcGXKxoUrMKp7a>+=Gzs-KLf+LzYM5F^XaDURh^fFr|J}P?S4IGAR&$Q+rxMGNLcE=FK@GH$F68muY?aaeq(LAqxnI)6q zd~oQ?1WD(=S-CA$$g;z&-v~!(f_3e$kzalOH3$AbBdCt0WZCC^II!$r5O5QlRNmlK zT2?nQb_g`y0^+MqNw88sr?tkc2;}Q78u4(Jy%1>HF2uY?M-!!OY~pc*RVB3q160F& zpQ+op7ygIKP|WY1^Q7683KPlsa>R=?tdiS5V0D)tr& zngtg>NJVA&^nc4yE`Aop^X*sU%dSp5zYtNn$V>0x!&Kd&yT27=c>HOv6R!|Ax|T5I zj`{JiGe*+%62Jb!5AZVCs)5vBk!uEP3O+m12+2jrlF@W)JNay!>*Lhq?|8sIJBc7G z%92K3T8V+-UOf`XgB*bBhM;U~o(r8Lm33E3V9^MwUNle1<~lhcUVF;WUnPrdAd(0x zN2Ed`!-y7Z>Z01nRK>Oq)D6i09(s9Ivc19a>eog4wE?}=njjf0=jd1)Z<%8LyKRLb z>B@7g?))q@1A38m13zz6whW37L$u^Y{&vZVM_ zJuFx9WgGFmyR=M=+uI{3EqTRfs)lrj_uPOfC2!fJzExm~mj5vIclQ(u&j|-}>LBU% zXw1QGrnTU7LBGVN?Ts+s@L>oZG!3$b7ZKHTV4Q$&_XjL}#wqBSWswA;4KXGC6~)NP z?0fFU^Wo|ugWN{!+bJ?rDUdc6US|4Lltd_;nFqIJxDrV7no)?ty-X619814(j+vRo zi2fv`?WgWU8JqQ&qU2Koa9<$oGEP~9YUAv@cK=%XT9Fhiwt960J7&S{k}X@AIRJ6} znjh*X3D+=3GNq#zhFi50A|YJf6cJCm98Rm?7Sa8cf6`+Hj6vB0j9bS=d?LWUFOI6y z^82@4TU#)UG1o0A+pGqRy6v8&HKm7)q@eK%juFT-U_elRw$P9Y@}y~0rmT(5ADUig zMAa8{uCOQwqdK0Aey;n-TTa59Y5@63Bq2k57ZnF`*x9k{blJA~bU8VD8l;=aR%Wpl z6_j-|A_X!OfIUE7+L5Bh-S6*Jc*%L4tWI;!Z`x%UO0a$A?w~y?}})D!cNK z*DYf zCb+*nqdVVO={S?4A|jT9CkazWqzSJjzF>{W%i1ZP0!+4YnxL}hA-xw*9tju#OB&?) zV@>>sN~}i$tx}EGHye(dd@8t)RtwGQn*n$IkqkOtryeG$K|$lYo>QL0#x47QrVFweb3-pjRcH5{{!(giwG{ z%TB}$RUm3eF&zO;Tt9(BL@5LBpqd4$w0gn!CxK`>s+{15&Z}o%?Hoh7F{(XcmT_^B zyET3=ycQ}$WLf?zLR_v3zIFCT&=ugMW@hEoxD^aZCXV@T^YIP&{}mYirGnm%VhRMr znG5oNFEGq%%EZae!p%wlW2$OG&&I{YMbE{;$wAM}$;@HK!eVM}#`(Xd)094BI-2&SZmfIBKX`;=V@59WI z{(FqwR)zk(hMl|BqE(ukU1qEOYKQ9*aN8llYNVh5;Fyq6ewF|I!kN&o$zy}EbMaT@ z^r)%=-c0Ft0P^FP?tO9zg=Su#y_(>ryViF-`*&Qa7h8|l*)%&NJhtz+rVL!?il^lGS=4qR&@WpzZe=$UzOKW$z3yDa7+Rd&P9V!M};_H$<#-ictm7 zn5tU;YR}A)Pzue(Bp?cF9QOy;my`23ptIZAWp|0c5a9!1r+}4!E%Lfp9MdqwQ&tzD z&yCSpQlPxQJqm>{_hX2fjEc{B$%M-X^`C-*`yW22&xCG06^Fs^^4iKCfXBh}@X0*Y zd&Hq{WyfD-vVtHfg=1}?lXfnnJ(3SxUF*c%9Z%TJ5Ei~+DSPN;YYpn#3U+Ic*E1dB zBP~B}$d>E%zy4zQu03CG7dm{qRr562tk;|T4a5g0v@b(SkM*S2#a?o<>-imP2^9OP zQ}d(x5#kub`Lh6i&*=55`+~?=#^u1f!^$c!7e{S&(k2~X^ z@XY(T^ar_Ga_Vh??GJUp0F!5UU#N@HNRA%(sUc|SM+ft~unj1_?dYi?DUJ}U0wiwu zTpz`Qy*@NP-sfjIqJi(#!pGluzZd0oR~Zkl!x#KGo~G$#@aN})A$iWLIuH9E=`>V( zzx;{(WiyqO?~I@IHU98vCk^6qu1e&8z>`ONqAmJ#{P zEQj?lzmjFD+QJ>$HpN{3GEd@kP$B>7GGP5?2y%|!$|MnW@a%TwRu%*Nm+1R!0Pb3Z z8*G^&d@S_f5<6=g|%eunGbv(fSuHjoH^Dv&A}O586y1&515AX{oe{^LIV(^}Hb z+OwwFeriuh4U5$i_ab*YKkA^da4oX#(t5OkjS|1pLWDptWDZw_U&;0fa_MXOaH6>?41}tZHuPDk zLd($@dZ9$HmtoZ?+ zyf+QtSU;^HLRO@n|?~E`%49n zqh2rPdj$2zlwD9Aq_-PO>$v*9k>3oE%e)#Ph(#ejO}ld(q6XPIZQj(Mxyiqn>dv#V zTWdzs_6F2ZhckNP0>lA$>?4#eGGk213f2^qE0bgnnbJKPtf#4t^o3gs53^2M<}Y=9 zqLR|ecy~PxBr5!3VdX^#G^OEh9Z~`Kc%=l^7BixsC~}C8rND4fO#Ez2$R*)*zv)px z_|;NyW$38RthHm%+rMv0aMhd$qrUw`ZikQ{U6lHp1r>|UnNH-&!e`)t6bg?HLP8O6 z3#D-RyqS7Be~ILScQBQ^!+g4?*a(0uDb+5_-+S8(T@P4~ToXvwXh<-Q!M_Axf|d{} zOqJ{SaX#P+JH*Jf^~@A#g)5`z#hJH-_^Ceu8Ri@f{qX}UrPL#Y8^8*l^>*zjVC;yM zZ=*RG4Ot>!YC!AAON3(DLsWIy*_A@{LAO_2Cr^u6wyxI?IdCK*gG zvA|;{Tjqvd&KDpc70_ha+e8)ME|!4PI$n+8VkBUmyy7q8&dY>F!AJu6>JNnxBAnz1 zTIi-yw)O^H8j7SU;Jv%TLpR`F-$ik+aHQC8!e7I+4VOiobZd)&aP$mXMLA zlCZ_?Vn`2Ke$|1f-R<-G5hK5}S&K*vL={0OG-Z7X~e^{QBXL$Ll%g{W)*V3dE z<2la^Ij1lrpfq_v=K9v*0O{>e;P}CqYhe9B**Pg(I1+@*@vl|%yR%R*WazK&MF|+d+QtmWB784QM07snjy$;fC!Xc{lZ)viQ{4Bf}}zneN!?=0%-E|*ykv`1Q;^IS+B4|e{Ef^xnJfw zThoojM-M*v0L`}lP67}hO(M;zmStqlteFja=D&*;dZxoMUGp{h>7VeG%nFrI>K$K! zOqv9QcrqAIg0`3Xu=ZvWo8)diT%j%)(kcGDv%J8Tcd9kN@vxRp9g3e*Wo}QUT|s0$ zk%T16RqCRgC!FOV^I{ej_}dS^5y zN8GaC$X2MmKor0Rt@fH7!dN5oQpJe=2vK!x<^#-$jzFty(!C0uQ_OZVWH^NBEaro} z=j!e6XUwwQOdreoi)c6^pOl|0! zjWpDKT)Fry*7P>)ST)m{Gu;5Rl49~9y~Dwy*vq?0vRwtj-uz6dlPDe&H#KK z9b(3IF8drkGXubZ$bk#%(}Xt1qWc4!FvG|U*Q3D2z1Gl8F*Ll@O@WTVa>-7v(*Sm4 z_|=2ah(-XSwfgx%NS3=D4QZ-33RTDSj$EA8CUe+?d8lTd5VZ2BQN@uXduQ0 zDdR~q${mEF^Dhiei?-{cny^ptfx$R5;$9#U*#jRz^p^`L5SZnboMkq;E@3ZLOhk)f znDnRI6yrjbT*NbcyWpcuAsZHO*WW!CB5U-GooextPrI1noShE#gj~{5 zCouz-2hULt4^wWHIcLSD#%I8^k}j(EETW}3oAqP^D9s*=MuJGyKwD%pWP_Boys>RU zsPlx&)?LojRV*w9+_$tubjjtW00v_%vt!Hq{04jyMtM+BJSlghyJ^FKswfOlW^0Lv z$F%!g#c3@!qHoAvtTsbun%W+r&{q<)@^UjZwA)~DmEz&i1HgL^C4S;y&~Y`U9@o&0 z&ZJfTWPe4hFUdY?);mY=zx@a}TCmml+(7@dD(qjI?4Q0&f0jAOJ{)ddjul0x zx^~DN{;j!*%Yc#fC1tjoB7dJk7>=Fjsf+Ff4U|C{+X*f0fP3?hUjcta#^nx5dMJRKs2gBFHEW@!RM8#24?+E;% zE=P%=m7HQr@})y~qp+{U*$060XtV5v#dIOnDP`aVu#1(Px~URJsoIL5eSO+ak9AuT zM-_y?*qVV-5B&HEC&d0QseU}lS2pbukk%KyJK_Qk!^~l~s#=5OE~55&cDHyr0_v;% zXypAuVxI+)ykaVxfZ4$RNywhmVi*0LPxo+sdNJFxgY(5J2QoQ5h5K2M7R!GN;!4g0 zsITRx0EUp~d1$4&=#};^FQ{_=>T6M|brIhKH}U662o%U*0;3iSW)BSF7Fr#TW1M%< zxaTnao?;5RCqL*f3c##G23iWuMdsSt7T@41VuCl<+xyhlLr+Px)j{4zV=PeAlYxJ+ zrkzi|HKYsbA$AC*#vPJ z)Ba(oY2J%qAN|z`qIzj;RDc&KZ6FB`LeFd5u`G*m?ko|{$>arAh1>k#fm$kwLJ)D9 zGP6I$@t|cw4CN~*qX#IoPlbKnR5|U>!oB67;5kW%9m;uKDja=lN1rfO8n%PpGJ z7$l_(Evit15(=rm?wh5t7|+IQ-g5neaR!hKhwjR5i^D&~xdWSR(ihO#PSTDCB^Oe` z)?L**sraOr;oNq!t-O~Bd(GQp-F_w^e~(MRrHNk_fh>Wtq()?uo5J!*O^K>w5PS?Q z>?2zPnIXn}DUY2Hnqi?*XaeT{w|0c~n5%ffZ1|8{$nf1I$y(KZ&BWA@obG zn)zrJv*;HcHgNniqY)`k)pVr`!69ZgZ%;9&^Ut*c#UrI>kxcF>-VN(9$awR>vrOAgnjwCD($-fh z4p~ENugWI{_MKG>fYE{nq|PrbGEVSB-&EsEdWwc<3Rjjhm8F#6e}zM36TpMCfH>yc z9Z8Wxo$oasUawj}X=*mnCO2Bu_33 zRUqGFALbi2dO$0y+h7~}$qX7C<@<4ZNdQQ2%fRY6T=Ar&vLbi(SXI5~60L9?(fdkWU&ZV6De4sU_44C-J(+WM=b)C8U~E6f2=JphNcJ(&l8HLe?~;Fg|&R zn`1Ec&0&psc));Y2`<5$F6F5T*4P1;s8_WwF~3nrjZ)0at4r~9ui_wB4+WcrsYtD? z;yH5PTT1|(?<_pfQfY~mN~fML>RRGD83@u?a`wC{`|a4>f90z@i?-C}&YTsTJOR8%_{k|I_Tz4XHx%&}mbwV*I76@SvBkHmjfb^>^(3aYd%ALpg&TO_gX zJFIMBMAuu#X~D1sPjiHga5~DN?{qqjB)JNOT8RsJ!pLX&bu%Glwqmi*aYy;L*5TNWR|khq|c< z!m1U~h~Sc3$5&wfY{f_Ql{H{dxMY!cZUk_WkIH`uVsx;1^S`^Spxb0D*kj;m0(-~) zf_U9Uq;_r3S~L!Q-JL}-7cN;L-N2WU)wz%Z5%wn22b!;&@s`2JB%E8J)y5$Osp{?? zR(;vJ6M%y`YOaNhqB7&$VBd-_iP=YbpPvWr&2XQX{qKiyN5~pM#=4a@_8|1CHX1Ca zF^#*q&KuvDcw!IgN}>!v07PNX)4 zRgq|kN+Nf7QLuM*BuX7c5G8pkBu>sMUmy~Wp&658n4pc}VRMe9D^L?oNQX(G?{$Zm z#x;^;_+X96L6K%a_mMgC5krp@D&&_M5Mf_0{fATl3prT7ku|U1N!W$yfA$F1hvr5# zylE?IXjRc>)`zGXuEbs|&f_OEjRN=HRt8FA_P#3#BDbVMGP6R~DWqr*{d~JPCIp1N zoZQldK%NLgV?UwGE{1!~6MEmT^!8AnjTt6wtpC4^#pS!FHksUW&z!&~!ZIYT-qY>f z3=XA5$~**7^aiI-N+9uw!(*#f8vvTm6~qw*5kwrTaOSoG&$g{Q`(dTQ<`7W|j_YMV zImeYe-?yU9U@$_~e2OH2AxSc{LWJ?HCI1{l^`$g;8b%w>ntFGF>5et*BE81-k16F2 zbh$Ca=wA|b{E-+;79aBOouY#RZ12ADecMrCoIMY(%=}Vk-(O;0|CE7x0{M6}`>T%0 zeXV9_o)EN-Z4PI_@wzeRN+F#d49Josw`Pg}QKRlcY{$lLE9BDpN0B>@$A9DwNTLr} zF3}i|Rf`8=UJOpvxyO3xqG&Dilgs*q#}APTG-Wi*z;RjJ0@W)x4fPKfRlNd*w4A{D z7fAvQ`J$}~A0ytOm#G4o_{;-m){XbO-UbgsMK`2&QDIg zkm@)~hyNGA5Z3l`*7tyzOwdz4PVM%5abDsh(KIf+=#R`SD}q)s`UWpYn#ZMLSVQzS zYLUWGJ2@brrAwB|>$u`hkMt31sa0Nb(j9H(c*Ac;WS4lc@i&cm zAD&PF0AUsC+dqGyyU!$Q4QBBmTRgKz;3ZHE4Z?pz0#cxT2?R1{PGWE>*KlSK{I=rv zVGr>;E`3Us*T^`65ttsnY~}KfEO!hlMFg-7Z(pkOz8lsZVN`979lIiaQ7Cs7L-Yr} z8hJAjuoJzN$PUP{ZRKnhcvRtAd0|6OC0^M7A<}ZC<`ODd6y{`TrWyRmp`K3Wej9yAltV(hh;l-QFM&@C9*Lt5>Q9K{%k~J}z1~BW)t;Fw~^~h8?Acu8G zlJYPkO*L0m2*m%0ekis-gm!S-RHflcj&UA7v3nffJy&a`;(Y9c zk1*4yESA0PY-*ccuX!15&?$#mA*SNi>v1y6+=L+|r-81&qQ- zYbvf)^FxXGWj2j$o&gQB%3|)5XZXBBqOcM(IQf7_JNQgF^_o-m?85K3uv=f1w45K& zA;>QPPk$(VLML3 z4Bt%aM2*=CEDaFBtSwT`q3OnksaukXlqyB=#XBTriVyC!M@DHnYxt>F_*bEre2EGL z_}PKJe7KlU7`-^qX8S}?vI!L!yS&!!-EG^PrJY7_huS`IfgedX4_Np40>tv2?W}SB zG?m-`0EFHqvwU*gc3Ym7i;^dNOVaJKf&1X$!4z4=U}(48s1{P(*@$Y2le~3H^3q^+ zRvE=^=&7fUbBi?YK8D>XHu+shjj9V2XP*4xLtE*aU|+mWYq+C6zSfRm`IJz9%0rY4 zZgo?mlz?nNaYe=?$?RRO&R_Pl3(HjGzop^1TU(+EWla z`!yIl{{X;iB!BL=TjiJ;%iuxGHelCi%o6+1?fdNIRX4(L7ACNP$Q)=h_J}C%5{pX^ z;(?ajSjb3Cth{mh5Ed@d=g9f6C;OgL0c-u^cxa`kS{cE-voFHUyz{CPDZdS4&4IjH zWWZPUKvN#q*Q`5;S2Kv`;nQsGQcT~O%*U20f{9%#>5tK5tV0J3`l&Bf3K!@xrNd47 z5mTyR%)CWVVAu*a<}9I59cqfH6Qq7zZ5NY<$(lWXON@&=BakFzglFecj=SgsnQtW? z%|>SGtL#~;j$-1^`sEQ+a_Xv=wc1puJpox;ByJjJ<^l#gsf(`_6g{h5=Hfh{ac>E1 z2c<4IR4YNA_CmTjeO;L~f!~XRMB1*=OO#8W>~!5uwtLK?5%8C= z#O&524h1%E&r9lwFvU# z=O2R4uhN_W56_``5}Q%k#vCHWY+-70$dI{QV-%nF zD5rC=CGFf1{EfCjykHWqnAmIC^DP~UCfRjlKx_dRqkdcfYr7XtDMg&%t|6_vo z`hmpy+Eim__B_L;V4`9Zap+7pg>7~zgfb!=?xmUy|6f6!(7{GuE+5Y^j_o426$YZF z)A%e=rB$0=AFHX%tt%89)T2{QwdkE4-5RFd!+>Cgi23%Py zNB>w9UlfLR1RzB-2}vpZ`mZbzvZ;YTXvh$e>D7p|B}jqUXyr=`<4ea;BkdZ3c9t?d zw3E|NFel(L%%Ky-X^;$`fl??ix0Ffqv8IWx=DRWCU?}GsnHvWsRM>|^&y`UmRnY-q zlYxD}!!}LbC|nq)syq#|eGZf3)RT(Qus*zQTa20pO=Y`|_-RTh>DWsqx2%@ ziQwvvk{CUg$8~;4t6xEkZK zCmb-G$UP1-8upzr zmtGab#DIKFyydBN`opKo2;>Q0`Vb5#uaY(8gw>0rI(i3j-5ze%*_vL9d&Oh3JLs+z z6@Ihumaxh*)2lkG=1gCq9DqV-5JWNt3Vl=KJPQkFUE1twOaeTAZ&zx(FL7mA|ro!R0-wesTC-(V|krN(Wc}Q3> z!H`UldVsAGx9~i-9+)7>_Y{zyq|njfBKc4{SSXlSg8~mX9xUwYabloccA?J;P+>I& z+x`jVg;uGWpmWmY29sUIFrVdVomZ4DTvL;mgN-?p0-<*Jjc|GPV2qk}v|J$hb&{PFA zFvUw3tA884RinHCA&-i$ma0*j{OLR4xZy4pG26W$E80wwKJrP^8xqQ-`~F4C-jS9_ zvC*DB-xi}~((0_zv;5@=p%qgAS}))HDHnx(>dR!wa!+DCPjC>(_o7%2;)l^0c_Be} zDxF|7lGepI$0^h^4}iynxTn`R#sTK=%jc)!S&1tkm?I#zR7By>z&|jSisv!#FY^>c z+3pzdPG;<&m94fm?6XJk0nHMJvf&f(C^Wgp)zw8N5aei&BCATotR!dY$|s8ZTao(7 z$hyajup}DH%L~PlLz-ld;&Aw4F1{yZcmI*^w5b2DjVF~#EpGhR78Mz@Yq(CaO}bJ4 zNQ3^)sjy7|NIB9}-F#S0isTcPfr8zX;kooa5Z(^TSP_M;BoRMPg6Ev2j}o&Ef0a(u zRYXPzv|#PWO2E@-z}wkUP5qAjmYl`G|B0$(sP~md2&lSPQ*u<>KMm64Jg{2c$n!Z& z^sg5Qm~7ei2Fsp0Qf4oBgQ354Or<-XAYdCg#k%5I1VC-l+#v^5%O9b{+r1f$n%+&svRBUeahi*7@$m1c&L*jL zX;HS=Hs)2Ly=6!+@l#u2pbQzK@%(p?4 zcJ0h^R^FF11UaPU!2~yEK>9B?0 z<~?YFoO6hDJ_eOHLkPlhX*3zqg=fV!&EFfAp)_t9r^J^TCgm~F>2a;Y!|Od)cHoNc z{_d!LJ1af?yTh17h>zbw7}4I5!Cmw*=$egUQL1$2CkJ}duv+)z3#D#8;1Jorx-y4X zu)(lt-U0aA9`Ua#H~6gV)J~yTxkmx(5w#4Z;1MrP>=?tyroOsejPlpIUDpC>!G)Bk zQ{S@NAjLwEh=rVhB5v6)9nMJy>G}I>A7D^I-1RcAD%(Q(%g#WkxKI}?vW%P=>;5=o zEnBk@YEc)PWH+u6x1u^xE{lkSH;x#ahwn(s+oFYC=;S6Q*yOgqMGHsLhE$-Jy2Os#*}hHxk?}=|l2BpwloLBNPWY zdML3%8=f7RA-usEQg4qTr2Pmjbb-ZIpo&eb&I8WKW} z>3TzDA|8&VaXL+7C!l_|ZF5MGlR4i+DN}eVc|^r9Z|YoOWt8Pue&)mi*xAiPW~Cv?ZKey&TG6qiETCY%KZ#G1L2o~-xU+(_QxhWg%u zXRMWuZFUE&j2Oz%6Hw0T#p%>hjXWec>G#(2w?AGjjpyP;6hbKbNh8eByio(gM0WW< z6fKCe@Ap>R|3Q>`2neZrJ@YJi2n7s%Vy)J!!c;M0^t-vxWz#q90pwb**nJ@CD zW%W^=Fm87R8-fJ-1dLg#xF_)z*KYGly0xS(wtvpGK^((CypfCN?Kx)dAO|XaL`th@*#`B0)`41KVgnSN6y!zI;}Z& zO6##{o9t02Y#^s1b}ep&_>eX>WTfcdH(HMZv9Y%+$f(8naGZ0qFg`hyimlr472FP?k%y1_@-f&t36mHLIIV2pOId2|2OX@+0B>1 z{A_JX^Fb06I2CO7%6o`2lgV5N!_Ab%{1d({E*>$av7D_0=!*6|RoZG)4QWu?avd{I z-==8SXHn3zI(hNf!&RykK2hm!Ybg9-CuJ2cAt5|0dw_#OcfAX9(;tyS)k^H;*HqeH zUgYM#0M@og8g#rLP9S!>D^QrQe{@WyxL~y!M0HS1r!0AMjk()&6N4|j)}qH?v+vhh zdB+=EhN%XSt?NmbkOI~2IXf&_OJDy zNy4{C?K_Iv)sLXbB1>jV?7lUEUN6yu z4S{^qiA1zDg53l6r}d1IB$>~Eco=#n)`|62ZKh~ux0-4#xwJa`+c;?DptQ^ZZOB(x z5e9x_eX+nRgIr+1E9)wOx}KJR)5T5HS*smnuwTkgkzs5Vz4SbE0hq6ndZVbpa{}2g zMzX()+#||sOdH=b8+Oq&_~jWmK$3@Cspz;rZq7W273JpIWrwO=W1+C%Qye}y<-S#{ zb!w6?^$fjvFTS6hHu+gM`As){k07IY!FlxL9C`PNKEV-1OmYkN^;{o%Z(3+~=`U0b z&Rx4_o(j!1?`Nz=rsq)FFr<0U%)=f0x`ByDQ~ZTeMeT1@{FLL{7*#-b5qL?{v4Zu( zO#H~VAF5Gn{fEclb?D4MGZp>E8Q0Z=qihS#N3~HyyX$;?`9~^|>RDkw^a)=Q-Eeq% z)q16?(ZU_uL2dUWp#~T)&0b95eE@GRY%{NDpew!A(1CWK_<^$Lzl&KkJGRhb1fcR> z{!0hfd(OpWQ464+YxP%T?^HH(V>W2&DyzvSm!d*W?KdS`*! zi-iU|?N86`K@Q)w$_Q_jAFjX6@#H>P#Vybr6|Jq&7Yez2Zz(hs0UY3xJ}ZHa5)!+C z=*?p$h`F)CifJad+}39_i6hsS3cc`ux9^>5WN+49&(u}?NHs)P1~voZOJR_gx)o@V z)_bB`1!=wR96J|t*i=Xk$fu}QIK7&*H&<^YZLFg;h5Fe{jQt2&^s}60Mi7@6u)Xvn zubH2#Y$IvkRKE18O{YorBuIYHbM{y*j?Fx{A78<80_&03KUB#ZG>haAldjlcfOo0# z=3?DOkStO2R*dJ-0x{=)u%3M5Nj$H^vY2UHjeyjkd*)~y?#&(Y#ZREghwxbi?nxkf zyUOUBe7>B!3O^)$g;n$qp$(Q>jlu5}+2!>d{+98573^HWj|=zDU6QU8h1Gq@s0>d~ z@?RDiH5)pl5q|!5h3m5Bhq(bXSA|VC=)rHk*1Q!D+j~driPC4Vg~%>-T7>k~&5UoCPu?ZoArnQ_d(KrT9Vrpyf{2cCnfiFS97V(3xw#_9 zKj~qnv;AAGiB4p8?24bz)iS5%W^gmu8;5(nwST8Am^w7e;pU4zOjq%v@A|2`>c$ba zTckxyTx0Xj(s5MvRFKiOCS80}%H*Gy3KUG7?r(E{7L^errJ_$4+gwwkCFeeiDab0)OzK zNi=)=7uRyq1*-_XIx;s}Erf;W9m!MD+eW!jRu+@g!l&Rjdu%VCfX z7}H@y8jCXP*C%WO6wCA@HmGt{gi9Paxfvc0e8jpkB z_Uu0(AX-L((yw&UjUC~cLh2M(ejoeMzh5OT54TJu`4ZMPmp!#M6t6l#xcD<2XxA67 zLL(CE33Uo0d%^EUXKSED)v$RDs`_O;b!+F_Jl3PJ;xndy!KVw8L% zq|RmF(8tAE4f=3{7GtZoNiC^Pjxt)gT9?sXeL<-rttzis$XeQKCw{u^RI2Sr(yHXQ zWLw~P>kIB8{I2Z3s@WZU=uXnFbl9a`aJ|Qwe{G3FObof3-ieHVeQ>?M^ozep$_l05 zM*~te*S|Y`NM*iAwpMz%|53~a39?}ipFb25dyB*giwI|*F~(ca+_4TMTfDH`zbFmG*Jt$W?V^39r@;gkeyV;1Yt^YPWK}- zyL}a|ml(VY2c66Qbt@j7n3qoVc=L*m5;(6)4Pi91>+6bp>|NxV{8L`SOSM`G=t&rz z{!(6y8~pvaiV%ZR099#dtBxFIaU;vH*Tac1RDxZ8>@)e1C{rp|};Y1oyth%J|PbnNw=7@X#ornpV7Kwr^Ff`tje zy@?nl$vMGWMfl{g(Zdadv-RDSzYCV`iHMlj0+uuiPEk z3rP96E7S2(-tc#2!?}(}fm30{FZfCg=A#CGi~q{Cfp$S0!3JFGSX5IkICVO7kV1kyR}W$0*6eYaF<`n-WkuI5Ae3y9~>U0RTb8C;9Jc*9iB zw(?Y&gHXpnS2s%zKLNOejX})kl1Vcu>dV-l<|IEteF$r(?v4v?c!2S@vod-lStOOQ zAv3EuGjYs?2l-JFK5%-+QS`}>`=3y|w7UA=AOqB7IM!qjSe6)1_6A&E z`|{}>zRmGEeq@!eL5%nOOGJ>G7NP_Qs7_jf)@0SYp5+9(HcdQz8QmE&j@k!B-G41r zrq6w85V}dJ0D^-nbtYB@hV3pBU(29u?r4ssL6YT4I(0&%&;SWp}{S?!pN^%*=IhjX}e8 zNkFDSAYd_`^V&FGm_#CKJ&Y%fFVh*n0oFAOX*zKsVzt_DmVRo8>M-glh{vX=YG!j6WUwF_CWK!? z2jiTPy;I9RtqjCkO}Gs+SqlaVHsodcSZODjc|m>R4+&vx2vmCM@&jT{>fprT(vU#! z6MRz!z-8|h@B|5>rFinrBKrW$9_u+d%~FF`o6UFyf;7a2y+r>4+?_#yD89{HJoC-| zf(yf+G-pq?bk?1XV&R>IJnv4w+XIs#b!X_`n{_JF&*TycN$ zBiLPPtB;uMO7T9`!LHqpO>}5fG>uK_(}|J;B7%0mRIg4cNy=D;KGccDbLs=ej!Blvp4j@C29K1Jn25DO zplMr&59qtc1bpFiJY+L^$bwLH6S-EF9m^5aD zLS51d7@#>GP}pZe}KYCkMNORcUx5QG%IpluHTF=pT%8 zJ;UKnD?ILEiIJl*3#w~H#29a$TU*p!NNNOVPUV_d2SS;4PE@Gm5R(gZFG$ z)U#ZFz|$B&s9Kvrr|n3DhQ(Qr89`~RSB@~eiJ}>GRlX6`4Cx90yoW2UaDQwASSM>B z1vw{l)aD_xE3km}y+P!rH(oNFl1ZKn$e`heF+=T50C91oDne5`&%U+JcyVJCfl@;r@`;_eAV~QOO^-i1 zcS`L!wR}OYK#H+`?~0ma_)NfN;hGW0^s%fH-m`B3m?milAnj?u%Ul2*l$~Mr1^b!1 zX(mH%FXG>d!EO^CwEeQ-!^)%+wGSKsCln8!3-^K?czOMhXSI9PIqfrvJwfkTb0;pP0cDbvM*s{}74r-UvX;4}44}zcaC>>UA3d=RT ziXyYGQiGd(%`W3s;T4fj5tg1m3YxO#EpDy58Fih(_|E3+KQ7d^RuoMa(^<&wus#YU zgk3Ag=2+OEH~%m}t*Caf zJCS5peqgV!gBQXLo2>L)gzx6woQ(BFK>-o^{L9~Dh3N8L87F(P`l zT;cLgxlgti_#1tiS#JJ?qzAqTs$Ht#%gamGl6(}^9S0kVityo~qsRT4VXLSbD_SQu z^JPWNWWPWEgKdI{HvU2VX2IaS`dVixzfR{JU^Heq1 zWlAUMcA4=c<`W`IJzBVj!;e+O?WaG$X?c%y4&TkEjAnCf>{boFeGY6srnD1QnOxb& zJ}h;lEC!uQw3f@=tY&22kLnDJ1OKgK0?lhP#77RG!S`k(FqLnnBh@AH$8Qv*t0(0> z`;POQ*X_q$!PQ=^xctK5b=Xz72`n{xLH7V??3J@-j9h0V2ezWk>fhY5MD2tKLhhqQD%fE7?Hv1U-_0UB-3PZbkZH)=MFih}c)WNZPYQ*`$yM*^?f>R`#3jI7>;$ zgszHH>Y1yc!87Z2{LBs$Cnt-N4nw#K-L#w~LSy(Nh3dukXDE&*!Hcj6qA`T*uWJ5k zjCtf-HY1855k*5=tzQ$GYj3yOa8xy49xb}mXXkXogU$Y+&c%_MR&+iLJy;K-WfR;8 zanhq7#ux{cojbUH+$$+w=;-?op0FF&C=S*GS1|&NwYyq3C>w=`QV%CL^0iVfKni&o z9e9LPc^UNdf#|BsjXF4OA`P6AHDZ}J>~(|9mkgyxViil=*2=o&BzK2Dk#kQW-3Uq1 z;5sumgwat=NWWE2^4G$Qeifw;+L}FQQJgp)d--qR=oI3Hub_(90u$~~h)0_AG|w=L z5)F=o+Zk@W3&XyG+?yNk0NyU=Pr#}i;Cz7oo+b${h)l(QCIJ2`cvuw*()C^u1szVT zRrrx$ECVq}6$&~awL`DZP)`sSm+=Tg?8quDIvG;us04yADjDZ5V+|%x=3hp`EV>3Z z9s2LKLC99EfM4+;GWQbqMn~P9O~UG^~i8x8ujI&v9G!*Jmv}$IHvG zRo9|hF4c=c$26i7hn4hq9b%R_)MW2-=~$uHz=%gk>nM54e#WI_zTUMTdZM?*qaa&NJr^tOGh!e)m(8y30Jq$ zG)1Lu+=imfLtcwPL|?IR%u}%RuTdv&oJPT1DK-wfH^Uq^laJO^F}z4C682sNja9tw zRj=g78>wuyW`7VZxb#;fV>*1Gq(JR5GlIZeX3!4>W)~XT@X-gtBe^^e74iutQ1O3w z!G!Xgr-(&<)#B%O7P2H*Hz~0NY%b29y2qQ=wMfj3V|2`oXKiSzDV*Sz2+r&4Vtmiw zG{ByI?ox&In(V@}Ks`U?W+ui;&d7EZBHFTS$AAkO(og#;iNu3~&o`&(IcM{#!!;{M)@!ZnOo80Fg0)X0#kWWW$(5_q-GhI zNOFl$=^!xj{*WYCCWkKX=nZ1S))L1`@)5PmI{A1KF?(Y$f4lcADYth_%}!P*1cH;B zsstKFLta9*2<9H{d1>S$_N=ZJKOQa8DMu2cJri^kG=Zlb3FYupDfW9AWKu>S)=!zo zgw)H-(fl{yU1JpUaQ~QmN52Pk1wAc*&P;Y4!jOT7qo7L5YERC^k1LGXUZd7r+eQL& zjRJQ=pAPHt_FScm0=H?oh%fPKpErtGdG)4^wEK7V4HZ$4l>YoIg0BDXBdY5eeY(S^ zh9iuIBdeI`de|y~JczZ(|B_#kFZDC|ClhI3;Ky8i`$9PMY^_&{u4!JVINMkpPgTmM z7a3x(WpL_)d@^qwYO37;QQe1E@`Zz60GeNC#F3fN$T~A!2e&BVjBBJzx7E${<&9Rk zfOnvo_T1Q?g(xgyC1x(c9RH2iu*5Es_4r8eM5`d}^KZf>7;Dm;>?pvOVYk>OVcyuS z(uA&b*zOAE;#;kTq@o8~RTttqylBK>dS}S7iX#37qSJEyP>M*G^;p6Ah$`Oek4|$> zGVsu!@HP7GcjfZ8IXZ!^*fs+{Ph+iE+0F>B#n!IStcOg4pIP>Fdm(qJze+Z|lYr{p zP&2B=%_6~GP73au1d-t(LQhHB)_v;((;FVr2Sr~ze^~BjNjP)v!Iz9uCOvze8Xz0a zeZt7cdz{sX=fnq0WiXq!RW(A)Z_K_yPIF*8lbuCPB>f~r^7@L&iRp$|TDo31;b5$l z@kSmkHJQt z7m*erIHW3YqO1dir5E`fJC`c#FIW1s7V_AgOKhO>h2{eI*qsnY`Rl6-t@Ad-iFNwl zca8vcD|g&YQ&$O@|IWEs`Nl|6*K5|YvEZeiwBU^h`eYUEy@(aDe&M~SO}auS-a`8j zQi87sk!_{tpCrG&RnS;v5f+R@9;^XMvDIDn_e1uwr+5ut&xQO;Dof}AM2N`RYx4Ge zGf7RBcIe6+Q_&kdGtPHHGCH$~EVlxchE8Q#tMkT6I;+E-SJ75|8RV2BXVOPa_y9i> z9V^e%zMq1F3 z*G*Q}#_y^GmbR=*C=8_DX#wE=*zsgUvnKivmpqVoewCN)$&4;B@ZVQ%!88`CAy_V{ZjhAqEA`Ip+0l4Ro_$=sI#MCyKj()*THCWp z92)$3z9^xwph0k9!_IR~=h88oc?QHnJ8zY(G42TZaXoR>zfaGPCT%cs>2L@bLKY>m zU0IO@9vr5QgU2zRtO#Grbd%9 zb80C-ZABBzKvPLU_u?ukVRXVaWAdLt#sr4sD*fH5p2T*SYi9ZD{Z?GOtV1~B)sFN^j*9# z4{?w>QRSf~bux0UE`Pha2b;it%E-hR{=}e@+r`s&od;^#L04v(q9c@FFMj^vEa!GW zU9s$g?4qbMSLp9Mbr9!bORj_s9_2b52})=ax?|eED1%CF2`=-!)`)nD;!T~JMZ%m8 zn{i;X{nguGXK`}6E`T4VHq9J+->d8FT z&;#4DsA|79(V%Dorejyi=&%rL-IA9fvXUy#Z5qCH8&{B%+ZC>`(ar z4pG1FOHW)EfW)-=l|e>Ek)}7lPbtd4S%{V&Wz{x{wSQ#Tz7>&bs;DR8auUTnk;j5m z+9B0nTSjicLKO1rqnzL`RXhiXIT(ut)S15Fra;o?QTRn2}4XdkN7i|TPmBWQF zxI1VUUuozU*D~adk3g{e!`@r)d__Bf~Eim z6D~4tl}}>(*vbQRLke(%g$H^oCS6_#57m__{KJL*pOHnN*{kP3Jy0T;+(o2EcV~nd8xwuLY>~% zb1s{>|7{xizgmMpKKs7{l`1$tP&8jV{3c#k`#^qORQxBGFL1Am>p^Q$hC2|KNNKo3 zb1V+P&!^en1vxdxdVrjw<}ZYmuLl?u7b2B z{(y8w;q@aCV|yeVZw~8L7%F3X8z!2rIr{sxvgO4khSVi~vG6VvV{GrUw@^;8f$YVH z%LqXdGLONHpwBJR;TgkX8R`MH)0CzUdDCPaPK1?M_E>WN=^`e|GqJx z{lG^2df+n;OZ9rVuz*x1bohlyztTUfSDm~R$OhGWw{RX(*rQgcaY#7iHZVn<=bY3* z5mKMHD>(Kyo(rS3G0z9{ca8W;W+Gyxkq2Fu4?d?@SsMpEQ}po3w-}=XGQ%ta$A&Ed zDua+gMuS)P!!RyHgu%&xPOFC8p;8(<6q?Qu4s3yX`gi8 z*E_%P0lN;+U?Q{Gm1%Fg{DHgwh_D^*&_1v2pj-imDDrT)h~xkz^a(-+&^0d;(wgKm zwW!flBr&|I3ri}Tu)pW|&qQJZ3I3N{UGa?P^EQr*IOx!m!%<$P)i4LMKd|6m>uPN|ls^cB)B(*_4&H*ENE+*=#cV zZY$E7IAgVSh1Uf|ejRnKDHduMESQ96dE`*0ooiam8n%};+SE!nUCLf)YV0=EX-5x= zg;wR83x^abm5oY87yl4W^o;`%SaDkLoKhHVrAL_=nEiG66x9s%KFoA*o2?lMB+Oyy za{Ny%*cuG7s9yXZt^^#}>LOH(LSO73vF!jRGmUrgzYWo0qH_W1VY=Qff+ z`lTy@#a3B@WxGA#K3GV>sAz@ll4Gzpao2n+WdZ55iqSHHUcteS!0b26mq4rDL6)2Y zAhbA=Kq~8{3$`L`B_7+!zh7o;Ka2(`n9RwqrCE}_{KpyHFZ$xCQ5p4D%ts=fuWPsq zH&t&CVcfFVcNehaAKFKU55{3FgNdmt|LFM$R?rVw9=_DpN7haK{3Cyb<-{S&n*{t# zCp{*ZKarHq)prAh1F!km93iTqB$uq3mek=7J?-CK5?Hv#t~d6#avLE@y3K;B&v_Gk zN>7v`H#VuFV&^r{SEZOm4l^AsIwc1uJECw&T4abN;D?TcW__u*C#-8xAo%l3R~I_U zt&NAUIR3QqO{c;o>^p$~sqhm7o%r4WRvN}|#_VzeS+4hvmFMmK?uy=D&Ds|&cgFVUB?Me8&gB;_pU49s*uw^r4`>|W-&Lu^JrYlG+&F- zp*T(v&YXHnLi~`PUoVSGS8jf*1`k=}n>nB=Nzi4hchKGW!Au6mu=3w%*>J{62Um#N z^Xo}iw*)QiI3E^e4u(q++VOr~LX>69Wk>(@GKvB@%!p&1!&KIo7K`f#j~DTJZlC{N ziZ+9`=Y24~Q@)Be^AGOu!L(uum5{q;7Qph-Nok-tn2%b3rZR!ZRaVrskzBmdZRUwy z-ho(fuOE~tjrFl_;9<(jC@=TkSyTl8q=xHxy7gjP0YSm0SD*z}F*!KVM}H8Bt)(IF zot6l4E(bL7D`nlCCwxnea}j40ha^gsK>_6U2O|?NrQMYcQwC?ZQ zBB^y-S-@RJkZ>ecN5ZxN+CIILS5z65EtsoLGuL0CvaM$ zfcRJcjWyB}6bkh!dRz;-&~#TUX7J%uuAxC4Z^5fbRlAET@O4>Hn>iieiG25Y)|Q_3 z$aev9rc)P|oZvR~TKL2ty+51zgx~kd(_hINdvR&wf6UK|To0?2Pd!umh~E*O$5g4G zO_?^>OjsbkNmNQufp0!thldFM0yvU&+1B4k%oy^zsRkUV^xka`<#-nTDL7rrU9ol> z2Yk6G+|~qm|Nj^c5zy6a2lhKq$%@G2C*G5 zEFhJeh9lGvx~>IeWYT2$Fd`xhsJ)dg)Wa)CkrSxh&~fjci5eWE+++nA_X8rG$gEXk zB|ll64a4*y^bCK^L7kFzu=%Gg4qNeh#>P7535v-&KtGx3zfbw6=NwB3Ba~Sq-i%Kd zX@-$Kw6#z8_NrKjnt^PO$jtlHxC=SEu}n{3?k+|)Al-3R%ou5 zVqu1<1&wPohdN<$H8^5|VNyL*iB8<)>p^JP9E>6I%kx>sY12=B&x% zPb;Ne>h7X*hV`n)7K=OY#p80uUqy(HzEs!#t7n1Y?m~G)g@%H+f z6?(;5i2KyUI1L#~f17K|PpCK4F#A4K?Tndu&Th znMrfli>z!v05_*|oy4H~&=Sbg@@|{%`L0ValPpx=waP^0>IYZB6Q= zEuaIn6FQwbM{DcBs=!^8qEcb1k7oM7G1nfeP7 z=9c58Z5Ffr=DHmMv5=x9`vCZ*)ZNm54Rd%oSz}2QrayHVbDDO4OI}0WTfpZuwd}Ba zG9{HYE3f@t5V=~$W4+P#{veAq6e8HJ%O3tkFl6z=lP-*b?wx4DVpj_qjVvciV%%4r zs*xP9M5nG+t8P|#}Sa#V4@|?OmZO-p(`Kd<9 zhOyzdMc!zK1@r4&EBRq!*CR*-Q|JS$$GdA6)!+_cQ`Q7a~mbN?Yi`oeQ z@xLI^lbQTPi%0kcqmkz?Fi#ygbXqUk19b>Sj+LF<17!*A0F0eZP}wa(`cV}5j~Cdd z4p@iu&;vTA8Jr-` zF(IvNhNqA>g#Yl$^Yb!4DsQN)@qAI^4*ND1yZDS4=K1U-kzOu`V?iH)+f;B#Z1eJLQlo|$xn7>-tVRr5XM!SUCNS>s^+UQ;E5Ejrw4@@^SeC!w(}@L|(|)g!3NI>!h>i~l{`%yA zR;xt(uSwlG=M5VReHvs~I@0`ck&zxVBZaun;N7f4{I7auDRh;BA{azYo>I^H8MzX= zu0{qoKgJz%=RA*q-qvNkz$j(nFSSt_lbdtx%u%Y$+BjojBWUD_v^F^Da(kP&up*C9 zGfp$oQzDcsxsb9vmPyfO7Cn)bJf(Um>z0EeenWQ45^Itggr+N!T)J*%Ym&bdPP!R1 z#;3^Y=Xm0?g1-PWM-<(|Chp-v*8hqdnfXmBzivJFl=Lg``7nZbs}qUE#CaE}lL=|_ zTJ12Ex<{F&JfLzV=?fMviC;oF_#`TDQ*93dU4E!fHNgp ze{`(ujJ%?NZM~rl)8A)Gs{eU}c>C(1*HVu-Y)bo_64I}EbBl~QsygtTPg6zZNPR+K zsjZ9O;ol$F`9H{inm^W4SOhOEvqiUD#r%qjq5*a-7MhT64CK$xQ)jxKsHq<04F{OLAB(%W} zGC1=$#Q?6_?CjeZg#JgoY)6=HL7;uuO*}pj5A^_DYbJL1#nY)CB#h#(wSNEFy8j+c zt|M1(ePV4q7Gfr`RQA0J>W|E-+6js}!SlVEBmKSV^)#x zOVALIl@pa163JF#HEvrykd@^xSQUl7&$%n^?cKDp{9+Oy)w6a=%OBa^YkfnvCOQhD zuoy>m$5#)+;zcUL!Y8EX9hpiU3l2OQu~-AMs4Mp$wwCqf$eGC?hwv_b&UB*uB;$-C z1MpBN0^+juCNdP6u#NfJcoeG6wH;Uc7jO!B`bmVwxh>qy`3>f;``(HxM|xVlcJ9Ou zrX4l8S@Rx$T%RLKcxz2NQ7`JtB4gCTk`{*O%u|6BBiRG-*J!%<89KjHhP?cmaJcA0 za_{w0Iq=xsctLbJXUQ&KATs4x7gqc<;vx6goL}iTl_bEh_-hWcoYq0F^>ft&3p!C# znSdZMXSEZ=yOB1^F2Z%tuqK@*{n#Ev0N+3v)AGpzl(>((;*2@V&d5h8%wV!}>qxCz zD>VVJFqgL3*a)48gDU0`NOip{Lk7n`$2u|hDm%o{#tL)l!W-Yc*uLbp9cy` zXlux9FgT7|H4wvHO5+3t4-~)({sJM4(@@bAJ|kHcCUDFvqH=}3?>XIc)tL+t7N*09 zwb@r_>@OpcYxgTo?YF<&AaB@!Q`uwwz|FnF1W8*@CguR^a5-stjHv;3|hUA;B zH>aNFMb}|Tlp0zm4>~s|OLbkR(zqK1oH+h}tz$m8aTDyr8eW1C2T@)v`4bxT-xcSW zBr=;-Mwx;soHw`FQmbbNMN-kzU(9o-xT1xc*%_P6$67E|yYV`vV(>{m7e0qcNlr^0 z+elCtlbI5&UUyfeJOnPKasztjTzo3*JxvnYT(~Qo|ByHDBfnk#4t|hulp?QU%rQo8 z>MRS<5z!H2q!a@Bs9`2c8a>xN+iK}gdzbrogN|pY4ZA2JQKQuPF4h4rvvWfMES?u- zS}nJYjigbhxx$Be3TyDejWT+*?#)8mDg<4oaKzK!K2^b^-#X>nb}v>*wf2eMdo%L;(|z=*hGx-nhByv==(mb*Op;@on>kS`cjuS$Mk@9BU|`JGHKe<^ zXd4oTfEJqu3A5YT{(qMd;)wgAC$)PZZJlE2hT_ z39({Lm$)Br*BHM^kLy-81?CURbeV#o3zb6Da8_{!V{hs`##P+ z{U^Rw%6_Bup^pFiDoQ@<9)B$&f4eUWOK=leLgi)zfE0WERe|lh1@J$|7A7|e*LhTK zEc-vo-Z4C{F6tT$8#Q(s+qP}nwr#879jCEvJ5AEqwr#6Pn&jN=^S<9X*ZKGT-}~BF zV~#Pk<}%I2$4q3=3<<-*UEtL!3pDuR60b-$d_WX$~nWmSUYQfUq35#$>->`E4Ohx`&b-(D!Zu$R)_Q5h-u)gVa!x3 zHXa)9q&7-0d|;|u$Q&tMQwtz5`S5FN zcj|-ve9FD0msD`43ErQfYVZn&aK9Cl^Bs>$xuKJLcM5cml|w`_19||@1qxe&^3O^P zg(-|h7nc@Inx6rhS9o;-i)Z@i9y>z@=u6ISG|3HOj}2MxJ!y?68cw@{#g28?D#W-S z6)ily`d8gCi&41taXt(rcL`=roMiasrONzM^73H_brKq0hk&-v>ayLSHnE;shwDCG~e*{ak&5!V7@-&sr$Z^ig0hyYfD zB&jEPji|eSKBKl$=suD)$Mv?j>V4{zVv*)&(YEh~NG(nVN>KeP>$36-{pBsoe-V`2 z)60((I1|(;C$|vVhnd@Loiy%`{gjikss~VL>^_#b3J;H#ZG2C%?#3MM-dYM4bGS!t!7XF8(k+DcB?TZv71bCj-r_Ne~`tAxE#C^d!;S4?LxEMIXGJTISK*hlln2=*5j zSobdia;WVDTJ(<_0efX(Ujq3{o~D41gSsGiWgquzZGp|6=`#NR{~;u`0s-b+Tp%Fr z?x6qohmbHEaT%F#8ne(bahkBvu`;q5(HR-B8q*oEu`?SnvYWFSv6|Am*cngi!DOk= zzXh~fu};d;h)HFdT4k5SPEb-QTagi~$VFR|vz13gHBg9-GDO;@G}FRNu82sAMOQ~4 z!J@;wAHQt1A9o*jKX1Hc@jM@HAK&|QT{~QPUAg4=aXtUEc(_!moi7XSx>cFNVI%K( z+{X;PVNibU(LDWBuFPVpFLTuV2%UZOK2o5?G0=?H-jA6htJZF|TWZm&G`DMLY-~7x zy!fGAc|8#9&39L_V3V#_Yj%!6x9%}ARk2(lR;iBuH8j?lX5!f`! zS*!lEPC^QIR=y!UmTu8OOw&2Eiqs+#)S;7kiYMyjS`s;Vk7E*hFy0lL(ZFB5aAu&L^)TH0Z0Dr)9dYznFBr8X*D zv}~N}OdOP{=BilQX#%#Qdwz4H5p!{*j%Yy{O^}q_>~S_t1Hh5zPEE=Yg{icF!pId9 zQ>TR|Gzq+pmc(#QY1=)|&jqV~OuCPjl|K&SRGkKN{EXgavC<-l&oyonQF{%aM-wlN zpHSZ!toDfjCXodl5C9TI#68I$gZbJzxix{a?1VEjQppv~nqMGdp>Yjh=h|$9uIl%W z*y`C6jp;?#VUI8AU zwZo0hoyJ{n=qj{Z1V#pVlYdjO9-`rFf*3ZH2nC=gICmjU-PFEgV$69C;@ey(tknx0 ztg+B*^%884o4Mqjj$QDg&y3|OCY{5eN^;8HODa4{;0w84udwa54+8PuF-v3$ z*ZfO1s1h>{EVS}o*gQ3H(gUrbkWZ)pq|N)D@&uK+ktBYW* zsI1YbSEKoeH;2LwXh6R`E{I19_<}smfFN~yoESf=a|=y(ZPJPEB7smEAh15xkQLfM zOH)rvBTqSwYZt(n;AS-v<1vMKIJ^Blv}+HM7_C$$d z(_hFBmzhbCEKts0LrGA58AzgH6YGFyvzyZDwwl*gfIHa~ikM>u|H{iv(wTqiTK$ed zfd6OGPOI-Xjp>F7bY9@;`9bX;sPBT3wlrnmWbz0Pu$Z&eF{IDt^p!C~ubgm;J9$kj zry(#PZf{{Uv+#f-XL{Sk@De6jPc2bi|5Vi-gC+k#_H^unX>HJIU^}H_K`WyX9uE;QkluFmy?*W zYkbb}Rv>=TLC!Wc*G^`ds@aN?c6ss@-3A%Qo`msx?#$)M^8?4?G;g3Qx_1-e z=+tuXPkj0l*tqD=?znhTA4EU6eV2Y+@MW-^;r1UjVnHtgU~p~^D52As(Bvk^{9TKF zD386(k|p{SF)F+0rdSS@(EHEdix_{VjrbFihTTGm=o|UM=1+1B^0wS7*&C3`F0+w~WtC~hRY4t> zZl)bq!f1JJIj;3SY;xI+kLaRhtvb*rU}!_DxZ$nGWP5{yP!D4*0GFO81M9ObBc!?N z!D<-v+cgNXaf?95Qyl0K-7y2J4Fz5TJ&X}}_=Ln`qeY@*K&gbNJ4n!$xK)K-luaPq zzl>K&%yU${A272K!HLY7%t%46R+}n`qVl*yKn^A!?1b2wXZmvS?iOT7)YhBW@0Wm zv0D{gJOqr=PurJNlbyAGC4@N<620y75?mHYu^vlG38}hM=XAt3HqsZyf^XT<(THz! zrnqx1Q^MlC)$2)K#qm$b#;(0jsGLZ1Bf>lJ{+Q6}LR|cN(7zE!UlW$1PM~z5M5<0W zbT%%gS$k?#P_OdXzmCp@T43l8?MvxUHQ_ymjnlbl{=KEX&Tn6JuI&P0yR#H_81 zM>GkCr!u)$=6Q}cL6q$C#0$UhGhHZ`xgSRz+G-FY_}MUwc2bMtvZbMjt5=Oo$t8=d zO;OWG%>ZQ~qjZ>TWWT%i<$O=E?j^uS?8AVLc5v#9bP(2v%6$pi=kU|9U$oxhBj6xO z&qG&>gk^c?ci?@1tL2QT@UfXn1RmCb|9EsFDA;m>kvN7MT78}QN@Y}87!5S8NFF=y zmI!ZUr3lSTHh(JrBD{?%Ay7<*k;_UOG1{pbR1NdTN>LbustH=i%iw=4L7=zcIBUWM zo{h2z`Kc-{P+pJ-(N*fJr?Lng9%f2(H`;IRLmgXCx%={tmN~6du5ks`SPJimf>HE^ zF&x7@nra7e^-?{QTWJ<5|6(Sz6whm)TEl26C~kX^U$LT*KN(3(E^jW9?M<1K;bvUy z^(}-?m}Re0s%$Pqzw9Ru*30_|{{1zVjKPIksVDox(Nh5sbk2(Zhpe(nL8|dBgmL86%>?nmFq(DoH`pMYbMuS%65awM86+h(Q7G9EJ)4hTc z8+@s`_Y{wwfaXH6JjHWA6QYbUOKGb@q*<1ieGyKw;azpx%I?~4p3v1He9j^;@?ZYJ zz8NPNkj)K64Bj6Y#*J>p+YTRp@DxYNvBRCKar}o4cMB85&s^$Te?~tZNSOCsT=3;$-pszN^Tl{6as8F@F}12eX2RZ; zO5>IK)`G9Dlyz3Dg{m=Sy=u4otw zyCa(#&nZ6+rHmi&+OkI0mu^sFqnh*07nxSvs=fWr_^fHn5##hOs~-pkn^u<~(qjVj z9zqO>JPI78PeN!1rQ0I=Wt7d6_c0T;1^1F>H&e>$L}V_9mp4|-k1D%yi&e-6olEP_ z^o3$K9#uxflpDp0!=EvsMF=WlE;L&ASCUz%82r5k2mg^WR%y+M;875?lHZui^2e2Fye9KyOHyPQcr+_FNU9dwiFJkP~|6MR=?x^FE$$?N$u;0|p*G zo(l|lncFfTZOZ^i3RtQ4Dx0X-UBT>Z z56cz?AbRS5xxOVF_IU_mDa-lulyF8%efdTN##u0jVe6Ad@}N@88IG_Q{;D-!VqcwV zv*R5~;rbQNvFb~I=oG+7s2*cH5ai91^EDNkjM>S6^~y#cno-JC62D5Cab3?jk?dzpwO?> zZ$)6(4ezA~!aK-9$atk%-()KaA(gtb)6CTeZ7&((PB{PF0X5aT4cH4A`*I<+?vnInuS^^ zG);{$qGU-?e`H3S{|GI9xZAIjCs?~mg&%@^U{6+vXqkl2{Wf4p1a3=I zhtBPVHeG91h|X#MOcgZ!3un>{=uS+-_~tiaRF~=uP7e2f(Rwds=wJGncOR_?w1Hy4 z*|{MEAp48$oY#r_e8c+z3Dzg7IDPhUk$fW7sN)(Cc>WFl(b7NPE5uJ046+Jg1uZhz zfebd#26Gy55rgAximql6b<&k%NMi-S@eZJBL(F3c^L5i-8l%g z9UKWRm2bZv0as5HiF4CHn}5fG2Du=r!=!fRo!&GS1n&OodvxUPaa=gp7_|+ErCI+Q zd2D+Ez=w66cH9Vp-i>1O`#`mCI|Ut4%RWOyx_QYtq0er;{D7LLfdBaYWUU97e*PozOKXI|L8 z%x1DV{MegC_mwGudh;qFww)TZk?TFLRO!mZ^E61kTBq$u-qxW+4=;%d`jjuGJKV&- zP`JPBaBj!;J-q{F)&H~aGAySoHL5TGR_+n`WQlM_3NbFGUAU%!od=7WqCMvESH~$SMMt(%ASM`$qEsx47q*io4k$mR6J7{)l3?=LwKI_sONRQBt7#lg~(z1Rr6=7CAb zaoiz>Hterd@zxTyZLHN0+Xhh%n@WVI60#WTT?#9&F%*SWM6i-qN&=Q`yo#UokY?<~him*v^;O~CDa$y$a-&ppI9`5ND{SqdTwb(r(T}#e zj0UMHw3Qo>RJRbVISIx>H%kgp^V$^(De-XY3mGoW5T5-7@8A2cNQ6Y}f0aLFU^2;H z%3y}|z-+^5D7X%@F}jRq#?#w*F9J(~qm*9D3=7u^5WoG9E%D%JF5u$Tqm@gMO;zI*fo3i*qn`eKTkb?`sKdsC_lvB+TXL!ZSt;<(y)m$7NRkZgP4$3w~_ zX@>(TkPzomi{dCa)@DnexbYz}=4Af*q zjJE;u6}bGoc7?xaQ(=}#5+5;K-N8z!L=*m69n<11L_ zzITr$>G?3g9h84j4?)Xjcg4JIn_31Eh)~pRu4TM2ClyXn?_$#Ma%6CAw=dgL4)x&* zApQ9!Ts;-Ph=t7n&U)&85gA=Xq8mV2%VAD@{4_N1*l|h01pT$+eZZzXt@W5aW^b9N z<9VxS%MZE@;h6C!_=(K&lSqd%-gLJ~7F6KrmVObW_bp?%upq%LOiXiNQP>tpq$dBl`P85~DvUuF|NFaN6jPAhEN=`ubHlO;7-w zkl6oXp^N>*i2Xnntv13Yc=x1DIpR9qu{&8Lbspe3z7zy(Bt_1hoOdA>!-Vx+5h~(& zn$c#0f%T@8dz_JK4X|D#NF3^ym{mAq#*0DZAxB;8_1Z9r6DjWM?fedS7Addk9Qm0B z(roxG0-@e_s5IqfGZ zjzTWA75ztB{ap_c`(+yM9Z-8ik^l`>)c8+xbru8VAO|w^UVQQP@?NtB*qKO>ugP@O zjB9SMM)o;el?l0;8&pbgOtDUd?p3mMQcx^w?D*EzQXbKy#67RJvrj!NfsF1c-6{&!(_qV{`ZWNGwwq+al5lupc@pyfR>DMjz-gpH7M*dkV~!3~k1BDsvZ+LY z++ZM$IgmqSG%mNBFwC&dzG5oAk6nsx*u^sFEA|cN_9AJ54Yce$HnU(*K3K0a*k2!g zo{Y|(80e!&p=5dwf}#}DHNHMD|l-yGTX zlZkxhnB}N~!Ar8)=}12ox`DvN7l*)Z70nWt{l|2kc{DV8oTh5tNV2W=Zuf+8kTt8? z^Q91ozs^N6D#kO)s#AEOTLN^9qhXEg09iH({R5=Si8h;88QqmnssJrrLi%HbHJBWT zt|b1+MJF!B=5xs4Cq?emHIMj(4|=J~pmY12@fSr_iKCY}+Ug`^r^&s@m1SKQm0l_x z86TvM=c%aL^lY=x?FR8U2Q+ATCq#ikF{G3oiLZIdH}N z>_G4N)CAo2_QJ#gy;JL;GR|+wZ=S%*qhs8&kk`+aRdg0&2ppi-C!$X9h8y{PlX&Ux zJ<}|=(-1xwZ)X8pd?p^(_UFg9`m)wm=sYU(YPRp72C?Ts(ZgwUx;Mo(B~5%-qa)ScC@&osl;U@fA~$kQ9U^j!#(yJqXb2KgZO@Y zgblauS;|{t>BMD5pTU+D8jZQi@^oW5aM`ThAW21STw&=~onnJx$6Yrt*_h*YK@PMX zzJ2ja*uRSU#RtP$qeHneW8l^#?X)aup-w|yEomvf2wN@>UTSkY@Wwlwr5ygv!YsC+ z6q{+G(Q?5BS-)SOtwTEJWG)y(@7v}tQ7!{%^?v=A6_dHNEM(RsopWZbc8gqipK8n< zh;E;CI7dzfBv&uz+ikyxGcRX&&yO=muu50%M25MjMX)}I17-#%l&K%Zp)QFF8K5;9 zo_JEcmLV2HjK*mwPG=JTA`wFZ8)5(|wi$bvx@WykfsVuu_3X(vwMn*@24}5hy!EBc zNuP(YLJ}$gGF62!<=zerJf6hb(Y{ceI;=O%;L-CeK+y_n0Cd3x669RMfQhyPl4nw{ z4maNDqOe7v8BQ{w_{l*=IgP%Y&$0TjndT%_T;dMTAR{FPPz2we7~m;;t_OCFtXaD6 zyj6-$fCQ4N6~F!+cWayU)}PPMCMbJxip|k)z=g|``4~-TC_F+%K>N`fRvQ$;Q}H-8 zVjo)K)OiMW`{c;k97Z?O6|Io8@9^eTv*fH{?V674N62Ns#fdY2f*yjG=0qedy#-7E z;mWfrV90MyxqbaDx=!#4K_0liMkX{yG_TVAK z{kz=sq4yDcdbb4=;n~H&y_n4nu#T?KM+Xl}v3v`8c`pN2BZ3qo1=51L0!n^-^9N^$ z93-t^18&!U5P4=fG4Mvt6+g(vq994pTjHycE>B!GgxI#IuPZP~cdJpoy`-nJMFd@& z;CbbxBF|Sk?0VheWn4=if1JNJhIPGo`>L>U8|c-Ig~%!Mq=Rx+6QQw=8|xMbOhzI? zUQDX!v#x>8cm!ZYeS%APdB}`)>L}~)ye1TOnNo{j^IB{+^uU=T^=m3Z<7pL7JQq&(lmb9 z0=lGsmc~D=bocvuF6~^hcf5pp`&e{Z6BV)&y+6@F`h_@E?~bU;r=O!~!M#zwG=fBb z^^d)I^{oJS+}-8$2}R4lO_Vjz=?%GUb`5`$ut=HQ#RsNutbM3p8XzsK zypQC0(=!c)a=cB-r$V9{E8$ouh3+Sq@%<^-+s13veRe<)IN7q~1B~ye4V5 z?iW!b=oMbJknb%xEBzO!B8cI3CXY3tZ07WA5Z^-tojYXj-!$A50Ej&saiuB7fmSQZFv5soi+R*|*|;bia^_{S_IR4jh_!CAFe>z{K3&W+ zRHzb^cJ&u`O2r{Xh_gjqZHEnljO#FRrOu+h?Q#EZ0Rklj#SDGZA+n%Tcp*;Dimzv! zw!@BC+qG2A0%xNg?%Wm~Iqz-+^?JBKK)Pm}cbXnTc}vgm$23P-iYzk%)dah@|fU`DFn zHR-^y{@q%M;=2wXHJ5G_gC&Fxu01@UzxlEpx4XQEYeOf*y=_g!&&*=^+Q}sBj6iBA zc>YRawlsqq0W&<69LYAM-wgY`G!bDbF$TX4aKyFI{%aS1f4rt?NZP4$kE%`LMo>&q1DHNoMnD%r)#&Pr%eS&J-?8|vsxdP= ze{&}LbR4Qgy{%;lVsXQ@$JBPh05vR!Zg)Gp;TPHS<9L0-d*8je2IkQky$~>uRUL)l zO|wwlDu&VimWUl~i=7whg9=^SC?PvLxi+G}mfN|bloEFwz2cxV21zUb=rVBW+V*@e z?7yVq0V3@LMGM!SLF0SnnhF=}G7xF8LOi;cT3HR3Do2(fXI!}w&I4ApTMy-Nfc~(2 zH)Lq*Uk94v1~SaAKNDxcH3V|{H_5dL-~Coo)Tg=ZHFzPPfzBG?Q1GV;n zAX1E;P4j7==SO!HHM)42h}9529l}`A(gS5MFQkc9-uwb9ut0oi6UqT3fZ}&)VLWOW z^tN!PzxZl0yxR7C}L&(DoFfJCGO=F?|;4?Y+y>SE0<&M0` z$!s2}N1~@gcwB}5asCGNL1h4UP@1Ph*x^7fdx7WODu@-nuehCAxj`~bnFuz;r7NB* zeqNMmVmYW8lm~fH8fXF@mI&XJN{r|jyI-7{HnV5@W$cn2Fc^N;=LpohmEl9B;j7TO z{DLX$YjdBsuuHj_3K4E$65;lOpT}7N>@;Lco-cXH_Q7sqfYT$q0v;>-ZZZ2L8yfQi?wmSg5ZgtKtx$T)MKBaY&{U&a~|RFf})^6)55%eCnultb2XrIE~h35Bvm4V>gR1 z7csx-msms5!uPyVKRSDXz+K)wJet>6@cNT-&Of z5Lsz_a6ma!9f*+kvihqb%+EP>vDRFJ@(};3wjUW@mmIVAPDZ~=8(Ua^_vQ~hGIrH; z_dylMxYrMawc~81+E;u$kid3`E3hP+`#(6`U?7%AUqc;%6Phe@Nb|gusi1Z5xrzxv zUg2tr4fTX(`TV8(l4Mi>Rty^_%G-_7Li%RQW-gsXkKc4lE3PqT%&%j;7oSf+K>oz_ z3PYC=DU_W+gX9UtLxr`)kt~QMDq>0rL)N@;36s4uy~0IrhOTKUJ_G_312$(&BvZ82 zf~&6yl1(sYV?~HkSDH!B)VIGqN3C@rY6~3}1%^nsyq_9Azw*~JJ#8;NBPM__4g{q2 zk^tAOuxXDe$dL8If@=6nsuX*mwLr{tGf+pyy-vx8;y676o!^{?jYS^UbDF@Q>*9tB z0h=(zB4XM1p_!M>NNqW$VS~%h^GSS*Vt5V5X1Lx(eq67oOGm`j$0BgeamM@VZWbLx{+2p_GF`Cyjv*XI(on|s@MTo# zPR=8r_;U~PiiBYgpr22W#-9R&wvwn-fV0bhkol3sfA0@up+AR5jv8>fz`7_=8JfGq{v%)MtqIVNYUz-7Zd>^f03bZqT{u$x z%!4%cqmYeJ`9BnN_4rrZFzcy-1oRnU*OrWH5aG+^{=s@^8B$PXFef8mA0UPMyl?P|LA9ysFaeX;TMMh zBB<8Uf>UJ=`oG}8R@cZTS-?qDw2=gYhva{VM`BPnv_tWtgj}L*$ZDX6^~@)B`>_H& z$r#8ysEDa6Zmu!+QNf~TO~GS(dFMWFJ`SFb-R0w-c76e0rGSmxe>1+aB%8dc>I>-5 z?!EPR!}USliSWK8#hJZo0!(+DDuK5o2>86_OD9jpBLC&lEs78rQ*#q2h5ul$25v;SZ60fwE{m28Rq zgHP)`+#3iD{l&k7F(MwrD87ET*;e7I0JcADX#91+YYA1KSjTYf6z|{WB>`A_9z_bJ zf7MG{CWsI=$pqU^*13)AuUkYvy<3Bh@ci{}$k`M3H{|3ydy^k%o6327M=pSOBoCrD zyaQJ7MI=B#;DcGApJ@Ss9nxTD)G5Kei?Mp!0Xtf-{*>-6ExF2!mz#67*OQV{h}C~_ zGrgTFGI4dKwXK0{7w7`>5NODL8yfWwdy9EUd|bkpWH8M?NL6>Z<49EJ2nu^<*5%4F z2kl=SL$y;Ulk;k|zN>88WKCbw{4eEH=Gyr6bdNR234~v6LRIJ8SNrf`bU=uFDO={nn<~5ag|V09q66`M+w_DKt^OqS%d{styAB z#6)?tlC8+Cy1<3mxw?g5!=`sj#+SOE3_Omg9t2!JYdi0e_KMi9-iUo8a`WJ0@k+b zdA~>h1%6-**k85%h04@3z}g@;QO}d_zY9}M>*~@*2T|js?FKEX&OxTX?V{gh`Il_C zDS?jkr?bR&9{vBLRWP{UZPx!H=AiqA)be}fyFZ_q0?AG|wRbtz9wu^H*}kKZWg$fH zyb(}frxRRnU`J1aY*40m#l!luFMu+oZ;8`NlN0Q-@T_8)t1Jbe3Kf&`BB&s=ve*7X;#_^YEzWoo;aJ0iTXXKrj0~9AM z`jedbKQaD!%D0A*daXm8$M>k6K-VVBTQ_Lw`3bnMW_umSJ{PLvbjW^K=s-e|QQqmDr~pbVGasONtA_^paF&+&hAegt=K znh%4R*~5idb|Uy5U_$z_Nq^z^s~6awNSw3ZPg&bexc`x+vRC8n1zimSCi?_y3`qGo zcbjjnd2#ex999?c1X`nLQ~SDrqY^oQ@flVF2F2vI+zXbIv431n#JfFxy3Wi~}eM6^Ln$*8d;%vBlL@BJ&zl}NgPKhX~ zcTXZ;?k(%qcTZzbGH&lJ%_V)*5UfNw5YRP+*KC-kXwJsjh_8X&7Nbk=Fx(+bw_Ec8 zJ`ngU%(BBu3R~DNV*|iOX4{Ae%i3Ywafjj`BFH!4nNJ8vZCeYGYV88VQ5y3;qdXrf z+TwLzd@-fHa5bM(P4J&vsveSC3Wi!K-?ioOEojEZnQ&ya@jaYoyt1BTc7XgaDeNyLQ!Mm()fAg174-l3q)>D|>9bE4`x_q)cCm7N{IrLh z7AU?nHvWwrG;MQ1rmn=4Btx>$$2fA)^)NeyzcgZrk>=4029o6 zYHv-NIOH>4^>2MbPyB_?-uw@AD$k1&hxJp$@6QKVZT<&JpQw6oET9)A=#(q{`G&Qk zed8#Qy^nBlU=I@Ju+08$P3r#&HI8?!X(o_WO-jEb{OsAeWp>uCy|9(*!Esf>DYmtn z4_LU%bd!-qBQwcWwS32zSi-jtV}jUYq&mq=ev=%jKkQvyUXZn`Q}$5pC~ggH86I}G zz8mmkPGJ!ZN)RT$5fSj8q`kdU$s{^!qIF_O;qM*zx12TM_LmkN`n!Zoy^p+jB)og!y9kNCGlX9k3Vj7bL-P%WWRrW(ry1=5g(q5on!?j0xuQ_9UKNT?z? z`6NZM1$(T5IEX;2MBJn$BkWa6ka&M44(R3Q2ZgL6wT(U)oL8`cF17c#^pwND1;3qAhw?XUFv1u}>dhO~auL<}|gSxWGV znWzdX^5E$NXmuH*5yw)}{xj14Zcf7%hT&edkWw*;xyd-GO|;qF%%(C zN4&{G5J>J44Z{62Gzx({Zz8dYs++#iH7bBv^6PM^l^Gt#rXH0e-nkdHY8So4;G5uR z1%*I@dfg?bu{s|Z*6wBS%(+V_AWDu?XF>VfnT1?tN3(WKP$j6s$qB&lqHV1z@M22l zf84&bJxxFAFoYkgBXSwja8~%azfM~+@T2`T%TJ@ec7w~89IM%5%2CQ{5!DY-$tQ^a zyNzwXmT8z-Ym|DR6?H?iS*ET6CR%O9)xJ4V%m?4E(np7gtRmiR7!QI?H?Pofd z{d=Mtw!Z#mWFSXNQ)4B=oRuy07j6lCxCC#suN)ZP$dF0bQ60kzs)S^SAaN4g3-c2d z1f}tbl|pMurUa=`?}9xb>8qe^rF={PP84`AvH)kN$M(2=dg;?3g#Af8Mbg?wN`>xg zR%~IVOcx`qQe*+_8Qni8?^|a7`Rg@CS==s%FOI{Z#Z+)=p(v`l^)V$b5>}akU|-A} zm5YOUxK=~52M^{aALv9Xbw7pcj$}^<>AQB~L(sWuj$Yx95HBom)@Hm*?f;gElWwrS zK+Qbsh8%Cx_Ql0$ytFa7kJa2@wEZ+Wd0lM$>%IW6r(qW6zveXrKJvl(2VnDBjlgoO zS`oL|^|o=nHJq7FX62jA)P9w69Nl3W$(@yLPm;JZJ%@lX3UisQMeD&ISuQRLi~bxs}Ef5|PrXM3wWHrFTrmW)pxJD|G^p|eh z5KITzgAGrTnq{|B;#kDB;`@zcFP|DzfI;nyGumpp@sV>zVJh(VX{ji=9CMZ)j{+|{n9&oXKh3PuDL*EVpo5Torb;d@vni)%S`4XqeOc56HtU=ni`xbK zEiD3PMKptJE}RXkslj49>Z)M~NJUpBOGDylxCM~++q9$>wOLy(yflcaJe@Kg=J-9exb3G)E_`Gc38zpv zlPLc2?jL{}BbV={E&|0s?TNSAt1$e*dwGu4^kmgTE{2_uXtp<2q7JN0eq&8)-o~ul zXf!}wUU}CNy5914OuUV+lQE#?f(b;3o`TB|>!>BZr)EkVD6sqyY?PkxYd3wC<@ISK z0)Cuk>`g#X1{?wn1Ox;c#K*Z-HPn3Z>j^d}h_Nix|NUM~V`F0uRueN5I%alrPC8Z= zMkYEVGgdY_QzH&mc4kfvMlQyGdo?HYpt8`L?*lw)^$Me+$|54*Pw^lKf(y!^C6Q?! zDd#fb;i%n3A<>0YMbR$M(fK$pBJ#>K>j>5B3RmF%q+i=RGrT2kj&^pxy}$Uzycx1& z%9fZhr*kzZ#Chw9$A05I?XoW%LTmMQ6od$H_ISr!e>7-#O;9O~AyDV_a_vTqE|pJb z_1A4!O6crpvYc&^S|_K=XtLVuFgy3Ac#>PXVsX>$#t)gUT<&t~KC0$sb=b~#8~3Km zXtqpn1YtkPYPTVfB8`W5NJb{`vY3I8IDUjNq-RtRZdLMe%7F&{+^|!GC zufDhHXPFk~SFh2<<=V)H(=E!ksy4ui24C&ldvNmaXr0Y%`c+#QbPV!=p3xo|*9o8B zY131=_2&d0??yX#a=H`5?az_G!&H5gr%|DvfM0-V3>7CO!GE)A=-FsQ7vAKcx8doy zzNa3m(`?Jv<@9E5r^9B?-t~C%WcR(*hN;WXX6SpR6fK3Y{>@Q1WNi(-Cp?azoLip< zoUWrbLrk0a;_pzV5I=Qa>g>zq3J=F-kR)SEY=>!|S*%+ETy!*>b`x=PY;00Tbz zuea=iO)F6!#YPIYW-C}j5!tN-Fj;O&q@+qw?iCs09!67cOQXCHZfd*>Iq(&U;t)UZ z(>(faosoQS3;1Oj?zY{qZ3M3OS265yK0VsjNI~iMQ;^CY0=CDp)m&&OFuGU-S-d7bzZ7 z3S4zKC{?D5R3XEc#3@wZ!?C%{j-qh%B&wK|I*~DsX+=MAh**SWz)4t@vGbYW^CHKf z<%sxA?}V=fRcHW9h4)%6QpdTsKQpR0*e7T!BE3%lSb!AYgi3B|K{LBME3 zsI?T7u)Q*;m!%)%Y6pYD5o&@(w#N!j5MIIvF3C|rsp}xQIG?r6?hoa%OmTu)f}VtQ z7$4cP*GM=tC4u1FNW|T;+q$T32RwaNHkEZVWA>i)etX}2upf??F^4jGkmDrbRK*se z0EX{w5DpOWIX+`XMGut$?zcr$gU8-)OR2SPhRd^{XQxzMN$B{f?c6(Sj;~scL83WtoV1c=`Yrm}IA0Fch0`o*#eBgew z(9yy0$cw8h`)NmtyE|tO51#XdO?5dN-R7jU8Q*7*YKcnjH`QYTjMSZg$(H1iY}+8T z6SE|C`Q`x8v|Ks}c=HN`zr#CtM6OF8{2nc>sb*ap;4_|_#e~B0rDP7fNwCQqo0u6N zLGh{M6tD!WH_&RtVZFCI5s#?J8kF%i6}_ZS(dzFQqxw~)tKp?j7a|IhXZ_I)&yZ^Ygt&o4IjRCx8tpDYGayzYb41++M>7NSQroKhh)G>(-I0$?&!X z2yFT>9o8lrO28MHBe8`Lg`~xBg>D5Z@g-*%dA}52>&QBM{Q&|H*+xdT(PK>X=R)J9 zIqbzjH(E_Z5=H8=P-5XOP$~b~%o*;FJnTxHmgx)vc#IK*6Kf70rcz{Vxus4PG&>a3 zW_bB1vH;^v)0SBXt${(VKhBVxEQ*l#0**3TH?^+2Pyzl86yW6IOdJ>?Gne{2%oOsS zq5p@aZw$_)|C+vH+qP}nwr$%^Hnwfs$wnJ&Y}>YtP4eb{Kkv8sFf~OFb8^e2a1UF&ks zUAt$Pb_)2&_t|ud1z9n`6OxoIKSs8u2kT`lg_RwgsK^wWI?{gUcV4uKo=eS0Nr6VQbmJi7%5N4UKxIfD2-?OpSWe?uQJ6UM_;w&SxpD2(@a7+4iI+F6l2NoGs@PeU1@UpE%R#?atbE zdz)lXhOe>kq6Nkna9K=C+y<;VXF~w9YF( z4vkpmWzC{IeKQ^$5yhgV{C!#)5gYllN72Zvq+b@)*YyC+RxeIla%b&*zy@VVuGkvZ ztXaQs4~uax+bRoWL+8-AJlAzA*)24cjg-q~`x8#I%5R8&@l;~2Yw6lM>QERirRmU! zzJ4+3249ZPA%jtk0?E0%o9vaH_H~jFk;WG7Wg)&{VRY$u{ z{=Ot@%MZ9v!S^suj=g#|x8{#NtuX<4NT9ls9GlUa97suecSBEWb0RmG73?Vi*N+bU z5f0<(R!O6=zr20$x_Qjx%BaBC)OWWp9+(skj-b={^0v=Zc10{KbDTF-AQ3pa*q0vT zSog$gTE^a-#@_tI(D(>02sk=cYF`P=*PpL$(MfSN`0vk=xgVa48replx+8_TwM+Co zyM#E6YX;;UIHQC&LQK04%_LYY5sTpbTT`MPfP87|;^)~6(A3<2rgZ5*8;u1VFg{~o zQ~ep*sC;8HHi`z~+K~bv7$$1Ll-eu$tDr+S_@5wsvPwM$tP<+ro~ffYWp>dxUvp>Y zjJp_pQ>lZONo90ZyN7Kp86cT?JaNDU+V-_M_l$tfE-CZPK_De4myWYIJ?ZF0U3(9X!b{^4cujzVBXa>e7!c!l0Ta9gn-@Z+B^q|BHsKqs6=>h0oPw&-Mqh4MmCSS{f)$sAPyNYUD;flE; znGNvDq3HP>ah5yq?v!t)Wt2fCLyZWyotpTZXs*hAD8~D_fCg$1d7nGaHXF!udpEqK z?Lh>p#Y zPj1`=t0EIrGLHWh#ef-d$8CTIB0HQ{LOwu5QkKb+38I9bn#+^9>t6wWD<_hBXS8-i zeT6sQju-$rw}RM2zQ06oz<%%qSdIX*7M7Q3w!v=VoMGmrnEQ7o9v_Y#9@A!CZk=M) zl+ki{(^)2Q64^*8)H>7JmM$+A$AaDxp;yycG_q4gFUcpK1TN*ZY2uw8a4zxWSILwFX~;8!a8nru2Uff2JS{?+@a7R8D?wEx-eXoVD@Wl$wAYb^Otc?+$56bFOVEx zwooxW&gfF_=mK9d3n29sTpWyi5AR_l0 z=RuQy_C8qV4)UJcMc(Q!@uBw}z~0&HF)rg5QE;CO{)%UCf}KV(I6a|olLH?c%b*NR zFtf;`GcoZI@rzr)hlHfOshA`&@GfiC$k}3!k%@1v6d(9cW)V-HL@=i>D<{Q(5?TBu zzy?x58=*9w#NP;$5AEbs*X%!kS4fKp5e2jMwU~MAyv9BMdGB!3d!)6BJLP0-h9`}K zu(P-n7CbQpi=ze;cGdcQOYNFy`fBFh;{qEM_&%mTL%*QFzPB~4hwmg9^@%WWJ;sm# z=b+n=yJ5197ZQN7+@dBPEMrfW*sb#SpJ-%ptcTC}rWd$mp+}f${pqs5xhBOx zd;3Ajpo&$$p0)h*TvAH|gO%RB02jP@;3W#&lTJOcG(QSW)!#H`*n8(**z`HnY(ci& zJGa28&Z@n8p;0`b4fND5;kWdQVBU<3@-eT*)@3J_xjEuv-~?x6{z+G0RBQCD>+0Y+ zouIfm5ISJ#Qq_S)#r=)YltAjtZC=BC{Pwsz#8w&zie&UrD^2FFo#+-oT!X7bS$~qWEz}4Zk5^bD_Rw+l-n9AiHYWWa+ zC?kNH72uvf7pJjGRg1|Vt-~Hh7x)ojl>fR%!88Lt8VfbQ{t%Q%)P zo`mt8f|Ep1!7@)(9H2SDOXA3RN0o|_U#1XHxj zNZUx<68Mlwdqly-S~JG|Hfyo?aoeI?#xDKY@GBEri8vYlI~Lsabz}io+Bip*X~-KQ)J^^`Ap5 zA?W=eZY{~WBK1D%$X z&+%ovtwvmwh+thn&x~w0Ypazv1EQtiiqn92+r!(@aOikg_1`stPe=-X0%Qp!U~ecM zTcCb}?n-GK-;ONx1UFep1M+VznQP95=2D1z4T%90j zA_jz~G*AVYe!a)Eu60ebI?nTQ2z~2SYBW0)zM_`HnhUR|I@zDvRt2dME)b&X0TNR$ zTa!0hpXawa`Ew*`_Oe_Jzwcbdbd%O+Xq8#;&AUBchX!sm zU)|1puD{(%$l*OEHRPQ`5~E0Ro%%-H;rad5ZkhD|LKuDy4~%d|#_wpoI`ZFZpC`Ck zc;NWnhNWN-R66g0lv{J|eFSF-*jZjMoZoS<1u|o`{|gVE2td;XZob!qZ}?Ji!m3g9 zkPMX$nlGeYjCqt4dUqPnJCVb5S>7o1(d{`5B%tWgKJP|k+#+F}g4+IkBJq8>^(QGi z!rje3>I*-jz|r*U#Rz5Jgm zW5Wo|=u%Vb+-tBZ=TJQGOIic83l_y`F>jmb$>u(@T~D%)d#K^E_4SQ*aE@*Zy;*06 z<%Zo=hWoD`!Mp;PzXP54&UMCdAZ=nDN1X3T9-FoCFa2`XTA{0m9(0KP0U~kNAyX;c znck{ofQ1mug`8=uGcX8i7|k-sIzjy}Z!d(rmJ415EsGo+`EX9GG-pR;x^$EZJM1DP zpZ5Bo;PUg3e(a7;5*m@6tVIwGI>1pG?gLX|`H6i876$*}Hb{f@(fKoeS2c1W=eCc|4vgYl&d1fC}^ zr4XNj-`|=tvHOZ~h1}26Xzh0BO;&63u6AAoZn;z?;JCT^o|G%pR4Ex9>1O5C-!t#) z&U(Vg*9Vsp3Qx{-zHA>V@$ble!VPmk+Bky?E{(*j*oZ1@NE%Lni$2}7`eVX(&TB+G zS%q-J$88n4|HfO9N~?@&J|s40RCrZ{iKo42^&|#ttvj%ol4b*_H){Ag**(G*rV@j? zp*xyuYPKmfK$>x3Gv}O5TDE^IL_^jCdZ$>Orpe@i|Ed`sS4kPZL7TGp?ye|J<3>f! zW*YyN`q7~~ZT3pnk8I<2xq_>p$c`7LB!3RekVGog{;F!;EQq>9ccmF7eN+=ampP2E52hbKxs)f0qlIg+s`pRxpApwqo%#Y>2wX_o zBGhMYOt>v%bwcNb8g@ukD zhJM@lbU@z2n!k^CUV&!9YRm*CMBjN~ssu&V>6KLeb47 z)!$dnw|w%X7{IcW{*RO-Ej3B!y`kOgLg3}|pL;x8rJK#!Oc=AU=83oB%A2QRsMhpu zT2GQrXXqr|6iZV$I8s3Bhkf;S>qXWg(#B5SA3u}zsp+aG?^Xkqhl}~%7niT^*AE?0AF_UVeS;72uFR7_(5I+EuXMq<#Q@d%0!Z16I!Q1oi0YiTDC zQh`UpH$rxBl;>5fGR9m{Pz^K#= z%=@ZRtmPqctYywK<&`y(PD+R(RyCg9^e4ZL?;aMB(Gj*$Rr`&X>M57#zKttCHokwE zFa3L*-y*+@>dLf0_y!uel;5LafQ*1-8^q)Hos$2BpdAE4m;c4|BANIO9B>-S;1WOPP66YExE z2YMp8;B31tkRb}XH?KwEQg%B^3LKN6+#%f~={qO=o-uy55wHbPACndqkfGe1^>!t7 z*McyJj@6|To{x59j+pgA8=b(?;vV3WdCYW#$=!yJ;GQY1EU{4+sTP_v1tr$ z(DlMU&LU0cL9jJW2bpemFDps*w@~F=SOlG1Q%;9q+XUhjas@7SugWoUh13P|-iTvg zra^+*jhEfCGu*r{DWWzR=Y<1iz=bk?N6@m+6Xt|j$9e?`Nk`!atbj9*Owz^?t@8uu zoTmu$zbRUzW0NEZ@?G&q!AkbK^ShTX>0~Eg&5Sx=t?Z}yyB?yaQM(ao-gJ*m%T{bLHvP|L2Dh_62*zsNJOj@ z92+hM&@{~R54K!U*-iIPKatYx33(1_WdmeH+3>Z@#*Z|gm@B^Z2FNGeL#bdd+jQ?B zg!<<6J;704sr(aH^Sas^qTQR)3J-nIlbSdUr@punknH0Ttw!N<5yEonMy$k?!<>T~ zmWD8l+}O5ouy^ip&#E{3S7n#wfFE18=fNQ>(Wp(S0nLo+XeK5|5bQdWj?L6m2ZMcP z)15D3Dp-4XEzFjd=c^Nwg-7oy)A5}3#;?p1EG(aL+MAutTpCYM8f~1EmJAW87fN?b z;jZ`C^|i+iA&#;i?T)p_vCLxboAUdkuA7B_{>}8}pqB1M==DC25`U@&>Yl%p7EFIx zO-zidPzu>CMVh?x0-$yEW5p2l2=l0hS|-bKbEqQ+6mOBvdEiT9qY!tZUmX? zJ?!AoplJV@rlX~@g3EPU{q$te5{+(+oDu}cBu3nSq>cU8p&Q*tU1CW&h6aEKs%nZ@ z(n`$sXNT9M@q*FIqFKg#u#A}dA-x3QWPS91ewgk8v_OU`;9Iiy$E_-WZ{|_fPjkx^ zi|n_rW}Sdu43+RFi)F|hnxj?O{U_%O8(}OWPD_NuGdHWXqjMJ&+YuxC1Ib&ZHf zT?=u$;4avDr=YRK1I<=6R<}*z_qqF7h@UmhyarIOZ!ISNF-v8!kQZX)O4V(pw5?a^q zKrv?GHr1g+r;$mHd0zgK<6>QRWvoGRc2tgKesxoX0{{N|?2IxC+&l8fM};%1W_4~W ziGL-``!gv>Br&%xwa~A^WS0zQQxvLbw`gvxRRE@;7*bYQoQ$Sj&OQHBkJIl~R6>Pt zIzer7Xhf2H+aRg50mc+D+d>(MG7!Bn`n6$n`%)w#nOTm<$J#U_Sijz4{2w=Glb8EGC!y@F;4G&IQfEz&0THq|XmP$JO$hzC`9nHjEY|hD!k(4e}rgDR<&&D;o)+ zrwUoG28;jf4jO)`-68z+uZY?WI1&io)t36A%VRKHflmYq2seG#5!{z0<&r7lH?hYo z|C`80*OqE2IjUkoS+i6jNn^zQ*jqu4%F-%^-d|_v)94w^$d$#30F3era-wwo@IeKp zssPNO(CKJqbnPjwR025<3?Ox(l-AkMN2ikPeqQk)+2)928s(pPOs%MMOM0ooIBWKQ zMZQd`m2$-BC^m*wzLDFn2%K#+o()~HZcOMNG&CELo>C?pux!su3ewwZ3EHL7ETI~0 zN+MD4k>`)wDCOO1t>Yvr=uAI`<*rY6xGbDj+iltDR@C__J0LqOy}i9*O^&4$q|O4R zZuB7v=H`$78@id_1EI-`b5pss7wlO%7O$KUl zh$0?>{c8O1wl>Orn{UhaIX1(km8g0U)jKg=sCju5132HIbPF6M>#o=WQ)hWoYcY!w zu7u;Te@=uU6M;GoiWOtYf_wl#p%;W$D^RI;WcW42ht!{&(m|dOG78?is9{{EFCx1f z%vF)%GolqiJrO!8yt1U9Xrs+kap_oJsWH|c0cGd#IAi03J@OH!s9a*cxVEJ{Mh&VxDn8bEWGk$t z&~Rqtoz@~Xl&7{F#f4?-;g&s;vw&JHU=HCzBs zoMOP9kTC(&7WK~={jcSTr~I;@@IcFFymNlwez#vd> zVqqc?QZVOWJkf@`=muz`s$Eg3(WkSWL?x z!>ktQ-&jTVqd>N#w%OvM|Dhk{jc$C=BG%S}Czd~qHJi8%X`GtaDChelP!%NB%)Pa@ z#scRM)MI4iB4P5Ti{L%!G^z%rimyHw37}?7DZ4~(G?qOS{1=g2?78tOENehS$y;-+ zMHo*OfrM#2u4dBcC%$VDh?0KPBPWAt_%@`^yd{wcT zY|7~Fo#~p1F%d`59uKjyG3oQD^u^}lsyJTNH$Hzv@d|3D%p5iu;>}B(Qz+yD-M}WC z%!Ff|1~uk!6Tk1My*srT)?lsHD@NFm`@Ag+Y`FHl%9uCQ$8CWwxNE_)N|_g*99b%{ zPr=i`k(-v>9YnooaxJ&VyP#Z(QFZMG&~>rIGW=d$*x`!p>W1c~>g7)zL-Doyv}AZF2Hga7VUOPwiHHYd*$CGp;3D>0^qKa= z+@B(-|LxiVp$Q}XHUW>;>N+v0OlCBxtW|tIkm*JZ%LOXdu+o}%YlI~+WJY|+cMZac znXP|r)h84i#e(qiqj4t~xTqZBFY0z6)q)(NC9)Ezg?~bVmHEVL}^I)=xyaY=)mps=NzKFR>W;6)d<=t;E7+mU5(m#VgFiQ8qdLPkZ(db3lK~5re2U1*w zA8N)!Cx?nfoI@N|Q5Tg$-gBS{|IjU>-k=*v`qYn?foj^GpvM>y3*PXsA;VgT zs$$vLt$jkMdoRkbBqWDDXQG&3spKclCjIho`owBFH16T0e{1W+%x zF;CwlPP{+}qdC_ugZ=ck>Ea31tf@d35_#hzBTO;*r&c!7UOnjOd^a*oNj~(wjCX%YU&yByB$0IEA(deovXxF<(cg-(N@LM0^Wq1L+2=2DL_L z4|bC@W$V+97m{<7U0fvivX&+Ak^v8ATagk(zvb-=o}oZlCxo<nBc+8{>urSh)<;vx5SHtuDIMOF%f;Xy^BvTqUlip%WknM@oQDYn0 zn)%^j_i(Dv$Bk>skETTzv4l5)$&(;!uxD|xRSSaj1f&^Qx~+mED%EY3A??}# ztj7C~n;^5%CTF1bnm}1swP6%*DZV5KEag`5@dr>|9>gGX6Ve17v?1GWEIz>qBYep* zVGO?s=rA2LJtr>i1p5OQ*l{{Z^xY^yF7on(zoWGFMMzmYn^DiZ)B`^$c}6A0@~$F0 zMYWeN&hWg$8erLoDR*mI5-lb6Y#~~Wq)cjZ#-2k@Vb}g|y5RVsy7i;7N@YS?SZzX0 z^;RSqO#6e%HLt>YZ5>nT(!WC|FyG@Yjh{P~xV36i1ZU0Nx;Jja2;8JSati;eDwh4Z4 zp>X_hLYB8iY4%TKI=46tAYKj3(Bu8FxC+2KtpUhVU%-u*$>$8hCQYXYPx5gr;}qT_ z-%Lcb%Ei;9xKD@)e9L3~rN8(XceZ~8H`pVK{2`@2I30)F*1516K8#RxC8O|Zto`5o z_xy5jWqfAFy=L7BlpYLRHbJ>ct+vWylrNe2tXlpv`*~DF$;VRVIAc2~ko`E*t!k+m zdHE`o&k<#FIVUTGGT!MgF_8`a7@7wz?w^RauJ}%>c~&f7(Iz1w$pBU6H^->-P0o~f z!IqdS#^h$S^$TqvbAbKC1ePt6J(Yb^L;gig*zzKjdpQJ zkE6ca#M$sS4IOd!_g?f3GOdy3taWB#znc$*&^$1n4?R)b_Cy1irG)nq#@>?;`s$62 z4htlP@kVBiF-2FnlH!VY8VF`0Erk`Hd&@_bTHvYILjf(T))N)2)UlMf^KD40V&^zb zPW~OXi3kx=1?No9n_h^L7TI~I9ASmpwN{)0!!5oR21`FDBkk*quviQ-HJUM^#V~oq zaYOm|>VdwXWa{tLT=+POK0xrqZz zokaaFtPlLMd*HD%WAq+c7>2n~UrIqkNYKkf9?nn63o!OiNpY`?@?$;5TLLQG(o~k~ zM|ZUldJAT9gDquC5F%%rSx54X{A|&w^g+ap4%0gzJYAn6ud&FgdDIY^0GcS z)0DiqUH<<4E_oqtEHa+lE}9H8$MW9dUF~MkIrO|kq3Fro*1-0nGjt)Siz^v23T^9} zSc0dtP`mVuOg2s!RM9*NTDpB%GtJEGGU-Eh>g^cn;-S0SFgY|Gm8TIdXm$6`&@d&l zAI!Fe7Sbw?T~$VB@cwgnRbkx=tua%!9SPjNV{5ve^yjJSs;yv$=n`pnU6!`d#^^6c zjM-f#zHxF<)zd1MnV|+N{L(E5*xbUFdQ26KLKwAU61=iAv^hNBoDk${!V)eH?KnEHw(Nuy=_9Tl8?cjY!kt8UW=#1MCK97=aCyPMOV0## z{s6dAhprls#il`)qcBHIY&toA@D^!oCn~lI{yS#%05pl;_39;D_Q_-I7=7M(ZSR6B zFs#2YD!r)6hBB4-i$5m(@41Mj4$)|kjWqC@xu3mL$s?nUs?W|^Gp?mGjmQ}$GHV*M zBKLk{x{&`BesZ%iRkn&!#GA)j>b!)n_#xMSXSA|fs4@uACBYfG-QbC-+%i4^04d0C zgjL-;mZV;;1|vm)LUj;I!ikc>j5i$Cc#cY~`ckt8J~^+=hqR&}6z(eHy8Q%iNstJ4 z*T)KcJS<};vKNYAn5u_HCa1RgN)Re)`$sY@tFkPIh#b^dtUif;bX%(<}d=&l`xJNL8l70S8?1w;qy^~ zTGEm|bZbiqJOL@Z4vSIlCN=Rzh!wM+514E(mm@s(8xE_5wLGk+USzmDt_r~ zj;45Y18l-Itj|N&9h57sOdEq68KY0?G_1I8yv6p7YZ2geDzqd_G@Nd{@%A>KsM)rj zq<^m8lI*O0w^mgAN_6RFWo4R=1H!ftE#!79dgf-hoH~uJkU+BrkYU_+Q(HVP?PH~e9i9SJcXGcQ5?2yw zRe7z_rY5p7MU;kMBB7+vF70${Uw(Z)t_=sYH{dK!`(!Tv zC(&}#e~!0e*vGbmb*)EhYhaSr!y!4610>v&17v?|J6oDNBE+zI zCUPA&c2Kn`S$bd7YVMn)VHawu9MDq!X_WDWSta!2GKt36tMrcR(-1T z*t<=!6UN8CCO@%lbX!JL1cyF~5)9MUc`O(9_{acS8?3g&N%jO(a5>t|X&6p`mV0yR%_``upkmo|+ltP`uvWlOPlB z8YwP}II-I1RNl6t_EK9xPZ6-a_M^>Lk>b!Jjp2` zCzyNg3~xd|zJkTrMwXSE^2z4}&vz_rL%ee`1`%mADL6PS8q1`lv=hS^*ur)r+6P!+ zDS}}WEL7fssa^4c-S%twMj!%H2u{?kkWhsB)=M?1Bc6Oa=>rKR=E<`H%ny4){lTYA@Y39*08dm$b*v1GTel>gx;hLCb9EyE*L98O)}+x_&#$_Zp{AZ|Tg1 zuvXz=W9yf8pEZrG?pk{VAD{q*4fXSVeWMFwmF0W)bL-Y}qy$d|omzM&b=iA2mytQW z;l;=1iX3h1q@ro^cGZ91Ru(9_+BPB0P-(tBOKYIzHO5Jm*f}mlT}6IO;VAZsO0^_> zZc#d-+_*q!v_LHY4EJM9AUjklKhir>5~pQ2O+8QKS{grGwcWU)@?s|M8#~+o7+d?* ztHD-|j#${p=-rWbro*u$qOOh0aAk$fuZ1LyI0Ivkw_1_eHR7o4J>VB7M17}dn}Z5= z4`E%vX<+E|KDl$eXXiO^4T=}c77P6kz<&xET!0b2xM=gzor?5BY-$JXNT3nfp8op+H>AKW`00^&!82SgLRxoarBX`k05{AZ7prF;F>ZUNe@Krr0u`T zC-6{OC%-2p=P#Hi5mSvQWkly@+xr;@JUCUgBa@CGnyxq7+hEuy8_TW-XzFwO}JsNT^>y_m_D{*ngLC0Oad{5o> zhRZL^+t6gXg_jqpkFKe)kl=X?iGV1gUs|K;EW*0Agg6a#dqmlgbB%#@twO&M*U;2D z=r!jjQ<9GVB#_DBsKmZps1E0dk+kcxCED+1O7>e6TlYZsQpX6cFVw;>Hg(oBAT0iB^iC%2mk}oKUtSinHJ&ym))#T&2mU{d(+jxP`26C#fZG zf7xQ4SP1t;i^Q3;|Mi@btfSjcOL0PQIZ4mqi$e!x4bMlNP*>(9BtA$N%9}gmoc)H;U&I|Ts#zU9sTJGrXj=JJrd>| zqP1U`Q;R>oc3zr;)1ERw35fm7;uQz6zgg-^@${;<^&nQ&SRb=g_96n6DY5L~xBcil z6xOO*he%_5GZx_IKox`aVt2?pP<9$=7rnSaWdscL~0m_;Tc8YGHKv0SgKLGCg zQ5Lgs=2^7L?vO<7U^L*XnMrF}QTBHz$$&NHwos$lSrwYIpU?1%Tl(|bJ0*TXo!^nR za00c%-@9W;>{p*jA*iUM<1_a)5W4?AYN+ ziVHuS1tJ4-L!M|Q_E*B&{bi6>gPL1kR#{$^E)_|T+9a&92J=T#Utv)Fg?f;v?h@M$ zxw;2EL^UF*J1aPmorg;z>(F|psTn*XW&RB>1%pKjAJUvvE)K_l*76boZAPV9%++Rj znWYN11wQf4#Qc%TOa5ahg54jJQo=OIgcBNHITw6BQndGwQcd516Y-VbI9@_3VqA+K# zh<2sZu%;^ZQCDBYW;W3{)2yNde(R&`EP&Q@XrHfDGHXEjQd@qE=4)k^pm@z@XmPXd zW-c>-cJcL$F^*F2g#OonvUrgT`1f}Cad5j>4Z3^_`;TyTf-ptl2=WL44eA#z8}kCc zq2FLK;q7sMeYD)xh?AZ^u1cBTncK&pGGJBe0TK=#de0_JMOU&zr ztftF&>B54=&+Ql>U+7Z*{Om&oM8?yct(zGv|52iSlkxc-<#^t?Lj8aSD~q;~HV+q= zZcsm*{1h~Vmbfd3$t`8){)?5fH?7%jp1!q$Sy+tZZzX#Wd<@C+M`S2N4V#DYeFf~Xw zP~S&F9j&s83o;p+GDrpYKHaX z%a|aPZ6M6vVpX=pgz{mQ&JuczyLWn0QU^sL7z7 z{S|!{gb?tdXyOcbk*2E?>O^DhW0jn6!|p(sn^Jh>8tu;)0()HtmYzzJL649)zIQU40@9rx!|q_-sqS4N%?M`& z*|Kg0>Z17zt(U6Ic2tl2OHyFMwLUMA;k6oNmb?NLDioWnCG&U8VbFC-(yd={gme5)TdwTd-wJIj?lp zHla#xnc8BW`Fc>+fE{{PTx=A#5(s6e<|zp$Os+C48|g$644h`J7mOdNA8$CfYhiia z4<{#-PF0KL1`^20cZI$}{=!Vp>Ku%B)HWM}U{M(VTibG_lW9Bq*}$@lGIBmATO*88 zY7jK43#lny>NLsIs#SATCWw{+CBd-@uTkBl~6Nd&#D zX36oHoS#Rry^o>_L*2wb)Ad4S1b`s&2KB4G_%dbjX`o68-^HzX$w6kL^^~53K>pK)3-b+msIV&jJzR{PV7IF zERJ*HJ@?!jFza^TIk}pbk#OU`n0~KM^G;@!e^HbGcNxR@SIe@9M`F@!$y;b6+)q4d zL;RGHf(YOi0>~fR(#5N~ZlV?`C8~@Ev89$DOow3vbLWUCocvg5ml*!nI-MxF_zPz% zPFgMz60EWI)|$b1fjb6GEi)gHQe>N?Csivc!XiSkQpB%@vTj8qqpwZCm)m1dfCd&f z&T5ekX5~pDI0Mzlc*6Ku3D+i#nFnMS{xDVaE7uD3id=8f@2)m#(*~)# zq@s8K6Uu8WP}CKc;l;3z=pV>7hWE>ja=T|VU;^2YBaBH3x#7hcqO+|~xMJ174sHt} zf582~$e2$1P%lYaz)v-WjG1;42GS5xo^-bAGkwn z9<+Zmp8n~eE>}$JFVaDQE}uL&<7MlfD#n7E=$Ce2$rn%mWs#V*Kq&iX>_&DirxF5y z`?H2J+#2~1lM;H})+i~SQ;glTB&9r873?mAh0XK;#u~p-*+Eh`A#WxRpp+@zs$5ME z>`X9I%KD$KNDuie`t;1c)^$FvJ)3!dXgngZ`Ubxix?O|plI(G*SPiX#bq^zgy6iO7 zNw@e-(-w?orPM1Qm2hhSoe*n@(C_uo9~(S2Ft=}}w0M^}QwZuGF0!|v-an)$JP?dn zT2+Xb@nAC^caYEi@Wu4)PzOuVpPZ2^qNju6Vk~^3H>W=D_R9nKGyWprQw4@6MX8s$ z5Qi2a1@8|g?E8a7-oyq;RJPdnJO#Ldm^hne9m|_>@_Cr0%FGXdP3B6{T=mJEGM|7M znGIZzqd6WI3V$9s>~rCsfQZ6GYt!=Vi`MyF4k#m#s>;t+iw0%8>H{$FvUHvrWKyV5 zL}QBa|KR3BQ&)kxnY42XDibyJsK%nGFFpn_qwfSUBb=#H{o5-T>YW}*5>KhtQhoUb z=SY~H?5GlGg>^UR+UNFh&PLzR*gN}%At`V0SVVS$7VR+E%2inCrl(EI%iu)y zdA#zG8}wyPg^zwUwuvKeU>Tw4gzZiL!KDw``+jzQ%?w#uf#R{L)JkuVM1BH)@=V4S z%!J?T$RpuRM075qb*jmT34CH#@ccC@XpcF}17-J1y7*Rfd0cKk<58O}>n ztohE%-_+l2SOfjH8-r8BIld$^U!x;CHokNg2krMPOYV+uk+r6Dv|fwUQbRuZuX%mD zGWcT7E)<39N$9TNN7UMFOl$t)M!&q-k_Oi8r&4?aQqVvy!;%ZG^;;`39RJE!b)XBH z)WnPuPW-sNaYkbD`E>ijW1Fn+v=A|U0@El>X}E&PSQ`|%Q*V1R(MVMIJk!L$Cpd+# z$<(eK2d#UFL)BaJWg{-4;KO(f&w|Tvd4ce<4?I3UhvL~jZ07qlTfHh`lmi5QNQd15E`>YKG&RC==wtXRjQE=(j8 zt!Q$Lo2RrEL`kW)E+`p@I_;Qtc*o=QWCo@dz#j;;rmuO=3;#PQO+_n{GQBTSoRw=CunGvoXlii(4GjOP{ z8Wo&gx{QUJ1#Wg`SC*>APADuA2M2UVk=Dw%k57!RRbEO9E*|Xy)PuTM^n&_V|@Ot=WICSIj-4VkSjWAeo$U*-Qrysv& zfEH&;GfZW91bT^T3K=($O+emL^umus{;!y(K&8xqr8Etxp9+NL6)A8(=Pzmm=B5V@ z$+9l0#$N6OGEX@#{3$CxGI;o=exbnqr1pjlIXv2>bD~FAOXYM>#pqsSF()?F3K#%F zv$F0sZ~QP)IHxK#9FEQ4~}uoTd4_5Wk)oWd*Vx@a9c>DcJlwr$(CZFJbN zZQC|FHg~L!ZL4$k_n&idZuYY;tDdS_Yt1>vcxMN*YZ}G5lx3tOEql8rFi|Gi^W9D?8liT>txDxJundt4XyeS%;T9qa;3+te3$qY4%o%HN;54y;rXB=_pG#7BJ${uBPIL zguv)@jdVaD0_aX{96FV)zGLvOBjSHj)H(bflF9oCO6K%?qNu7wM4ggW4N?o-Yw^+l zY=d{iW*;jftw8F-V;j%r8socaP1QVlWR8G`KjMF#fhd*Pv`|Ka0__QcfN4wm`*^dYIHdW@kw zC9NZ8e}*dS-VB}nSc+cV0mWzK$aAFIGk}F(lhDfwSJB*1sAIM;(m+ZPi=6&m*g%fI zOcq4m*hz1YnM;Qz=suldDI^=@f@SB_a(>LUa_H#M4N~Gpp5G>ls=xuniJV=NywzGZ z77Ds4&)~|^NvyQ}1{W{CcO2R}^OaSqebl;ZT*HT6-qT`{MDpBSI>=ba8a|RUx>Zz_ zPj8jh3{H!4EhpWqV3cd$FW8?n){BGP)o%Qq;@p{)J>hpOD*-%S|_4l!sw)+gLIh`-T|r%nv}TA}FJ71%^56wDI7x&+S5uPqe)Wtz zwP~!Xo=m=#Sbl}{3^S~&3Af^$DF#X`n=8q7EBJ*#o@1ECFmd`v?f8iNFFoTkdBOw< ziCV9huDIp zX*T%>o3F%*HUl?&%%>8M7*3UONw#dLaMq}-6B*D08hHSG5=w-M=Sj<1Djmu{4)1-F z^3urZQHQT3w?Y0=+vK&KeaNXGU@5)-8PJ+d9R9*!ezIfXrzSSyA4609Dv_fzj-*yC z(oSS(YtcJ1Nj$-vffJdO`Rnp)2bZHjJ6)w+9l+-(%FCz}zXt)Lq#&Dt7VYJeS64F> zJ@F_+Jm3@Aj#NJ3?v>e!G}wxl;KM_;Hn!_{3F$CQ#76ISO$+FOvn3PV#*Jkx3DS@C zwmdj0I;?umwClaDPPyn?Gy#U*V>;23M7-F@^$1gwiPH6Oamf`x%mvzc^b{v+N69{l`~qaRP-c83*2Q?qa1HjinLfo<94J<3@<-1 zzw>TY&qmMn3AZ|o*PAq&x;iV9m(L>=!xTNH}c7h_^}>ZEQF2e4#9WjU@Q z>~J+1)@#zumITaHtMo1Y+<}mEI9zkd$fsomV7~P(ehw}8^lsEwzPVR^d;^wl9KFu| zgsh~k;4V1LM&(oXkd92RTwMvi-3Jqa4`S^Uaret3!bK?t`gNu@7iJb&Q9-m#0@4DX zkQ`n6f&_LFd3t0OZ-hsERhP49Dt^L3yZh#6{YctiYnS*6r0Yx(1dNmBmdsff&p61I zV75l5ULm(|D=xStZa<4=T}w(w=X7mXY>ol9Iz2i!OluuMWsZ};*2wnxe2NjE8|Y*R zdt+MJ+(zNQXava0iO$OaR+Utr_bLyVt~JS)WK(n=&k?p(q?gzDbZUdzTQ}W{xtae z6kfv7+mJYtnDHtp_`NB<`2DQPL6>*r7Sg)FQ5hSDsEyakW^VMRZH_Hdh-jTP%G7^r zhn@J&{L{ECB|NB-2oVrOzwRtXux4Wq?e4|O3M3!lfqcr$I7-`VSdy9(Q$OBlkdZdU z>eyEy!OIW@fXy8I$QsNCe5L}9e{+~=Csu%`T%c_r1b5~AqU{5sIMX;eK`w~0lOqrS z89!RA8~D$_zZaH}dd-$zMtE*gK#qmL#qY73XC|h`59lr7wK~0d5YcB;v1!^aC`YqK zmG1cB{$~JwSq>LisdSk+70b)e-EDx>oRa%veyFI`=6`@WLA!OhVo*%qa=0R8wUwVm zR!GIDn7*~q>rsz7D|F5#M4l7Ow_8nGzPK6om`h?)b*OE|QJX~xY>0XWDponaip_BA z=XOYFBtX^RdegNp6R04pPMe}z@AwO{-<(cOJrVDzbOU@^s?fG-KYg3&$yq+Tg$$}? z7#HnmtRy;kLXI_~^E~F7k^c<1s>A+u_@4{dZQYk@9IA%jdz?bVjjmvWV?6IP$}aL! zyZpM%M(2P?T>KK?_tFl%z9j24j+DmcD=p2+WG20|;`Xd8X+GU+4e@bE9n3(Os1Fx`7uuSYlm)^QAfKtknvT_|b z^C7Izij=CLfMhWu7)Bdc9H&3~gCEp0oQ%Pxjp{}WcXj+NGi=C~c{OZO4tuzVdv)^5 zJHx-q6^4F-YjCXa5imc>#vS9smIRVE#AZFckS3opqFqRbWW@f&5ElTkW)@qHw8oc8 z;by%nUs&hdC_BWLWqgc7eGp4Q-^Dri;A|n6V74&`6q;bFm?jtMBC=lpIUdEJb=YT? zKY8C(rL8%nmJRaMZ~cwcP+`j_XtSD)$aF@`Sg8UPg>;PCsDP5&>?xq@9={0Suyj0< z_AoSFjptlE&y|$t&64}zi_UohYVm!zlU2)$~ z`o;hsYlw?SF}->ReMug;+@t11lDAaO$Hx4=-u@)}#{+wT9XDKmW5H5&1{9d;KI_=c zbXv#fp>#)%YyZ=KN_z;d1-F!dP$2o_SF}#lZMfj=7V>AKXHcJeFc>5ZNaGZQr@ zLUIf^N{BDHq;VLZxwg=-ynMGZrs-v@TPt`+a4<_-XCFY9m>hWnjtD@-a@z z6)KJ?!oGIP6u2TAIJ46bH@XFo7<$&P5dA-tcKZNZ5#UBns}ymw|5WxEN^+7|U>e@f z%oB`%V*mODv_!kP9=hX7v@$|DE290xlGp&Vm`)prS4 zx{B+#Ei)nv5jw?*{EB6PBL{{0&}M0uRlzf9ejiM_tN9m)>i*=J;-&Eob$xEDDMqgd zG8UggGH|6NC~J{nGl8u0*I1_6EXtoAM^zi-BNz;$bqJe5{b0Yrlu6gTi{NY7VArQA z{Jb<(*rt9pFt^usHIp9F#_*-Re8-ToIz7x=%y$1TN(?eb9e>Tmxp;EG^oYY5*TWWdh0Ow9Z?KIe$*iY>PYmfwx^1}hG1 zT8b?E8}T#|rS(fJk_M!Pny~Sji0rR;wev77?6WCA=wwylyy@kCOE{mfJYS_ezJnV0 zIIlpe`IXlLtS@SS?{@{~Dq*@dG`2d71~r~C!kyiZV}efqIGXl!G^n&nmk&L|PWvp? zPFVn=e9EKe`-UTr=9t@9kj~y~4a-@gPRx~V))0>pG<;->Cl^_Pd~`F;6Cd8IYIEaTc0n)>Htj{o~78Pp$UF0ZWA@+m?`p50W! zq>EZU35SHlZT}RHdjYuO;X}m9JuG}5P91IFth9Rxd_M+frcF5L-!fWy^_kzN;wG;` zo4!c3Zx(Avk8ZAc;xdk>AyedmqQ<_>DZyDd|1ia=L00tgR9@w>NZL23Af5aB2lrW8 zlO3w$aB(=z-?2+-^T(P&`(mQ)8Si1H=a#gHwMQbA&wWX&@T9+dvoSI)Q@48j?i_SK zkCmzPL*Z+V6V+|(qZ>LlOHHDEQ_Z=<`rP6swS?Wrm41D#7nh=x!P$UG74T#;_;yHF+29sta?u%WrMzxE(n=j>UG z)-Wyp#i0G0e-X7Mb2LRZ`!9y`Nsv}Cy@K6nHeEdBo`n5p7po8hqx1NYx%e0fXg?Xy z0vbknY=i}niZJfUDwlnC{IB~4!fITRTpLAytmJ6anxDZdx{*=uY~`I2p4NNEoC^`w z1^nehL4_yC;t-dLNP?oPw)`PJ4Jv5+IlOgIl|kAvuqK1%YU@9eQ@I5qC{k236YuT< z-la)$nB0~&(-f0{%$`a^DKh9aDwh+8|NCP;DIbz~K*mr@bD?$|=7x-EGsDH^XV0H| z=`QIxl{(*DA4%08o1v2tXI4UnKFL&-0{pSyRgV)7{QS#@Z{uDJXp3MgK6%5#z@SO{ z>Dd*Z{d+($Ky?4RQv2kz*alR>`>hRtf776+Sa$etM=ju}R;*Fj<)1LIYe2L`S@hIR z71$F$?jQua;P!{N#@iW71Ny>0ST_x3?{g)R#(rAP)DdWxLfqf=IqsFu>a^ZRehoU;cqb<)w3rl0(n3*Sng@oB;fzdzl1&=b4(`mZn zXS~mlSO(r>DDef$<2ehF{=?>A_qLb(-&lbrz%RF)9@@B%M{K%xWG=^!rd>j}7E=dX zLL>78eCQ=>$xRt@AMe%sfzC#;rO;vb2o^-ssIZx;;@025CkMvpYe4su=;6OOf##p* z??_-+@G8!J5(ebrgirr;kg15r{$WeggX#*^nm~S@eT< z*lV5IwiB{_wqRh41i3W{Fx6h7Xz;YuMt*Y~voogG@2`XvBsOq3a^D6N=HJ zLu-)koZ>BwWh;ev^TndD9i6sYVtlR83Hn0>F6VH9(GjMh3|S@CyT9Q+;dQk?E;%a7 zYSn7J&(wM(xKtCQ_*4_T{w|!bfi9ydf&$7g1$KzIYK_{FkTx^g1spA8vCsSuh5rA( z_>MkxIkO9)2q@T()4`l_U#tO;??$xfaP7vVdL*^FOkQc$_4sA9Ta8H!MnPNiBOC(tG^ zG0_-|OluDw!H9Phjuw zFC%uF(!{*O&U^cd$wKW8pP51*Ph~^`mj!{rffM*wSz{q^E@@N+@fE zub6+Kpg8uY?L|Y6`RE5Q1nk7ePALTA`@XSa+t7`Mnh_g-iii@X?lX_9INeTl;zHwafwF8s#-&oE4%vi)ro`)x98FJB3Z6^5xlyS z*WlM#F1`wff)}>lw?0~`>6~(}&B1(0brFP{v2N3SP5mKps!(HI64@zeqw|dV8#Os9 z7<24LOus$?@PpTQSvhC^AJh%qQp~6;hDT5W*e^H1gp8oF5p^c$ykEyi8JrGZ0=BeR zSqwGhhV<&CwuWhNOEaPZeK2LWGXE23ts)2H-c$GJJGoM~Fc> zq4m1e%A}qgf2=@v#_b5i|MnALU%IE4(cqKx&j&)${>j)ZY1!60Eo==FpeiB~4|L7j zx}IX38LA{2n2}2$D#-nER4_X3iG~f~T)Zt`S|ZnBwmJ2shKPb_09RuTG40o{`>Rr` z1fivQd&!wVC&w9cBoiYL;t)HDu#NYs&F@NPu9uoRJLS+AT)MTaGP#Z3lwxaJh3XqS z*}gh>RCQf#$+Hoft)(lj5|;iWQ?`1$o#lC=eJLHteaX-2;q%Z3X7Ev}4##`$1Ksue z81DJ7!JEncY+-WzS%b2Rma99^3wrLKIqV8-@ZmQ;fs$(Si;hBSt{lU+0V#zm(sS5{ z5lTr%^|Xz0(4gZP=nA6*`M&`lhrYJ0RArE&z@^#%u!g8m_IPrTT<)551%n~P&?a#O ztiXL6>v;@PMki9AV>Fd(lEP?O4f%EIA1J_0-EBn`lbk|q^NwEZcvU?6^#vMy= zFM}!-$XmWauBA7pG;vCVKl{)v=1EdgMQt4!LHfj-M z+!*S`CW2XGUoD~0)^;z4ws0ny?M^J{Qr=Z}ZEcB#Smnjt|Ya4N+67U}3#EKpeG{if{lCNv}d@npeAX~}Z$SXF(dfJ$ zZF5S{ms;6JXHnuf|Mj|z2&aH4ArV!Hvk+8u#Wx(tDRK+}dvRK;l`g)z|D%Htq{rmod5AHz$4dVKTna zE-&#eK;*(uXxuZdT$Y+T2}7zQJVV<;cSXFu>4a_~DW3P!Q6J6u8WISRSu9Nvh?CJ$ zx@`Wb-(y}3Bstk*fO_R+Vcoa-KLwk%wpbaq@QB@0tihj5Uy|c~PXT%qTxCGv>zzvc zG|dT=_Jzpu-Hm!Db;DoIwp(GDW0v2#z?93fuz=3jor2?2KKn#MQr&{lIokjg0dnJ7 zWBN2Hc$&orUzeA6N?LTBA6ichO6F2KmjiMJFUj||>eT%~x2*=L_ZNPp|0%KR3kX0Z zwo_#{VbDX=YH%gCWnvokr07W=qxQlY#<9{jx80jiIEdVMYrDX~l~REAus9PN6c zkIroHaoz(cUtSX!@=Z`!&Pj1nnQ3V4pH)Y9WwSnF$T=Zw!geN5TXnkD%cxAf@Jd*q z&IMjnM-3})MAT zWpwR%a>3Jza(>Diz5^XlLvK90MI7@;=WXPMAlQ&^b&P?{>ZO~KDFfk-_ehH-h(2MYa_;0Gz4aLV6dP7YPsi}Vzyj07hb5R>0 z1BPDN`QNA8SC4mwm2dLAV4}Obm196m?Ic9arY^qd_1x#ug6_<0{q?e<_DnHEmt=3VLr7F(U$!n17Ul^CoquOJ+1 zs8j~-Zkvw|!Z5u=F|hJ9O)q8X!S2pxBo43D-G+N_+6abc@R+6nLupZs8T*NBW252< z8)}FN>48fF%K}1cP|&)C0KOjEMP|jH3^-h;vk`cr0+x0bM#}K;*vTC#+y;y^N~p}p zH8eZo%kd!C5Dd&QRgfDz;u5fNQJBkceq^r*u+==#HaXnmRW)Uou_{((?g2ND4vYh| zRa=U&V8jD2aQcCkqg2{(PD3SA6hsPT9sUvcyKw4(w%(_rBY`#A2?0%Uq(R$oT)Mwh zV+l!RThb-u=`m#?QetPdpoTyL`ws?n^~b1~Jt*P$Gv=G2`LDE%ltaK+C=9_ma741n zZyb~l_3g!XKvxX-$UQ0$`07l_PB*W_T8 z$M}i>2LTN@a2ogr zc_xfuBab;yHMjK2#J@=~!h{sqyI%`#db+8xQP&`L6V{ugEIMjMUjQKwE@*39h#m{w zw5Iy%4v`p!qn20=lNo12!k+a{*oSvvosUqjz>d&*g=uw(BR(A6DVXLmOf+zh;3s~t z-qp4>s-%i5)l4PvX}C6&=}&iFRWL6m#cX5!Y-;hsfI|wbwQ60GTCfKV zT^RP9sqD(F9F-KNofO=fLDK<4*0@KlvhnC+$TLaLz~x{{{`ZCMG4c^69n4d>%>PAL za)f4<68v#S$YK`3Td8#3q~piPYF27SFcjQ^zL|bpEO*gY{3c#HF{QBoERobz_sJ1^ zAbnggF9y}EdoTy$(WHswC9%ua^MWtveliabOR=T zRlAQlBg3QzL1XwwS^hnmV6OU|X!GwZ1vEiPOs6=? zUR8Mcy)@lVx5Rx*t$3Tn&|WyrI)9;L1WtCha3 zWXCC=e11q>B8r936p`v|vz1C>R@>-lQ+iD(!s1DxN!~cSGi#RHH82ghT0b&v|K1c- ztC4q2ojjO<=?{BL_mYc2U@indfuT_%_7}wYf+*N4_NOb!Snx)TmJ)yD zFEeiIf%B0~u&1(kLn#7&Oj;gUC9(wGr5gQ?)8!s&Wbj*tljxjoG6|G%k3fC7X$FD)JUx4tF7x%NIX@ zz5}Z-hHps~i=m6dB{KzrG<7>?ux;7(Mc32k7oB60W!}%{;~7}5d6=7#AeXzSlO2V% z!{u-YtQS`oZThL{W(Q-6X#UsbMXSh!$(o}YHz`5S=(y2d=DxGBN=w0dLYk%~wSHV( zFr!#nLg&9Z|1ddLB@gT;Hk~_$DR508V2D~AU(y}m(WEthD-~IZFNO?Bw`P2Lc90of#y%AIu%yNw!Q*cDdi*g!twXxC{jH=bBWo} zjTlYA4FyISFp#5u#>9|tNPtNq=2U-*?I}>h)wiLBkrWW?*`8QrG1#o?fmbRSDKkUE zWNH5dAw!Y&Ca^3#p=leX8(9IVxd3{z!TtRN;*KgOB*|k8DhPP73JA~eg-U@=xN=L?)#XGMFUGcbfLv(M+Cp39NrL}LI1XWEak4i< z03dYD#4|f2Q!E7A*@eaoQXR2II+RN@NWmTMDi_cOm`?U4Gg`P14T^#ppXwPu+sqXTty9fafwF(6)Xu%)Vth^cv!c_jZt-AB8m46FrSs%#u5U~%oOo`=5 z($6Qz4$F}$?dM{X?dPG^5mQzXipmcVNCd{R?gwisGw7n=PeK15{Uh&K+f{L~yJ9e? zkx#XtTG~vhbL!A`O8dU;;N^wSNiLkdbXI7}h7+K3L6}nW#LWbxB*d`(Sr}E74w|=w zcY~(*-y2#Xu}fm8i#1WsS` zJeRHz`M4c0-o!a+b=yw(;+D&Gbrpwref^+WPT}d{X0A}B@ieAE^m>xZDodI3A^aV_ zxqAs$2upyrk4S`~CNuOahyvIFWyG)&OJM#2dwNX;0g(y!bIr0=oEySezlGC=AQ9~W zzfiBYn=ft)A=_A{E5bYFgqfo=qJXq(O=_j zf5r*2B$18jQc^oZ@}aUXT&7j?gfB%}!1~jA>!7J5Cor4X$4^I+5F}Sab z0lzANFp&q1S!S5Smy&wx=9%X$HS{sTBBuG(N1Rxq7Ra6egMwNR^FwApT-4DUHU*_j zH~2?q32owynQ@{?K$)4wAxyPzjBFX}5{GU_Wjwx#otIHM-5QtGsk<&br-6lQ~? z(SBy0F{PE?0}f50pT}%q(VIvEeo0Gzg6M27c}GYeBKH$PYi{^i2ELyQu-HdYzCoZ$ ze|$}?tB(aKeL**1-9yUcfP7G`}QxCb5>_Z?{W(SP}A9c zDH{I0rC0k-25qkpe#Bt^HBa{qfnfni0n_-W(s)nmzENay3%~(9#GWWK^WDA)!{IL*_pZ0NIaqv52_7$!6iU1WD9&LS_2pH0br~d?7RF2giUy zqu)fL9>3dKktk6L->XvUZf)oVmv{_us(y4Gcie%GVJhM(UxH=uly=x$A5xd06s+g9 zDBo)@TcKuVH{tzt)BvBZVo+WO0Z*?JLcP8*MsxU0`uAIw=R`0<1>SmJ9H2(sLd6oS zcM%Ru)-3%b1y0(!@X?^39`|K1Co@t%m>9QSEUTYQOqA8b;a(_xG>fDq9aE^r#M$^8 zf*LeLeeDQc`M-fDKGMnSv$bh#INW;037@JQR(cjb5UT~`Z|S$n$$1{MEe)79%JJLe zfwD&Qm&)T>IsB<3oqF2%165c0_#w66@X&jT$l-5YaJFTSHqwo>1ANbe)2vm^IPF}g z2IcdXoE@ULUcQ@3aZKiXDa{+A+||7)tU%?j!LX z;FIy?L<$r7++f&0mUsZ+N=BIC+<`u9z}mqfl!+&S6lwY00y@zN-II$z3;JQ$nBJ$I zN)h28K}5fo{eMaFD!_DD*$mZ*IePeMKt(=RkMf|+I7;}_wrx@H08$Qt)B4g^I03)vbw6$>m^e!m)mPs;^b8;*6ag-TWjdDlmk}7wxdTXg`C|1zCg1hiB`FQ@y$Pn=Le!T-r3kR5eom*BNl2 zP&ivzI+JvW=9xSLl4{m6@ibkf-qDH-O?&TAghN}itus;O*{9FF;$@-rh?x4^zDNh9x6}AhL8JTJN`l|Z!@|G<%BV^UN0ipVKdTA9UjjRKUwC_0L z1~P4;IhFs(mno|Dzb4Y+$6RK87&V+k>Ulu~o^0(PB%J`0Jdj&Zwa~uFgmTNqB7lQZ z3a%02$(8#1Vz++G)D)lU z&4vw5XgnU{3~&lw3&ZFmZ1==x?lWAvw>@SVWSx35ya3kknFEKJimBe?L`D}_TdI&0K$v$b1UG0$41DUK4V*a@DhcNSbNT)EpX+D zrCAcy-PxW!1}{M^10RN;v;DN4w&J7M)){|=8_B+mk7y`!Z`g=hp(=AXTu z4vwlpvMep%2i`d5O2)hVv%fOVR_s`5YPS0$0lw#>{iQzh+HhX=U{Bqw+sNOkh`m6} z=|}R|M5nyRF5OdWbURU)>Sqvl@HH41JB?L1Rg(*ET2+ppfmTycwF@kqKB)og_5zsC z>MSHUm~A@^4NqlZ@~Jvn9m*M?%p5IkY=sha=8-}M(tys-givu4|jq8Dv#JZD_lu+QQ|C#K@vJ_j3I;$rG)L3%!d_L z9`<<(I~1nd6V)%Z$UYGhtld0KhAs>I${PFL3x~16BECo76Ig>|e@Xl!H+wg*92`k* zmj`19AA*v}JC>9^I86Zb26cY&x*vZA#*0^~^x|~CQ-tIt6TMUgBW4Px`y_~QrQ%u* z%_ba(qH+sNi*U{_w%`lfW=-3ZZfEELZwv*30IhIl!Lw;rGr|8dr~pmK)3wV!aEKrG zxXp8g$d&)twOCl1yPFf&i-kS4)Bg74j;WnLx7*$X-lYSuXkLVe_>ZQ}XN9ghG7d@c z9Al)VLlb?*@8to&9J#O27AK9L-+Hixmp)ptUSEe%J+{&e>w5CR$_WD8Ww+kkzM60Z z(%Sr+c3Rq6c-CIW9jmdG5cd6q6XV&jKp4F29Z=t=#R72)u%bIeOIhUI!Fy?dZ z>t2gU1=3H@EfS@x;ItfI@XK|>w->ql1JHU{6p`XWuf!m*ICX*f2imj z*TXMItHv07JZR-j2*NjZ3t=aLIbHfwNC)9VCS*_*I;*zv;7{gpIUr$Y?xCRos}&OX z3?ScjVw6w3Cxgp;^H2Cmc;==QPxx*Kl6>Q}H0NQ}{Ud4DF>312ezv(`X#eF^JFcK< zs#{~1tXDsdGD(MzlT|}mEaoG~B4-Q#BO)-W=1?m``zFau>%BA@G^Y}pKLib5yxjCJ z`T6Ab!D=xdwcW6+T+@Y*iO3+Vd9-y1Cq?fk>-jAv ze{bwUHnwgYaMmx_jnV@{+8n4KgQW|MS3RWh#NLTd{tb`XpE%=eQ(b;uKc{RF3CEu)znRM8TU zfR_%Sn`;CW;ZE@n(|E4$Nzq5}O{u!%5q6n5w8a%{dB*e6NiTrBzZGxJMK-jNF$4R2Px zIm9P$z{aNwSp#bz)zt>B>-(ol(BXP1{B*6(<8p9)01ulDFD~nM;_)3Cdv(DbMN29k zk>a-5#8;+$Sn#>ckZ&mA3{=?({vBi1S^wgaqlTj?!Ck%GaDOuj_~iAEYFWb}{dayU znQ{I1jU1*3Sa$IxOX=i|-7|Ae%L9c$9UnBKRi!9Tg*fQ7O`fDt!w#!Z-WvC9A5u)Pdh}F2EcTI(~ zkMKV`)R&f{S9&W6S+=a2OOj)#APNo7jU}tAwK~>SZI-VaTdd@Gst`r2&! zUp!Nfl$uj7E4*$U>vr3SVUPkps81==O}hx9gMnI}QirGuFKADN@qzbH_UPUMguz?c zGon2r%`2S;dT+tBXFwV4#@Rs>w5rz8&tB(D6%B%Qh!}_jr0d0(sJt}RAuEKM(&^qGM=#v=YVnA*hr-ywseJzw;;CoQ2<*b zO*5XIDx+9d(>OxaObt>Kw`W2u@~_SiJCyUE`kzh;jiqTSv_3Yuwjp3G3XP%ijfmMK zFoY@Dqvs8So@Z)Mh*P7Bu-JYBPJ9_!>UkGI_?>9(w%OG%d@#`s#T0~t?nX55tr(q^ zvXt{YY?_R@s4{NYltRA9*cMT!1bKKmS^knKrG*P^#m7?BDqQb`;{0)CP-NMVLF$eak-1|*wzXy9CxhfP@Z$O%1%31 zSs!4s>)CQo#mcVgSo^z5!qo1}-!I><`?xRL`YITxH?Gb--ptin9-TX>pVvSC9PwjW z$wp6P6p*Hs2w6iXjhr~6Nth4fEljqCQ2R$d0-KveOM_F*#7!Eg+0s|yyW>rRh+^0i zpg2<+iAaI-lMrlB0AMd@V-UE+`iDgyhxKW|LQmAkr?C#wY6+{q2{&cI+#Vs9B69ZZ zb}GE#h~k!yor<(6mUJ2?}HXHy-iBEGWxgOaPn`_uy^4_ zp6#GFIukW8rzM69QbTzVt2iyCUppInHzigX)d5)YooI>t!1>ND{Br*g&f!0)lrkN~ zYnyDIFXWneRac5{3sGBUQ;)bUmmD`wjcY1whx}bim>%4D0OBcw6^s=49cat5tH*{& zix)LcpVLAZ&$qkKf0;^&UzJQ&v)6k?-16G)hxTV@vY=g>OHw_LG!(e)9oqFQj<$PE z8U%JHQClo{Q31i1a8==$fW*e`gTK&-D1QA<3~H7&xE{hs1-xytcXhgeoB89b{TmuU zfBv`E-RGHaude!rZ9nhv=gP0dtn2HRbl6UE&{+>Wrmro zPTWbfTLx)0jY$#)Mh3DqyI@)O7zWg9EnLD0lpX7M;}(@5?v|_9cVpFZZUHh3y8)tq zdnrJxVbP5tn8}6zoPu$&dhwqDt202pZch$s{#CIcGe>MD9VVWFuA-Q+D`V0fCb@IS&Va*6dIepjLL)6RxbTRT)$`uk-q zvXF4 zf>?I}2qpXOvipIw!HnU*WsV>|g?{{&I^YEL-_Bs0-;Ri}Da_=CYx(+BV{`>5hI^?ykV|=a|)#{RT3{6HB)p zNs#hnhx#+~By?t$p2Fy#uuEY-lel;=a%ou%T;x>dyW}w+3z{N4v8S+O1Pa;}C?l^a z!>?TO%GnQ|-9nEvlO|2mU27EPyGDC5m%AEC6Z=9o=|Q1L2}{?mxU@;^1DRH^zsI%1 zqK7xrvj_n-;kD(U32+dKhz*cBp2r(~UU6xgUi9sG%u}_gH{pXPxFCh!^fqH}!^_Gd?62* zmxsO;#%8U2kqD+_42kq+|9!ph)ful0kW!b4s^T_A^;~rxjDoNXcw}3qGzuy7v<1^O zR;NI{UXkng!Mqo|GSn2ftymvd=6z>?qaoqth?;&)@mL;NKYKj^pEY$laV)Yq ztd3|yNZjhpwhC?luSLdM0h-EZr3*4Syz;=j$oN#)4{8Oc6F=1wYmhnLc1B{aiUBSc zNV@>XA+ssBpO}X9BLGqU1=h!BaV%C)oZrFWm0oP!~yNVdZ)1=ZiZ^LNATijkA?25NIGZIF@)dZ<=Y09d$70c7OX|} z-@$>(*|i_NrL*6pMi=Q;VyS>F36ph#Hh#%{{b!SZ5P`Z?O(MZ7dYPV`;Q_p5akvud zb%-v21*~l$BRckvpA3*jM7jGQHitb-H%;ATPfg?96^n3ibdywaC;_>W822c|HDK*3 zq*lfh^`YMBnw_4RLZ7%}y;Gk`H~U#xg0po)M=RLE7wqA#}~lkCvrJu=_q&tBUCaw~qvC5G>-&8kp@Q%Q*S6t=YQsDf&!v7N*HNAhpO$!hSFLci5o)S^Dqd3*b%|kh>N%bI8SV z;KbJ&Z6h)OzHGxUmD*PPyDs1<=MP~&)}k=g1Rr?}naWS%O-#`Nu)8}cG!@cY6H;_H zc_rSa>mFWli0n%XFVejNq2XE(#1P1wxu6(YxzR&l=c+mFQ06-bdjoj--}xfAFmcdsaQ@fLE57PN`ecZPn~PvRi5^Et_${~ zV+g6C`SK+8Nr>M9(A-Sy9S-l-b z1SZqNY5VTdl%~o_>JD{`VzgWT?qc5=F^bvwAkJQ2P59K_V3)RbLn(jHt|X?^Lz-7M&w6%0T;+2rN`{KU~RGt24~(Cj^C^m)gN zTMCtH>AFUoc6SB&iTo+q7H3dJ*|sp%oD~6irZqRSr@s z|ID|gjv5O@O0ZO>zVur(^JN?1`*W5P>H_O>ERmqLX*9Wg5|5fLb%XO1A=?W+Wj-kA zKe7m>*?hEFWlI#GjT*d+WQ2wl*2@zQ-0kW*$%(GI$sNDAX3?q4xA~5c)5orIv!OXK z{D&G%7nhUcPwKunOXBoRW1|-1W$=w+Q1+KwwJ%2qw5z_sA2>lOrYDjwu{wWaKYf58 zq&BO8Rqoi}cPyy}ikU#tz$I}HYVHngegZ3_^mK6ZenTDkaJcwZz}7uYAhfakSD?3abvVn|51&>w zRSP!V-XD%EaNcGYOF$9sGh7uL)roS%coa$?64z7YDhtW1faoE6>r&nk_uUVM;qkca z)vTdSsaTA{Q$|`I@p?|vM}vdR(@KKKsC$HLM~ELYC$+&(UM3lxpz74p5z1vS84e1_ zmM#=*bPwV^tYv?vHNC84@Y04Cw{P#X`%cG?1t`PQ53X(Kw5#3J3~k%go)|+#2E#&Ucm_3D3wK0r%}$>xmuu%n;oh~(%S*NNYO+Ram$y`P zE?lGMx_9~A?W8CJc0N+|MrpT}^|~C*@#`L?(A2wh*M@|?4ZT`b?<$s^Z(tVgLVsRu zKUIV-I?rylN@o?MtH=%i`Z|~&31q}-9$9;G2&$A5)a*&~Oeob3p#CNu-{UV3)%2m` zyV-xIk$a@-+Rbv$CyDJ1o9Pu!eH)mwome(hnOtcCr58E=eJyffBC!ZfdRAR9Zfj`5 z6-@DB@TGu?k{;peTe91k@;egHCJfilEc-bMH{{00S^|z$Fl%rx+1<^B<*MT99B=z!%Ol}O6 z6J~-4#QXi(XZ7Z3ubX)v_!xE6#Lbxz>Qly^+*IpfJ~t5G?3_Sk=97505b&3M2byTd z3V1Qi9RJjb%MrI?mm3H&WB)5XPLJIOUh`7ljm0ZQoNk+pI6n|EV9F6(^86 z=%T~?09Y9}V7CT&A+-Uhk1)rE^k@OcS9L*f28qx5N>HZ}P2>9@ct=T0TyDV1G(z7k zcQveyA^A^`LA6W5lQ^M+wXc}5@2T~p#zRlitrVyaN%EUhO6XYZszYb}>Q}8Gd&y6I zR7j@kCe;j>2Ux0-sy*|(73XqnufjyKG~|OF>Rd?#Li96mWa+sXUB44CO$Tj2ZTiTdXh3bf7cOL)0w+;_yp9kTt~SaR|(*!>r$Rp_z@r&TH? z?Z7<(nU!ZIcz0ahfK-aXPJtw zq`mPAlyuH9<(9!BlnEby`K3oiY9KDu2Cjo&_*(VLN9h%RlYw8*Jn(>|_)MN{{h({s zEvk6-$)PKtU1O)*9G^m1omI7II5I{FCWV55$CG2T?+^7G0ZGfn4OrIfQ`cSV8niiL zy#=t%9lScmwfjK&KVFvNkl&q|wWssvR)!knW4QRXcYSKGb`WC5S{L!GzqWdw=!M3G>{s^zDd#NTOE(ZVPX$uopE&TA}dWRRz-^zum@w0dl zi8czP@n}oWy&ODNR1lXoc83lbUsMSB3xAE0^McMd^g4K#_mup_9o?H>z@wLE>!QNm zrX!fcKdDp@Fas@wiQ-GIj7cAyPxc+bmyfH;*1-*-i8LAUMb-Bi0jz%D^v{)q$UYH( zA19!bp@PDZE!Ty_SA7QCTWs(bn+w)fo@WBjuo3W8{*)mkF|dEJVkr?!dV0Yy>$_&} zhvbke3+~6|hM2;5UvQ)JNedHM5&Sv>R_mTPoWdj>*tJC76D!_Cbi_Q*9IbxAAmL(I zp#3W@(CK0e7C)jUmru?W8=RGWLf0!V7&vOpLF?*llp$1NJ(9|47{!PcC;t)wdnBlR z_8Ee>O#LY2P&e&6(oiQ55Oc_pSbEI~s9Q-}-FjRS8WvDl#YVH~8G`H{8F;p7F@@Hv z(+@Z&+8D+9U|+@|d$lrJftA2#%Tf17&SXu{IX)qY;)j4beG|giR`xg?t6A_&)98WUKogF z4n}XVJH|a;B7@bu15VHQr~8F)ju(rvITN>e$D!GZ_E42BckgQj1N@-EIX=@MBf%g9 zb{ShH1m>0fYUN%?2O~wFPS1E_+glUQ7%9p+InyszQz-!=i$MBZ-%#eY-QFcU5rAi` z^NIZ*yLmg>OekajD2?BOpy$WM>br&~vhvYH9YO3gs)9ZdS>{0834#R6*U#FbGi}jruojD#59d5e%m%XE9e@X@z!*nU-R=yKvMX85ol+{S;i--$Eqd4I?lu zh3U>Y#!LvXr}6bCmL{*#7aOc2n2hnYJOGayM})|Po?g4!;Gf}92b9*Dydq1wET;P4 z+?#sU0Qh7Q-`RmO^~c;-j1+iqw0_DW_#z%wc1RC}KL}W#h$jRhuQ;Ut0Oz2BUk;iz zhhwXOpPNL6`)T6434Y+3C9oDb(Ja<{195(lLx9s^Gw#OHfTK}TyoJr)9m`!mC}R~B zv-i`*ASw=BL3_Sop^FzUYt2T%uG$)vcjEs8OlWG&_M|onkh)!u&h>oY5WjBErDcct zOmD^mPqP`$LDb}##_?+3-K)JFx!RcW+5Y^BWdZrLGc|~4@{+APAblEbHKs#YrQdev zi=t!2f94bTLi@1z`O{HE=$pUMLHHgrGQtWpU$ zC?gQqLwx$V?52z-QERR5mfxH{sUv;7S=UW7A}}QyvDj&xZB8qEbQ7O;DuUqED;YdB zM_2QjBojy*crLH}`W#mCUNH&@>XQkpiNI1`i$b*K2FEE98>CTTsA7|<3TU+|4uX*A z!n1#&F4%{AWD**oYkCQ)gLfQ#mG@nW4oz`kHoG#;HsRfhzY`+DUA-=%f8FZ&?;|7h zrAEiRu7vYBXw%Zh?E>^`Y~q46g>NQfDTgNd6kV8 zgQ;DoN%m4vYC|;-?7Dw4%ltL;CGC{rWd0Mn%rv1+AS63!f{#;|+CQ3dLz-S^@R)kt zbwNYuFC;H)%?bWAM9!JmB?S(TKE?yxxW1tckAHP;pOBK|B;xOT0U%papfb{J7mePM zz>|!zkCDX71j-2;SIM?9V?dQ8-|2NO^D~UIGTLod*_RnVtOqaGj3Xdm@wQ_&@ZIGr zR~n|rV*3diKgTw7CkxL+k83>R$QA&!I;-B)ZV_oTFB z8h@|!^t~^Rc@ylOM35?1+Zf z`96|_;_198kH5r(;z$0aw@0)dTaFKWAw?Y0(Qi#JU9Q(>$)qm?gA!gCKF3=M`?U(i z4N7;N-tElrNp;VO6PDqx2@pwj6Pm3vX8u)=r^3;|1~V7cMWOR?Jneu?N45E(TI2N-c~^ z4Hx>@*C$qd3{s8v4w9$`lC;z@#7apgZ{)>#49=lhjGVjizm}3_)Z&kS&l{cdb{U1z zK4P721)CJlhQ56<%`w_hzrcNo?P?dWP(Utkw4&>!3!Bs4gIa&==%CjAMRu5xP%G0WYZE&*Th;Zls1si+as$>nA zm$mS>&@Cn6LpM-GSoY?ELSV=_cMJFMVq_VZS$$y_vuM|n-saj(=wbPaKVoTYY-iHP zIC*xeKn0mCg%Wfo@>E*F#d&nz^CZA>?PiYOcEHIsB=ps~;nxC&)9G z`VI|Q1F;{uSJ+#!8}6!D+I=Z})`slNbuzQO3kx!B5)=t%9*d|?L*&<9wWveWr^ZgE ze=VWi4)XzWc>I3H>6@o}8k$%)WQMGqZuu*}O~H*E1J`p2;z-K4(nB6H5&{XJQyExG z9`q_Qr-95Vdfe(q6Bo13X3jSbIj~J29Lag+;WquRg6>=#k`gitPJpFSch$Hy8P)i}63yL@ zgN6vElU5l(XpZ}L<wAf+*V70y>0!iAE{U@qU{tOHV|wpzVLF(p{N( zUi30NUT?Eus9W*So8qOdrA1gNQY!Qv8HrY=GPPels`b$vQA~jWGSoSV{RtB9E% z$DjQj#5u9AB5?LF>@~BTMQ-2d?tglUZ!oPN_P`^as_0Wdy!8q!_C)TZWv^9B4DknR zl;C03g2c!*L!<*UbTP7L)U}X7{VY+$aFn7kut1g{R2N?Y=!&0ER0 zPccUo_wnw$_gSae&GD@(ZSbufFSrwSOH((9hU~X@zSl18^LR8-yYNiQTPv0@yE(n2^n0LV0gINgQtw`>#Qj5&ftsz(Z-`*W-&gK8H5FF{1=SxY; z37P(qdy&h53d-`gHArVoj(2a=lP!r0`doRwio8$^+-4nfVy9d=#LQ8G{5HGs{x!5Y zD=^+%!8ruwRHw}HIDt(M{LJt%N>jRD&}h-h{_C`v`3k^;afoYoFb)w!p$4hxG6$Kh z%kpB^uPq|wq+ohDVMA)H)+n?MSA8@>soARL+H1D%o&VCj0~|n>11-2EJP}RZ;ojZV zEUoKEzhM&n@p?moWvtROe384H&y#w#xSS6fL$5-sE46uHw!LZlX5{IcJzmr(jM0sV zkzO8uZ{7o6f0(}Lm_s|uGK0$ajD2*x%DhrX*6&H_n%PLc$w8yX6BvlQYM{9wO7` z#k=XoLd#X(zp`%XkvniHbT&2V7u1i-9OrCo@P~b3sBFk2(V!b%muq{%zi_@Uqo;jf z1zHUZT!@O;O!cNLR%nSavhgd?+c;{G%Yj#vkAc{~Pa)gfm2L^_*NYo%)2iMQM(1#BTQObIZqNk6$g4S1f zP~ZH05Zz^>z@_RADNtic{slt2KhchZ8aePdKHnWFur2JK>M{kx&rV$(UW;x>uUa1H z3T_clTz_hZg%X1n&?50ZI>arGA!)j?6o%G=3m9ZaLaJ72aq5!%X^ey{j>jOuT|=2{ zE<0&j0QbqAILD4cyF>ubqlS5RLqv4r{eYk20H64ILESb)`U|YYnH|)i=`iJv(L|X3 zNEou-y~56#&qtOKy7SqvAFct{fM04`+fPhZr(sZ=US_W_U6QCBK9c0>1roJ9X0tgV z#DseVvccFk_xQz$Ej*dX532hWS!@DCkspncOP&-5YSJf&Fxmprl^zRt@H93TjJH%{ zKwcI2{cq+s4&@ECDdPN$KEpz%=7*3&x@G84S{F{H!pCGe8|SP)LifWVSp+>yMVnOB z9N`}<5(7Tp(5bW4JE7Z$DY4=c;?y4uI7R*RZ?Cr&Te+Fb)@#O<;}V89a{+Kw>Z-oG z+bk~kYgy>}&AC66TIE3{QUwQ8j0S>l6yAJm?=)*c5N}OTSn1EY z>*IL`uYVP*LBa`nB|l!q5<6nAvR6;)fJE1WABPEG?-t4vFdjYi4`MAAcL1NIcYEysWFg?n+#*15U5VcEWrEbJf1Z!{EfbxV{exUcYS3=DFUh0fy^Ui+g@B7< z9Zx&iyPx#rtmhYWVR^Xq&Tzae)X#@X5x!c-e#aZx(htAF?3=!;%=+O1qms7;L$Ko( zrI~{))E7&n(&~nc6C$S*M$*=b{3~RRc`;WzsRJ2Z&k(sV0b*@_=Ai^mJ;Q!bMxm#R zDCqg({9qFt5UQl_C$I@OQnB+xg|6wPLTA_zfKV%;ft#^9OIaXct$6nU94R1cF`R}G zWY(+PK!8Y#AAGWDhfQ|KOf|YYo<|~=C&|_QnVip)4VNeH2S`|W9sx_>0(|y;s6UIm&OwELa zpxx_9y5VJs9Bqe((5Pm-?S4(YQpsV!iW8yeOz`efCq_PCr~?_ZW2+&O z^9Uv<j#5(CrB_98pkWS3E_>cNmT@ueOIKl1 zK$UMOeW0jZ2@by9%H>EV;%8R6%&K4>efn9VP?u=w8-KEGIb{T%nuh4#E!FrLEAz(| zNawdpgxXF}#I6kdVEntvbE~@78T0v5TVwrU$U32H%huqk9Mg{tS=hLmN80ZVYJIZ^ z>E-RX*d{jc^C6k5@3}|9Eaj=@*cw=i>wZ}prtZ?@Au+3$u9`VfGv%94p8)uKDLK(| zEZD1`RQaoYRqV*wo($kh zBBmzn<8clS%3+R1Qy7lmx4wE@x-^~0_dE)K3>edU7GCD}1ALX%RL+8GSCdZ=nHY=E+8 zo7oE1H?)1ZvZCr94Nr|6lg*aycr@>!8CF1gH@yr>uMdzZ58jFldn%Q3`Z^%QHn2qr z&_B|*E2TC5ixBiY-vJrFzy@Cx z7&Ektga^IHV->zGH*y*oRU7k6#HQ0Gxd?R;%TV=ZgCG}iBwFW1$>4-)M;sw*j?Q(f zs*qTx5oL4PRJe%5am`eFWPnD6a2h|!7v*HRp>2K`JV#Kh}Wc0AAH_JhRt&a@3tMt$%uFxL0a?1#udi)b;avTflo1Rrk{^Dgi z5D<@u-(-}odydgva~pwwmG(G+aHY6AZz2*$`!|5cldZOd7brTFMcv(sBt(81>~fF8 z<6dD4Q2iAtK4-0X|El>qv@Gu?irDRbxo zpaJ-wIC)uGO02{ijC{&X(iao>$x46)P;)@JpxT^?Gmxvg%J|l%s|kf8b}c+KuRXb6 z#lG+i%9_U6{YC90upI_Uu($gwI*AsfX1<_X@OV=?)OE5}-0Zaq4Y0+97`;9t*29jd zs3`S0!u$-)^m*46MnTk~?;-;-NI%K0FaJJ=`6{C_b?U0yLDGd*kqGgZT56j}Qo5=Z zi~kZM$#>Ze!_x@r7Z_Q{=!59%30kA-qAP5H&~T$_NpZ|RkjM5BrSXkKhPJ;N9gav0 zb*)Ynu_&mz+3t_RA?~&nBCO7%dWN>aa5v=?p{!qw_FFso`Ay{s?t$f-0eh{B-|*5J z({#)_Q;Xf+8Sa#2$O$f&2E!#R7DK(w-m5$2!fW{1jF9gPaji%%?aYSRfN%}Rz?!oj z+2L>vI!h>7iuADrTAW`pF>vk^b=TdFCe~b!%W3HKaNS<5iKp>fC*BiLgJ3XiLgTRr zn6oILs6McsJ4*>%B8dt%k}}8Z#D(?IP;aFS+l!0az1q{m;sKPO#OyPp>L`fjouE^R zohjFKpFWmP_+s@LFTP#4K{SN;zJ)4&Dx8O|82c<6u^Q`W?PRu|nSWpB5RHKLHJ#vd z&43<{yJ{IJq^ncoKvGy~WNEWc!AxLi<;3s)E) zts(H)o3+Y6Zb_>;*T9$h3Ze`J-}&CF-}4)aRkksE5Om)(3o_7&5w78gGLWp##x<~1 zsqzt^G*>9+EyWN;1GEcw%@mP7gR3#z-|u>eSI9`}t;hVVG&Z=PuGT~dx+^BPCH5F3d)<0= zk^t?~B0u6G<4_EOWBc@H+!viv9WX4B9_{w{{>kc~DkII@iYDB)uMq~Pyp$Ja0GKJA zFMi9UcpmpbzG^JNCd}K(#=2DCs(}d$RpD+P6W|w(hh^4GEBq@vhWZam;CHoYF7;70c0wH& zR#`W--S4?W!B>`WZxDjoa5VuIXza#8Y>jp4@$}Oc`#L|VFZapBm0WVob^{TFX}1ch ztjuy_bfZMocZ8q=E)24Y8<*at4Ak|}5=;z=igHL+1*%AInKM=)g;y&XpgvMTgaSy$ z*==#)=WZyC%xUcX+GuENvz^RIgTNExkTAgE$s6!62yZ9SrM(f@*6!5|pEeXjF}utE zw303RCV8&MqVR_v~$ z^lq_wwn)j+?Q~8Y+Z#<*R$f-^hEiTm54SY1A6Bo%vMPq>?k2QiP|O=_3#ez-K9M+u zgF0Ajl9I!KSaYpq3|{b%S*a{EuvWk=Tyb8kxEtiOCD9x^#{jWjH=~uDkZK)=oO)1u z2zIGpW+01|LE?D8AnL6;ebo}o%ui(2;2aS;q<|Nc12Yh~7dAXlvjQUoVwAyT6VQCP z)Ud5;P){xekQ+3LM>y*X=LuzpUwmi$*`Hy?ag42(VYpdyRzjAeABLti-Ge)_|Jknv z`q&k+=_03F7X*TSq)!WyXWKnFE--Kh(z8AJGP?icLb2hw^+wsT^Xe8}7rIK%M2oPM zcm<-eYeOIBT;Q*0x6;+%Oj3{w$)76U^(CDStIcIng})aE&;oN{ZL@ToYe6N8s4xPm zZanV7!PrNv@RA_cgmk3xx2Y-%@x5}pp$l8m99yI#wS|(|h>!hazhoNT zfHUSy^5jP+dVTpzn(k{SX7O%An>O`y^T-_w>gb(iuKvx+Kwmp3c}!JWS*6)&>&A;d zD`dUyYRnSeM0$-`d~?NU`T$8xsb{(Ex-R8{bQTQSG{DO>vbQNoMSC!TKSdLJ@CRJ& z-GRZ0LXOgr!HG739`lXD%e~ZHZfwN~It_*yF)F==IZWDt%2#=6j&z9*RO&R9&Id%j zP>XfDiRQ#lD31+_Gd%&XcVsIIJHVfw2kcUHQ!VMiRd7zZ$%$r8LO;0w_Nb-%RaG&y zY55V-4YG!N6+at(R!)C?!oT(jroCo)i;Y?(j(0*Z=dm%QFy~<~qclLjH+|5S4JytA zcBqec6``RDfroHs$ zpPllWN*x(fr(3sYzv^ugRkLXm0{#&cUn?efX+K84-F!C3K0;!ce)N|wMy``}B5X|U z=cQPn2;5LmrV5x(`ag!Fn4(pVa?ggA7=q1iCwSTM$2)E{-V0^w0KZ@lA)uf1ztVy| zkt4$gf_}c;Q~Ys#P;2$Q36yX@M|=?4bVy)jGelRz5AGZRMj0{DyrR>Rx8fk3udewm z-TKw!-2Ot2HU01vP0sM4{%sg<4^{X{;r5k+i0B}FF3YLVirDr!XV#S>d)52Y1s{;F z2EPmtGutLcaG|tK6#yyBcIaDe4<5IsA61xcgwC3pJ+{lOtMFp9eFZ`JpJVjByRGqd zC?hpq34oTnv0k@;E)RN3{1qmCv^C95`kYwwuxDv;II>gg8WofhKcqEd0??Dz9@8d! zLLAENJ)kK52zLh1eeXD`_cK!X(-GXs6;RF+d=1p3Jnqc21{r7g&ZFto zjl;YPbiWyGR1b9H48?G(aBQ1^MHk*0Ixc6IGMRLNz8ajerL6FY(qu2;%|&pkh~tq|?9en+1Z>d9wdE;^L& z^qWU#H8LH=@)lc1Bc{XxI&uMlPsTGk~>P^Cwe9w3M{O`*unrToM;ADPTlE# zsOz|?++uS$;x@5E+#!)XWu8HRe`z*#cM}iOW8DvMSY}(i0&kzaO+L8_w~NbnMY4E_ zlsw?~JOd3ZDtgZ9F|AMXNxf!xq+F8iXy zT85@chi#@+$u6j{U$Jfda1pC66mhvn91)Nd3ftHa`K!G@G)Luq{y{c&xVi>`dPiqz zrblNv&GIYN^zpYIB5zZtxA*Rc9J~&s+cuvaF9C^7UnGH-hz_d7LfV?=xmC{}zx<$X zWzt%U!A72`Whv5Jd!kd-EJBqNXo+fdYWATHDHM!Q5~)xvrQ|V;XTq*>4|=)r{ztBO+hUYK6C=!}TJAQ>a zj@)2dz@%b?(T4aRVqmoudBS3I!Q=)qKE9s|6s}Abx}D0l8_UmrXTw`WDOjQzeU}Y` zSAljUK;`H8Hr9ZN9B95e3@*b|4udf2duP-KZBVXk3yC{975}y8M*IEXFj8{kqdE(G01s3wL+~`R8yR`(~@Q(qRFt>G1~I7AGeNlQxfx*o}OAHb-jK9}7&Q zm+k?b=~Zw`r~hG2RWc408d~3a)=c(1+5R@xciE@wk@b4sa(72Du=u}B0e>lzYA$`; zl$43A9!^u=zND8Oce{PTKa)R)kM?ZVc-}-9vh{QP{(kRc?Cofr+Q!wj!&DJE&Z@Pr z00{pMTx#-!LZsL28crvLahPghY<(a!(QB(r-nMs?5MradY%MuVqC_8(H<`Uq2IDEp z#hNL;M7YdRBK;3IqGu|)zCwo9tdTzF3>uSiKi5{&z12%bHA7Q^s(|5gmI*HB3}cFW zU(T7aL=y7LJf%qQU+apU`qUsoGGSYGhqZQy$HQ(>{vkLt*h)Qtt8>BwpOO~cB>3b} zcbEa;T+F098CG~iVU9Rf9rg=}d_CxZ(IhltWD*QY3sPy|nmRONV*&K2{4$W(^a-XV z5Vi)}@+>#!xV7Zjj)1PeJ0riX7Jv=5sroKz^moHRc;twYYzl_K-mij|$4O)DS**L; zJjZoeYVTQn6dw#*%*hC$Id!OvAbp>{)qBiT_-{5oes6Nlnpp;t(7dujx~r7kVW@i+ zYiEB}E_;Q+JO1ec>hu3Ep$k2ufD^h$>7>_1buScfLK>i9!tr3ZrQu_w37!rRVRx5! z5Q;{kLm3WT-)dg5ngeP**Kujd-R6Yro#K%ctxApScRm>lXc^57{fx2ThZb?6$wocX zNb=VCKwL<04yEYH`9!R?H7)hiqZfY0uU0a&v;O#%!kpbEhwipZ=IEgWO=bbl9EMil z$G`p_#Niw+2VHRW`CV6^#U0VsOtliXo9gS_>CaN7lJKcZv2w+NCm(hyWu)uz_LO_PjE4%4(=$T<+ zhx!)G`#pvth@I(oFa(+YaTBIBV%{NoxV@Y522QX2>2A`HQBgP;LG1i10KM$^lxoJ?G?E*!yftP{Muu-`bGz&yaI);74F+cb zU~s13U|BZ1&F9k^1NEcsDiEVx68WeJ+?eLvOfe8$0PA>li>jf8iHc9VjhX?l_XKjN;bKux69HC?fJxm5=W(FF7fCC?{774ac zt@sTZV%e^4Snw^?!{oXI3og;DGYq!+3#QE`qnkgUHgQT_1P7thI*bi?-bW!it;?iN z7~2d~zy;V|L<{4WH3OHw>)^=?@f>ojIay|UYJM)P7?F3{o2T7~zo$0{H;t;V<0MV7A9p}uEu`qjjLz>Rc^J{x-6_0n9$ipb^vgYZhjaS1!4^teehMud zd?cz`ep&bUNgwKo+GkICB`&gcE{qN>0UJM#!$u|j+&G^|&4z8J4&IR*bxQs#Kh3|u zr9TO8oZDNUp}kn|bw897ljk3b7-e-5d*EUuyiBlU3>ijLhB;F_hx&Xb&pwr1!m)Jt z>Z!12zFl&?DX!+%3@eL%ll*9Oft$Hrl_T?l(zj4eHN}r1RDwhb1}I>ZL$3UTKf!Da zNwS4r!`E=5QmWVcdD(}0b329Fkgg0Tk7#HH@X4=xF39Hl4OgE1z@N#-CnGqDH4L$1 z(`yikwUL6#)7V#yxiUI+F;1qOMG8N9r( ztlpUEKPL*n2NMudgIoo#8ek^~vc7nFMMd!=F&wQ3UFI(4Bq*49MMjepEcRO}z)fx- zbAMf3?9#E2sBoiyHK;jf^I_;Dh-J}StrANxY^Jh(n_c6-<8B?RtXEq9JX6WOF063n zGju!+Ua~p<@VfK3~Cb{I^mDR-+pde!!ynxC++zeUZ-&pMWb*`PMrg^sC?xEmRIs zle(tN&w$X2u#nTN!nkDs@F;3~ULhzecdNmd=qzg6iYhQYp5O_DT+R9pyqvGlqu)y3 zo!@3ds(LH?4}j2mWbHmbcChfc+LL261N`wcO@@a$pge8S16R3tU=->t*zL|6?_7ks zy}T|Js|imG%s+e!F;o~FE{n|=SPge#AEJ3XAX%9ZF#~sL603}JXCq6p`{?e9!VSoT z8IWqAo+#d5)Cq5_7yXU)A;nvxU!1`0&JG;Ceme(XZ+?5lj1kJ1{Hz}Bde2#*`AWJG z+9V_ap%Ej~56Vl|-QUvOZ*|8f_EpesckdCt)w3|ZqV_#$#Y)>8My{fYvoA*91;i|q z0jA7M9KPz#B(6G@;eRFcLa2fKlCRZd9;|<4C)*_#O8Z$jOdF(xPo82~0%gA%h)o?W z8Xz<(Ku6sQws67diRjKGfzb!KiJjQCgW2NnqviefagbsjO+X(y+vk8wk#qFGTTAiX ztEh=z2hHlvJ#AslaVsxe4b}iaZ{KN{igmAA;x_ma`Ss%Ox4}J46kD zGY^s_w8xadKDIieZh8@$zVvJWkKr9qBO;YR!x0%yxHsYQQXX!QcB-=oU#yyDuCROg zqwKnLD7W|c#5wObQpDd%L<2M?JVY-9>`ORW1Mrmt&!BhdY$x8ROS>OH)x{evkNqo+ z`_HuQ<}L3}*pgT!Qoa7ZuN5{+(Z;vXJ~a>LeWIJxdK49{rN{-3f=>B}B`QT~Yxc*A z!{xg2JQ`JCrztYMw4fR^BNb8&xok^r*83IUMTi%YzL*h~#>UZznX?;Hpl-8J$ISQh zDro>a^IBFkCot8!cwK_;HLJAq;Nx>%r)Gn+!aB&;LA@FwPEw)Dkok82$%r4?A)=m# z;?}x!u*WRiY4H72hB#61f3|vv!{pFR_&({JKN$5T?ZXs*uE}z2j(iv*I9IrF2Y+7c zog1CuPnHFHJP%W}(j&*L&Zk+$H=F;QZ?5NaU>eNU^N>uc6(;WciJ8D}M^qJMrG^5a z#doEM_xlx!JzNPD?x$%t zJ8F;m!kSib|M;L8s)to}cBJ-qRj;p-QTctki-hQ?H;X`a+ZxrT!lZXRW z0DvF^ok-nZZ3^oN!)tiRyQ~Y#lV0!Dv^Bf41U-SvAa;d!!Kr($I9k(T>M3{=C`#zS zq)*pM!xeBSdV&)JK^3)v^|Ajn?qXZ29X&fNuN!p4Uk}`d)M|^lI30;xN_w)RPwoS=u%`Bdu^KqSwJA#^+m)7`)fk}dPkI>5(q=$hIzb_-L z;;8|{@7(lgf?I&i#5br!GkR?w|6z9;ABdAkfHTn$Fb7iIiOCzKmY-fyJk@4|BIj$ z9*TM=K$Mp*xIv^gx?~)Af36z`MF~X;2xu9jjr!qRiGWz^xwGcovvB>$y}yc0#o~sf zlON+@iIMdQX-(`dX3^&_$h|^47*z01mA$Y}=0E{T#UI+3*F6}1NRv0K8%&Fy9Rgt3JLxhB$*m6{}LcpCZ`nZc2 z6+?|EB8fbbwnqnN=7C9+WKb%YM1gBw;*}Su2a_mt`Xu`d8)8`K*F8A!K6d4y?tcdP zhZFSV%H|eMhIz&m&u}JIab`@^VfwjZX|h&FDBQ!Z3^OE-H6N|1xCBv*@<5GX5+w$R zp_f?fWR1@RmDfjkeq4M+AeIuoHa%SNOI7r2W4FAM{b+tBL4vsOuu+`%!5aVX&FIR+ zj5#gg<)(Adtn^ooK)S_>`hKIkhc_ej>O-Kk}_?dU^yELGsNRM{Q zIjj{&qziFIl&|K|1~AL|K>!V*Y?0^m*@~U;ap<9#kZZ=Ami?d=wE1ZXZBSDjzcl}1 zBRU6@C}GY*k1a}{C-V;_?X>zm7epIjx5|Z~AZz4n1o`F!0y39RQg@eakuloO2jT{D zU!B<|-N{>wl+HhiPvHv3h4snyrIyMzTda;qO!*hS zU!T8tiUc5}cSl%KuQ|ka300v=^z3t{AW)ud$oU4V{u7e=_Ye;0>{9~N)b50}+a{BA^A?i7%| zf3-EveOnr#$|~VJ8B0U%dW<@o|Ccd?SwgDYahZHy{BqNcgebFtJAs#n6xoGTUtsYQM?kBT5ztVdl zQ$-mMwzUQ@FRpi#9wxHnRIEcL+B*wUIytZZ^xKwJ)Dv^EI(5a0gVXYdmUVN^2p$W5 zG=)Q%)N$;~2N)hgIM2t%({=r8>rgA1hA#C4l z3#8=_SGCg3nZ~vEjZdwwwx8Zg6}|b#4+1&e`E8-1@6tc=J7$4*yCPPB_%4bI}3>$5WDl_|o0Ngzw8y2$OtwmQ}h4I4={HrO#Ukx`~ z)f{K6b>hT9X`myQbJ)LA=@yD~>y3f0Rb1evGyOrEWZI z=^^@621DIb@m_v9;e_ZqkArO}(4RbNhIM zMK%2-0{xfs)AlAJ=9fU5Nwas&G!y&E-f3T7?(n+u{;hEgi^vIT*$++TlMfKhd;WdA z!%`2xvHeXmKcb;<1@7hWp9Q2I5+A0)0ue%;e;CrBif!O#fdaJLYQ%)qY>#zRnPa{I zsar)D5M^~^s1x`C{Ixw5WlQ7}*r3*A$6I!Y{y#jOV_+Qb+qPq)jcwajV<(Mm+ji18 zjcs#dt8voUR%6?IxBWf;_gnI9c4z0Fnd>;u>7J^sX*mJ)#_vfo@y znH`_Syvw{Cy~{8*U6)iNiHF7_w3wes6irR^$H^E5Gj8-1OmVE?CUT03ca0ZjaU!WV zpV^C^`EgEPR#bl{+gx&5WlZx@5-WZ%z$PhcMNLi`v}Fe@mypnJmZ+Z?3ZBvi(Q z;2=Rb|GuOx@VTfQs5BsA%9NazenxHlNQP}!ivSu8ubFOwCZr)qx5FheQ;U9rG&WP! z`D%8X!pdBf55cu2C#>76l2CUUO3!s!6;j)Y$l-cT85=m+{zfaky}d4&Hvw-vNzzC} z92P<hQ0HJ6jg43&9{Uv51HVNm!b}S;bN`9vT zXPL<*|8G=bIzJyg3C)zZ?wTg4+{C*CIVS^Ox=K>%p_`xm zRA^s}HYsQU^jst1Bp@KNcnmjiQ9d*l(LJ5QI*W8O9m<=m%K+1Bb>X7?%$NA^C8I}2 zG$|rs&yO=t{5zTE+K}x)QZ+R57%DTHjw)yeWDQ^-RoFGpVjUU{(qf zIRo3k=ag1O*y^*1w%K@|?4GuI1u2X7sKleC*IDm}LNkA=z%Re{0#Mk+6+QVKIkixn zxpkCDm0(>zX}_KJpv<+hg$bBZLUp4kMO8D(%R zQM?POU!#c9abhSQP!+fc(JhYS6ivoLFcxx)|93iX4mbS0H$!hTo5ujXwtfU^@85zI z;Mq7l4o>7S*@Oyo2R4sh+6Zf@!$a zX9KX3KXiHDkjfMrc>j!fQek-(oZl%^1DM2CpuG|hHC+Y*5x!Q*Iqs3U`N}zO-TL`J zYKQ0iAC&HOeqI;Uo<;`OywmC<*_-YpJx3&d*N49ZpVAu4U*v|QYyZjikfjR}z))ZG z$EhpVCnwgbtms>%c#BCw9>gYXx+qo!bCXUP4ofR1kmw65G6%nc80;8YRTr0F{A>q% zLIdh~>bwy^yr-QY0M#tqv$UvAXB6?qxkY#_3I!PJ%mUQIJj;tC_L(LUs^4}lxr-0U z)i$L*WTirG{$l$*E~&Ba$%^qtVPZI%?)C8m=|ZG@a2Ti?&K;9sR2?~R?Wa0`sROJ=q>#vM}Zy6h{?eH$i! zx1w#94l%C9A4TE1dgx<<-b&^5FoI9fq(-nT!3FxcLEOD5p(kL-+HVQ7qJ^Er5{`7K2P}rXB0J`E+dxP+IfH^66>w+u^lI*sc zvsBqa>w$`KQL{tkHB~3GqV_~lE1;yfW`Qm(F3q{i#7CQrh9>wTw-M!@kU>W4d+Wmb zRF!yZQKqaDw1c*f43D>lmk?!Ya3{hrs0IalmIERZSc)d%`FAr5$+^b--e-hnoYms> z=@CPsud>XIW1R?ce!r(6*U?+@b*m9=z1Bk)`&wXJUnAD1OJbu~8a=I1#7Yq+39@V0u?98VM+gDqNP$+>$(K&N40x!6XKOn;#sa7|DhxgF1prjqI+ zgVtIaQzPb_9@8&EN*fN844CaXYLAZrX|$_bYa*pT@D(*WiKzg0;?qfZ;|I2n;!TT2Sa{xjA^KFHOCQ- zle3*A?!diu2v~f)GGM-Cd~yDFt8!O%Ms^VZQ8=9U%v+O_mVw;qDtUyP*wxGE^PQJ% zrfn$1vWqanFT(?ccKI~`%HQ*lanKNDop+zNFf7lGVSaa_-WKayMs~(4@lPO__GC|71v6KVqdVt~ zxl~)`nU%)TH2|fVIvq&aiZrdN{?{OqLk9~yB7yf8>J!f3j8b0{o(55%g-1R}HaEVE zPa?s)ne5&NuhH!HLyf|$zybGfq!LHxs-0gQ+z*{d%!C7e&f^`MrH;;Y#!SkL(?yu^ z9_FOP^w$BR!5I_t5b|N(=*Ku=cis`lI2Nj-F1B@(9S2WGS~u+?KRn4*blnxzg=r^f z^OIS0otbSIuHgbm2$ko`m(^D0Mr$Xd4SQ&3)ZajAl*qMR>F8BpL5Ems26k@d+HoGG zmKR#(SIIlilD`*0(y9BhGh}=EU~t50z1+Q{*LW8FusU!Yyi`4J6Nue#_M{wMY2SH- zEWh!|c(xu1^x<2=o!^xMF10>bJK88y_Z(wBAm?TLm=;~6tfD5?V{i*hn_|(Nf5`BC zQ;%Jce{1UxJb|DUvo=XgK^l&&+}@L1@keO0+r_JC48Ej}m7I7ETAxfLYbA$Xl7q_8jC}{JQsL=+N9P^xn4Z2oc zUZD)ci5#Tr(4l@W-jY(}1dbaBof>PF>6Zu3D-mYP>e(~9I(6kIp2}rs(JV;KWG|xu z!eMI|b9e&&kixcd(_}^IODmZE*AJOeXuTAaCn-I7EjDH2ZhkvK9^i?M?e^%HHkb9Bp@D zs;~Y|pbxZe;7w4^eO#xcJ-5=HG!Po!2aj8R0G1>KU&=zkV8)hRzhl=HeP;J${Q1?k z_k;@ca0J95rGb=lnDCDfwRjMYBqc{o@0o3L1$WONtX`hby7Uc;O5b|$Y$55s!wZtUa6G5ELl8u~%k zId>#7@Gsj5@3n|D+_|pvBT)-Rqrr!aF$IjZ0CL^j@$blAmg6(Rz6gP*xyjptlWlGp z5?dscG<|DiHeV--s$M#GtV!Ip083KP=j@mLs3X9)+silQG@75}YqWtwq_5^)z}l780!$A6iuFv4q;mL7?WBrywurSLt!CNVzLNU9PjyU= z%*6G}aXY8xVhs7y3weP!77t{ zVN!GLl9ow0V7wxWK7ITF`EyZTPBKywE4^VuxJqc{v%frD+lKb5t?AWLbgyc3Z=S05 z(6-x)sjCkbA5ohY6ISP{!If2FZ~u;AttQy&@9nv8^kGGCT|Et(V0@Q{)dogvXULe8MMHB@aHt2)3r^oL>^o z_RNFl63&gsW|?@PE{o2Zm}4A4x(3*EKDDvP|)P+oaCR(c1pSz#BT$}2NvRDl?7JCcnq30a| zPamdk&p!{0ysnWyd!wLdqLxe^2Tir+poRgK0I(l~iJMIXkJbGatLjdd?Y6TW?X27Z zM+hl4C7Dcg>01m-DGoKYdp6-Sf1TZtUN-{lfwXa#QZ+E9QY9w@_?qv6#5@&*zcu zIfvd{jF56I);O%nOl;2Xi-WY~ zk@_Cvbd5qWv3t=$qZ0C4Jo@}2E-=d-hGL!Vq&+ofX?Mbl4So*OI2nhJ2Yhc}K9l`h zW{-sXoM)*o7}Xr;mB#U-^x|3jTV|iiC&*?G)Xir0+vN=cL>{v|hQVBUJN??8$4EU-1pQ7Q(1;DSgP7*WMyZ>@rzyEPu%w(-U-C5<7 zAXN-V+C^tImiL(4DFb*IRvys9kH}ghs^ve$d>wJ__v3+Wq8!kHq?U00)af~)za;lz z1|p+3QmoH*GK4oA*zbcH3p(ZX;`b>B_WKM?Lmq*?;++=)xlUuX7Soui2|k(W(+MVC zL7f3zLN;{B8?EydP=D8SiyuXs84O;dO6&Wm()r@WX##@;xbkHqp`l4$d2D$fFN^a5 z(qa)!J{^EV^nqn5FXB-r=4l)n)-Jg0B|T~u{@n&fUvjxdPE=DbifhT1Fo))>5^r>V z-E-8r>U@HJwm{OabD=Wpn@zwn;4o}sb>bjfRd9D-IT`!8jLq`*e~-**!!SVkA@6>4 zm{3)_03CrJ0QDTV6HNr$CL_|&^vQj^G;7iaPQ$&BU0aqx*M3JvJ%fGmC$-E{rbr9R zc)6+s#PLagU^(WWw8G{(@mX2B`~&lg z4{WIalq}FzQVV;lc8@?*8d2RU)F4loj)Dz81?3_sRfmY18DOeuO`c@9#E{adQ{xW! z>xJIoIiq`k!&vHV_wMW5A-g~aZUUCA+_}?LY*;#3qMoGx?m+xLaE^XCr^npFWmj|L zD{N_YSfb9x{>^*P5K6tGvzi+{Z`HF3`Wx(f`uKe*L04(W=~Pn4$H0#<<=spA%cU1Z zMmt}Nl>v1^r@v$8G#dF-;MjSs5XGbFg(%oHz5^O_R(k#9DnKpl#j#QZ{<7$|3iNQ% z{azuBHOzt`vQpUb3K!*O<|c$<0(8WsvJ8Koq67X#zLJ;$6-!qbdHQBIowz)$Tvr05 zR_sWMRqX5-avt#YPgPQSRtU>88u=N%gSo0UwKpm+8dccqwV+DmK5E zY*-ZTW=ev(acG$!MCuusW0tz=AdAO}UCl|Le+Nvs7l?8tT9n=-Je0>6Ka|U5Knbi} zb)sPiu|}LKVJ)bP+e!!7$WNq+s(xFEgaq2a+4*B=>k3Y|TnRP~!&Q1(mG+>w&^)OT zSaW4=^G1?*rz*znT!?%JPfXnqpekxK@b@bJ$8@;`|JeluYL=9|vU4`6nhaAlN|!_B zuH`tyzWB(vR2Z)hm0fkAxiOg}#TfX*biwAa+5;7K)b|1H+*$2i+7&RebdLRNz}@44Y5_xN#aIPkCgr!mZE1qTr>5nJ7{yNjxK{31AAbQsQH-I_ zcPsS9x%>Rr`dSA;P3Yf@U3^90FI3HI@?)-XHkglnh2@WZ{jKLo%oYhX7PAuZjlW7t z?WOq*9K4iU^adk)NXZvbo);@)Wz{_$J*QvPn&-Tu{Z`!dDol)spUV@X2q|Yr6beiD z7wyT7$}o`NA+|BP%we>)v>y=)UA>AIk9UoiEVnL^7AER~Oul}8J{&B=4lELe^9w57 zCdTg66c7jk!?X$_*XlA^cMWGwGmLcmAOL++d7OV<5kJ}{5=HK8HlZJWK(4D`t>qv7 zkL&i1sB){rw^6jD()idjW(!BZ5aD7k;v|Smcx`BTX{nzCrh-XT&qC;hyneAIR=yrq>v^qLDG_3P7sv>gM+h!p3O9tJKE+*DrEf0;o9bE%)UJk zbLpO*L}KssTK27>RGp^>h&i)}zl}NUvhVXadqX%BZ)0QcZHfpofoEWe_}!m;QMr=t z{s48D01PlODk^{tt1@N89z8K;rFr!e&)53|0pWSRBb*AfimX%+dVUtel=$P#HQU8r zGi7L^G?L3+0AS$e0NMa9>t9(PhdK)wTYiZ)iz#9?^=+0*AD$?M`^;4MdB@zxJrXNq zm0F&D7xfN9=0K8SVvRqkrq#Q@NN;R2K`n!a2Ui&{(W*EBaH)*fmlwWNkYk8pMSh?V z?PyDGkC}x?Nz%B+vEjc>Z`qcF&Qmq;g75gISD>$U1O&Tfk)_`vFUrJ+S4nm}nAzj{ zO1Jf;(gXa*u!g0_lo+1_)Y8Y-e&%fRQ@s@0~lcv!J)bqez2zn!KYR;wWErzh_b=Ce%7kM=pQUD>iP&>vi{si7=(< zG0%E7nUWB(X_19Znr_=x$uGTBHo^xiO-j0~P^PIg*nvrN7~64_+%>OJrs^XHTxat)EQuY=B%kF@w5>Q-je_ zxE?(aiU!0U4|aV9WBSW85@c;)5|*)_N42s8k~x=?X4xFmcVLD|tBSMzG{#iuZP~UG zc@SP1S=Mn<#NEjGei_n+XABj&%8l~TpnXbhWTa4obr5Mv7joFKu2z1Zlb*I>Sc|d$ z#`r6Ug?+rxZ)Z=91?+=bQU+LawK4^&zK0qQEMzWVTYj%yXJwADqNOiF~<+9brG%-J5Y>q>mH{o_)o3j|4uZ|LrM7AN>r z|EjJP;nx1>*i?A40+AG5pqvfI$5@hUbn54SiK+t~`!KLfRa~8&5hT5G4m5s);jVQv z*7>W*-N&%S?pnf8DmojbHyJc{*}tOzt;LpVR+U(mu0oV`{H|!9i-a|SYGEwD#YixL zU`!w#N;@jl7mh#M>Yw=ASwb!kokUbOqJuwX0%LIE-san>i**QBumsEt7$UIj`o%od z&NZWZ_HlDQ<*wec53N}Iu|)C4!`f<+1j?PJA^DfQ!@kXAGT4bqrt^N*RjX#I-$weT zGXuNsDBoyfj(W)u3=O(sP&EqqwrY7tymXliBCOViY3Wb&)!{oTR0GqL^HEwrH^-Wv zc^eL`DOCTc?8n^G>nK{)_&c3OdbPJ<>x%F#Z*Gp)GqBzI%qN$J|CfJt=DFDq*-W31 z{?~5R-|n}8l&whT=K&SfDo^um0i(z^1gD5G`K$RZ+vUcVtjZjpn1xhfU3WngfUFzs z72!J_jA%i(+YK(e0CKD&oG`!EVn(PWOa3F>*R_l8)VnQQz}*i}$k;x}!zwS+94Uyl{3BLv_CK zhgyM|lOnb$pB*Ekj~@mK|$ZRjOb9lQeqj0ArL^8@x;Me-rn~ zU6pEce*`iLeH4e-fW1|{Izn2~m^7$OJ?pLLc*C@(gE#MK+{*fP`t&qUlZgwQEoI>b z&WXzfjo5rVt!~DyV;HMyQhLJg2j3wdy-pm#+4E*`7hMYnx;^P1GbJiIw8|vsdO(I?OlG6k9T`pYZa3HMP2gW9hG_?WVNgP7ro2>mSC`@~YtIs2Y$v;|)Duo1q(8QF098ccQLXTAL1JLA*V5#TWw$LMYk zzX9|B%4~qSU;>6O_`8e{_%|*R*@V=qmmr{o|AH^mli|PMi`PU-gw@yCB?}0?$^feU z``!o(WvVh|IQ10|T3p?^&x1PsSdg?G%5y0h#KntVsm(d=EZhYiMJc2f8`O!rU$Wm~ zQb+0(lz!@yR}yXh<6Rn-hb?mTg%w2Ck6FN$D(xkie+h-K=V|gjwNn;ZN@3+kdt$G9 ze2grW^4A?JuBQkTk+w{7ho!$FSbi4hSoCkaXWL4w1@mea8-L$lKyK4QrZK2yFI=vu zF8%D&f(4Z-SA7L$)sZ&iK1!Sz-sO@*?}jCd?UP{`*<%-C-LAIJ_n4psfABteJEHXB zzrE)pZL02U{NnN{L8*bhTYY_MUD^Z$Q_22e$KzQ%9BX`bE_8ZF0y630fvvCXcu-7d z7L+HLurFj*+m4h;t5$>mdNEnD6Guwc=~ks*3r6pQ3;1ywmL0M{PC5_X+vs@vzLaWB zi4*LoIYT*atJtw4hiS!F%d;~`5@s&Q&Z#+zudB_CE?FgnpQ`4P?`Fa~cCG&X=S9%u zi(?n+Z(rTczcA~hDsfB#2(!MY>i!$3m5tePHr}S^6U)toLLJ_gEld;ahKeJBM;Jur z^Iw=+Y)W2K;~dsuue#V;_yP+t2DZN)M0o8;I2(tD#jsav*&`B!PXuM<-tY}AtTiKu z^Yib?+Y0wQAMBU}@_#rxuJ>84aBT$BsmiwfYs3gL;0pQFr0RATwxPXtICd{kjBBB+rmN-`+%*`=WajgP zj^FSQv7XWoJO4T7&e%&P2kPomSENaK%~(_!XY{W!A_`9&ZQNJPtWzCb#~BJEicPYv z7&2Suoip55^opc_rj-AT^_r$@|HK+d?9O9V=0RB1kC5}EZPefAxLz4JVKA(uE)^upYrJL7}jj>PLa#ENUShkM_i=RaY)O? zgJlI1%J>*d-W@ehh*^N%dfS`HIe`Pz-yFG)T@`(udc`fz2}_kU6Q`;Xa<5p`>b?~s z7m-#?euU57QllNmM?K*VpPhkcz_R$u~&EIX=5 zV~ynYHdA08R>o}DN$3CGi}!U9kC}2_`TKW82yiGS0}yodyXx+hYTt!9{u=LQbBvEP z?@jv-vvyh+SJiuUeUAxJ%-RMC{Pk3uwos@Roz27J`jhR@cq)G})?DG(a)FdnYn*FD zej!slv}RABu1#+UbmglJKj=>dPX5-B&Nvf^O5Z`?HH)&&+UsfgeNmuIJ1* zVO6_lTmlduM@DK*N|m8bGJqn+NF;C_s~`8z)U?W+x?a|-7PfW?o2ygl`HI?vAnJq< zYF;ZtrXj|D`E48WHLuBj6IG8r7=$s1-H9YjZIj^;);Q0Z22+Q^hC-+AEr1VszZF6O zmU3D9Q;eT#Qes3SH%vdAAHRS%Gl)EiljMpxaYhj|_=a36*x18R^ce_09Yo@VCE%QvJ+)f*E;<{lG?}3{+z)M3?beRAI%(mhO9B* zc?S54s1bD%UBPo*lC_}F`F|yD$y-oM)o!rh>pd)V6DXKbahl6pQmJTYttiJUUQ+o9 zr^HoS=-q)i*@OVLHZ=S&@Qid9z%~v>i0S&rc(^PhHo(PPAZXFZ?g1M2K_+|N+$B<$ z>84rUq;uE!GY8tw2`*^U%0IwBKh@OVtgc1llEg$yS3Ov7Y4Gtlvm5cFhP~D9E>9KR)RPHi zdEL~)OSaG_KhZYW^}%#*`!KV~jB?yOZ1H?fY{(Y~^JQPiS49=JZEcUxJC9U?^OKmP z!IF5_K9WXE4xGjmhc)Z1-BkKxW2nr?Ojp+B59%f)xxtCk@y16g>lrxQ1PTStymO;`-)1H(BdrDhWh&TM(7l9f=ibgUDq=rbJT` z<&)Z|bu9rg6A3$dUDg(iwJfdZ+pSKx3G3;%F=K1Z6u?qxK0uvt3m}FrNF#a7UGa47 zyz`ourya$(>_rR|3gUS~NAby7e2JcN5=Ye1v-*OKa0a4^bH_=Ecq3uD#9mfbF@&xW z?1xbO%@P8pr9vDSTT)ToMc8@W-{&!>WpVaH)>}xcK6e`@q(wMNsK7BN6fWyv&g$E!|VoR2l1D!CvY+XxKpjs_RB-J#sq9 z-3IJlRRrko=hmL z57MR1XO(tkt)h(Wn+0`6)^bxs4v)kJ%6VX|?}&IMYcb@!G>!Id?ksw+)u7dzq6eA2 zXvF*3s@OxAHRTvGcg7~dl&$AOA~vPpPej{)G|2-DILx{^CXDgDs&Lb%QO-dcDpt#F z^}nt{E!?y9$7SOzl$yWq+1+wiBc?FCL)M7(-EF)}#R^Eg)hrv98 zHzK(Op#l1-H`Xil;5CmLrKkyB)_SeOz$x%@JUo3n zMhhuKHo^$nA7qmC1~O7INZKc7v zji*bo=I)t>s|!CRYf`=fz*EA3-&aGZrvcGJ?n+fK>m~<3)?I=LD=6~rgxfp(`IXM6;IQsHL7TUG~9w_>3(LP9OT|fUVLa708GQ=cB0t=*e?!+kKeIc|_OaDNv&*{b?do zF27C~TNO8X&f`d{{RcWnTqnRE*!>O(w8Hkr;Z2B(qOGeby;26KeI2P(H`N@R^VI*0 zMIBz4r2&s~wv18oDXEQguw0=rI3Z+s&HQ1r_XFi>Kgqo@$9J5Y7Ko?{?gdGJT|v-b`X+hdxn5oDo+3B5Om z?Ld5GTR(W%!95xT4<5}Qq-+gb_JQxZ;PB#u@fRNc()f2tYmM^b*RmfVYk`tg&)$C7tW21%$aq zy0fHS6zKPpqK;-dM)nV)U19)xQD5bm)#=q&x3%k;gY;azuyL3ZHX%H^y)DWwV)%qW z35yI#NyQ9Qy70eT3Q&WaM^!|;iY-7`@K5Wo8+tzbA5RhVV@~f{qCP0jjS(g>3MzEI z5nnq^d$m6AM?eUYz$*ShUo4XjB8*{?;UMT)nr$6Ez7F|LLJ!P7el0u#rI|8L&voKn z6QCbOAcG^e;NQi_63!=SjhXV`U}0IcdSUVr#}FOA#pCs7P@oSYL`#sM@4G$2;KSpC zzBnhhYJ&L@*xDtO=$F*`PV(+WeFK8<77!X!qYR-}!x;pdF5XRNqS|*Aut;m?m^S=u zTG%BjB@uInO1|b5XTA7fYJtSRS>X=Vln4?T(7G$hZ_#DNH?x#-yrfZ*3&$|mt#ROO zt)T$WUbvif*@0W=6rYn6kvh2Z59?-3 zv_`fXDdN*$o#u6Qo$P5BUlSxpG^m-Aj1@eNk2SoWP+BVj@~JP@cdreu7j}Ugp%ie+ zEfX+QLxHR!tAw};#W1^rJ9H##g)5`>*&~uDPaG?=rayCFGbk53CcEb}OBr9_ z{m2xG#pS}WdnB0-*n20VlXlV!BPK?(# zH>@~)9t`Nv|ELkMXe;|glC`$Z%n;17{JyfY zVq@zMXJhLPxIo;jp#UguMponp&I(1Df8oRoh2x^WA`uScg@c3w2yP&3Gx{t)jmb#N zkD@7w6r#3N6EqGtx+(;I(o^TYEhQl?d-Q57w`fhap&aP_}^;Y=*frkwm< zRbV{bSf;V>P0Y|!XL1{SJcVnXmiugE=x4FGTv9nc^;RcQ7YWT2yBoS@blV_QWyWIf zdxRozS=)fbqN!9j3f73+H3PSD#BsONBGcts5DY-qbXlUifP>ULQI?&%BZQy3vXH}^3A@-uJvvCI1s1~06bK$i9w+8dW zk@cek>cNji5l0k}6H0zG%KL?vRs3W&w~>t{J94c`L`y&aQhnOjH2A7pCs_mVH2BiN z6c7200i$3w_m)A5Z?Z_Ml}~idER168U#kGWD(f*97#lI0#4k8bW>1>)7JrZ2PC!h7 zZWi&tP{OEHO644$fVO(7{aK@^%Bb|Vop0-jYlY5}^RAt;>$OvhT?PqGwnl6o*9s=6 z%Hi)?B*Od>FF`ni@jVt2$mWpF0%~!}DbclGyqppw2$7*{+>M z8`A^dNXp;i>s@6{EN(pk-xrEn`1Lrb)NDua-Yk9yXCZ5mZ0-ZbfZJc-hylvK)J=k9 z8vfqJdiXf{#+4PV7Yo0HK8{7kUXB>G*TwEp5lSUy&1CFFFOnZpssCnn5(OLjlUG>n zOJ>~>!5we`m4AGCdkF@K=$VEDiM_B>0nhjF_a|u3t9Ms|dR#{>^=wuL4KsGI+;I#_ z11;3i>@s5yOWv%ivr!M#*z7iU8GK~_SgoF0CubAH@$X2MQwhSv#P{eb{fwWQHD7!| z=2j=geyLvakQ?kbv#;wPan|;>;=o}UubGpNyo~KK+#f)8YcDvuhm8kxSL?EOJoo5x z#p%_NzT<4~vI(hin1g}$dQ!F}Q(5xDwm|~2H?l&5+mP%q31<}LgBKSaI(Y~8C(Q!i2F7Orft{pj*NsVfBByv>3oG5Bo9^$6DpRa)ZBsE*UlkO=k#0(~Cr5PTjkn%XbPi?iD$uU1m6 zA7a*ZPI241l($AiM4cHkNpz*71)>OQTh+xW%2>uU5WoYSj~oq6M5XF!48h`3N`1;W z3Vuk)@WHmZwNVlFQ4&<8H;7sz#cQ#qscrL>vxo64a6gIoFZ76vE#kzAJ{eZA2L~@9 zK3m0DMU+0YxOZyrWN*)-T!!+|Uo(VOVn0ZlZ>BI9AKo}H4%YPqwb95oLzEiyjz_uy z6xmuWt1OrVKG|qizbeg)81#ItQfegaLYw&IoC9e@n^u5mB8H2hGc((kU?a9BeQSJK zzQTQ}32@$oDV_AhU)?*(awp};%&W`KU=)ZbUSg zD8pIrM+n>}#1_b|I zmyA2oflbyUb>p)@bZ}>?ueu27qB5hz z?_PDksW>$o=$BU-)mkmzAeizaK^Nz5#-%Kpk1S2j=7e@*bC6#%;mYJXOa}?+_rh$W2X*NWYLc; zAGU6yE@Vy_#VQ!OXp+)md5Wod+`=N+>8FzlZsZ-7a!bu559A$s zwyc^`r5{o7UMlJqw)bg8NWBvr7*dai$=4S#LI%mVx4;s@71}Nyfq4X8OEDVfslY9^ z7MtvNA5Y)vPo2=Fjz~E4Q0uPS!`a&-)0D4elT{%4$l;Xvm_o6xRIvLVk-A_}xRMC^ zeL^n1a2&z;h4v9)wqh8D)OS=j$P$3H{f5lz_wbL04dBOU8K8Kh`}Yff6e4FSAk&Ot z4Bg5w_1QAeYS|z8!g+*k#DK)3k(A7C9xl;TbxR-=`FHMA#YgsuKrIQbRV(_WFI`(?!RRvT*Dn6xU77&6L%&dbierh zU42$jLpK69AjSV$Xw1RR#-}q?gDZg`E>voJ*O$SkQ{TofH)5T`f`8l0zt&KpTs`;N zz@{&v zAuI(_Pcix3bDXl}oOs8|WX7*|*N5$m*u}0xVk)c6wn=M$uNmLB^tcvsAF3|b;9wla z*G```Ti7*Zq8>u`&cAKy9Df81RAdiR>&DXT?knkD!m{`;%md8E9G5k5^P3f8m7lAC z9l9)B4mCN#QbgcWmsiuM^B{%If8VX(@luVM2EpkCm`ZMGy<4iK?CCKd^~ov-6hRX{ z3Y^W?c&8!R9Oja&+~kfSAB{c9Gn^^z;;eV#a;t3@_8Q?^9JbFJNp8WZ&FZ5!D1IwY%oKIkcCiPRP5mhP;LP6xIe$vyg*t&;fH7}nYKi)lex701g zk)~Na5aoj7c1_Xe;-qS3U^PPoiZqpCC&@(9 z0?qy5ofPzjj9=xw`KvE5z_mVOteYynMd7i1>qWz0H}%NW`v~>%w7;V<3bkecQakRyHCviM<~|{E|_wDRE(%zPKcxkqn&m=+7_|Rffv1=TwwD0~E$? z>EB-_&BJZX0X{G`GJw2V=H0L1NIdu zWAhGp;OrNQIl%Aq7$3LBAVYjIyb>1=IEb8nS&_Tb&7~f2z7wm%!+u+03PxY4UT!Pm*7mnaJIz`90Z9vHQ4hxSvGzb8a zRvyKN?EPGjy4-l|&yu?Fn#pYHysxr`6A*Z`1VXc2)WZu4d7)UYW-zU#qHfUe6TxJvqcHVnSu%A|SD5_zXQUmm#XFCq z_ZqpU!dDLSv8uLL096g{MpzJ%@m#11|1r5iphId_hi#kN>^f%B83BL zB82Q_PIGk1z-#JR$qz$ej}om}JnFVsrj`!@DTi@lL#-DZlUQ~sIx0deICwM|mo3;I zHMxKpImN`Wm8O-;gIBJdD{0=;7$%~>R&8WjPlB<#I2z%6;;y9Q2zWpF8If)OWo+%l zJ&Fz9g5Gr9z`CYqmd=wiG^LZ>M1J-JJvzxgFTL z(+>7|9Mw@BcX9;vG6T)drMAUt& zRMm0`NgupcW}9ZLBUO0xyt$1Y^9E_l-xnDV)UfPjkJKeAeg|}qD7sVTXiM94$~U}5 z@QzN7&p^o;0}5?Z%~(M3J(kQVySP@YZI%+-%lum}Y9ySv-I#fIU9U3R@hs0UT1-2+ zrb;9f@T&03ZRN)Mds(>4)X45>e6!}|1n0`hK0LoIVvaiQsYY#SIW8>D#3~m%(`VKN z9!K_wH-=jtv>~6e`ZVAGnseEGghjA_#dC#Ofq~2d`T=p^_=MHakLev$^T3?a@_+M# zNrARFJpAP-4#AGv=Vy}E=j)%or|PRRqd#PcQ$D8QdME9V23e))t>!QNG1BMcTn)BV zPv&H0^-t#P(qBwoUkuzmwX^t^v+pg~*~GOfOz48jo`A*J!_z${gt?Zj<0H z!$ppRw=bhwM-sU`2 z$Sr97%gt!6%sbj?KBA$vlvYCHRIm3(<1FT?59+$nrV))@r^m*!a3heM*!)mq&3pu{ z)7|(uo%wi%3m+96oNCF+aBywVG-4Vzk?4^8_b3ext}*$8mcqfMTC&;}xRG>ZP(|$! zGhyJ*bWxPE!~)L}3k+IkyBT=6t7sw*H}ucgbRW?&7Q;XQ^Ed}vTk0;O)xhHxAz@*0{Hstu-DAs7qOEwhgT{J$$uB!9A)5xbJzxJ)Z0Y_jq$2xJT-CpIqZC zx|Qbuc1kYuQXI?mMOVeimf+O&MVA)faMM`PV0uW9~IJa z6)>2hUB!yFZ)inZJ>4zR4HZ%;+6Zq{$UB`-A=~Fcg`}?I$<4s>@*DqQ<{T6}uav&| z;fM;U?i`hb3Q2vdlN)#?=as0BZ*teGw0vc%ljUZ7i&HRAO}=KF z0GOrILICY`MYJYGaXJnTBq4h|F?7keass=q)rIHaUR@GOJRV9CrGgc*Y zla~tngY~&T%l>QB zEEGAT#b86lSRQSRR0*NmK$rp0df3gJ58;A3~(wdwzlrf5}*R8>0hQ8KI#SB%=`WzKAq$Zciy`s`9 zaV-~RTs6We%2umIjI_x23k`ztdCI6kPfHCVgMl5ON`s#92?pk95u-Gys9@l;tU(V2 z*PwJ461QNWTCF)M7)WgzldCc11*M*2<~$WVQkzbRI0ge%k$FkMz-Lh}&JOC^ z_m>VdZmGb|R{HLaK;!9ZQm4Q7#-E_REJtGJ*a=u`7AR`=B20pIx1)!Q)VC z8!jnQS zGwZ~yY=swsjv4SS&Z(G+T`gmOWj6+L@gYPaRVZtMxQM(gp^o{#42jHM>APX_fqZR`CO1)qY_>1*ls`QX*Os zKy}LQw-nSrm^ggS;?OC$ICwivx;T^sLO^W_l0wFk<3ogRpA_j8T}<@_z3EGO;Iusi z{=`={-8dTMMljYG2 zVOrnj@nM6a+{p^GRfI=_nS7V!(M*#&5+OaKbgXJe`rhfBr%%nl4zVbY<_9)eD}^>$ zy}Vm)s7b5xjH1qYP#S14P;zgB3OFh7?LwYL2n7bMAKlJ*-8snkQ=V8zF(`LBaqIda zwAh0eaeBp6k!2Z+VXttc@m`p&R5h2?{Gb?YMwa=xjhFW4JO`?vD0i<`QUz#ielY!C zvdqt~()`e@5ss}gKQny}R5?)YiZ(yLvH4j$wE5}j?Lh0*VI>`?2#GnV_A0#=z>>`l z8lOpl&HXOLnhcA9kdmUPY|2-c)VrQ~xSVO1ve7e$}*5WEG#05C~jFvot4DCCt? zAeAdlLF-R9H}M7bW&WyoRGg}aAXl8~BA|Y06{k)AT3T_MLKCqqFskX{(cx;+XtOV9 z8aYKQ@T+2hL2ItgP78bpzijT#{tMjDx(i&pq!$P}fPWgKvKQ%$v}G)YfdBw?##D^S zFsq}ET-rRH^Q1IF*6Pa4`l-SL$0yF)*;HvbUuWT?5E>M6;Z;7${GDBV6#oowjkI_3 zDm1S_^DhtAdx6esCdUPLy?w78t6E)UIp(NZ-4hX*3%lW1n$@zoU(ic&EZJXI#mSc7 zRQA^e7igSNG#H9?`Iq!sGO587Z4p+qbAv0IZ}UJ^(yN$y zw|7bJtxhGqZS$1$UI4ecT$i#fGv}h(Jm9iKk_2YSt~`NzB&o8Z zU3uIdzM9?5=@nDT#xfSeK;RmcY%xTOt&R|a&Fwm8L@Y-$t@e4$e$cy3GDCH{Fyoj> z2csEZRGM+RFpr#4l^O5oWXAn7B{kAVX_J=Ij4#HP;)dXv65pE{%3o49Gv_FOiBJNP zY*OigA4__~RU@1{j9Pb_$F}PDTx?lNv+@14rR14XXEXgmT<%QW{j0BNVX) zjSQ|qzKCMV5mFj7M>#@t&pk|xH5W4 zX_u(_4hPUGyR?+o=_pz2=K3$g?41#u zy}7&ZSt`C#>sGKV~`IKyzsNg2?>dSj^7iKtx^uumM>1c`LaYAiGB^@UyiI})$ zB{Q6oDv1M7-iy03!`p>sc(2?*xk|%JVmL)Xll`k?hEwn;v8*M(m`+TIhL`+ea)}a2 z3-;o9H#zcv_VWxpsQtVf?zm06`3~6cxc&YIP)h>@6aWAK2mpI>uT*&ZN+-460RTj> z2mn<8002gHb7^mGL349ubWUMyWm`dGbZK^FT`xj%WpHyYLTqnhP+@X(b1y?~1x~<<{V9;qm=j^y<>SO^eoTdRo56{AYs3 zH@<*7QG8R&ZmkFW_;-A=0DbJqJLW0orOti2ba#CT8|a0_3t#f@-Lpm4UTwSe?DFHy zAV%IRtHI^JAo1sq7lK^hM%J~qEn2m) z01l_uec>fPXiUoM{xMGh@e&2bFHyU8%?c%(m8w&#cC{+;%`JNO?%AQG>IdOlzRB9P z>Ds1ehgQvcw`kXlz1*yIo3U#)&$JO#@8zkP-FPfaOwj@>f-^;7Loe+ zjQW_7?AA?vBm?1Hpg2*WPxsC(y0(e$+pAAc#4o;{WO&MN?XtX1Y5Swq$77-O?%E%J zUiJE1m3{W0yqO|*UOkpC^6xJ(uN~~Q$uTf?E(LZzWR4f}#Do8IvDDYYEX^xnX#$a@ z34u9@M3yFY%hDw9t}lh9HHU4w`7mK}+u!Xw=RExGoZT>f_v>YK56U^md7a0>>o6xJ z05veT>ei-pJJ;`60G}l(G|8Yz9@E4_LC4PHJGzD=o`;7cR5BbX#Nh}AVpEF45#n|@ zQo+0ND8pgO+2OP8T{7E&=&)`7l)GzR8C0|6^{O!vetlZAj4RsZj676ySlb1Q9$GK3 zzwRVlHXJ_zMX8}l15H{S4vRP(GT3zR1}bfOXfmh`r3@CqGD7n+G@10kW`;MiC?5wA z3b15#fictW!08-*lK0CasVB^zJ0oO>e{VeS6zab(8GclCK1G( zq9Eo1vU7`qn8&Rk=7o0?q9B@Db<>c;Nbf-_nj}bW3&~!t%h+eJEp06A4>F`QR-gEO zf;IZ?=W6h`eb4JXWdY z95m&jselv^sjmocR5I`xClkU}rchr+;62)n(pzg+v>5-kGF(+@)K@d`nGVUQZcwvT z5?BO71gW^R$BS*}q&kjfvIbfXbKf>Sd$;ND`dV@YS4}hpzP;Idn(u>kvea@?Ui*)S z(BEI3uC?)7ab~ar{d&bX|z?;YQ#RiB=Hr9Q{@9Q|W_j+;M>OTjMv5{7Qvk%1%T zMkYWRk^seU>oU?XZF|y4=aU%e+6KW$*8!f@H3&w!p23}wMtKi5xHr-v$(TF5&A~^n z)iG>r8LjYfse_MJ?iL3bnj617**@CZa>vnZZ7&CGN|fo#=a}DiZ6kg4r_s-9Z2!%T zkw#|#mYJ3Y&@@D`bu}3h*hY%9H-@GO!V(QUf;EK(jaD;NSXE_j4!wNPa>NK@#L?Z% zaE+VVYel0&Gpr123!1XGgm=-SY6VSegN|vU4ZP7-K~NB>Z%3iNy&={#u{kvxD2A&8 zje7I~J3`Y5n$CKt?*eahHE>_D2vXmTLcKW2b%$TcuJ0c3Htj4c!_|XEeNO}TVUdhp zNP+7#D}hC@-q7GE^ihSC>uFzjsh>f^(56*z^>_Ok+SdUFiv8R%lG)EG>Dihs?fm+M zHy=49*L!FC$z2I4R|Wzh=;IH9X0Snr+kl!sL_tsrWoaly9?A&vFbsYznhpQ0vNBu| zG9O8K^Pv##m?& zO9h0@O&KWBLmCIaF7KEc{VvM^E5kJ&2P&|4i>@&fY42uTyY+6?E>ud$F97nhLd~y8 zh^wn6fkm(h(4fy#T@_YUe<#Af{|3z@Eyb*$;F?TQOgOW}iQp2z`NmgK z&Wg$XOlfzsC_SA5%~S(<{X5MN%d7pI)-hF}e>&YoxtKRI!ytGwGvQ76o|88-%fP%D zpYGi{bnQ)b^knZpJvN{OyziYk=iAif@7ntxda^UBYRvn3d_7nhd(zj-FY)z$7r8SV zcrr)i&Rk%trmr^--pyqo>s|tr+c)Dx1^)pvf}9CGFz9*oo4B#sJ0u2 zi$1iX^PyQ_P&J_HunXZ0Tx=qih6b|vUnEE#ng7F!vv#k#D@5&*$n1DFdf z%M3a;3{bh2D+rn(>>rd)G#5It0)E-n7Ys2EUv6c%RvJii7|vYSg;mh3R;6B*f;GrE zc+H$d^b0~DWI%8&Ix2=3!-fF{zQ!$bWO-q@%h0N*^GWfO&$n}yLtZ+koX*L$oC z*B07nZiRPo?+JH<`XKeL9=-$?!L~tz{n)MwE4MDVuGnGFnBfX4xOS2Tn!BErhvf@N zIr@sV|DJ^@bKf{1KY?+c;rQ}I+Fjgg!_nRa&2Bvd9R+Xffd;X3#(}m|2D-a2(9!U# zUbf%o9Y}lG%5d$a4fH;Ex3EISeuLU#NCJyshyeEudtuLGEu^FajgYgo2D-C?>wvC- z=I27K5<1oxvJGJ8f#xeYX?G7QJv{`?VM81@M~}d->(yS~TR!)>m8}4e(gq84@fbA6 zp*dktUHhp)o;1W-cc+c)=yPdlq?KVkMWf&}yo-!D1I<}=9-u35&LFrNf5E@Qn@+CA zc>{Abv>h?NV&tRg?)?{qn4151U(%TiKnQL)Uxen8LC0F;GQ4p`K`EYb{m>I2DP0!b-~#Cr%2cjk6!XE+`+#K%{^%D>k*6x z@Ww-E5X)$~U_3I!TBO<@oJoJ}Q_S3rxE|94;|aWr`>{`sCQD!u3=!aQ#IHzw zELe4U8Li06bCSI9zzDw3(j_l^`7Z6r3#Ah;q50dO?>OaYy(+aor~72Lyb?wQ*ZZ$& z9c*(KSSN{O+BD{mEDK;~`%%HlDQ6%GNobUHPvrk5d(S{6dmP?mh60 zkSlyWKyefK)`YHAKOA^@X4Mbx`Fe*HlEplI703S@4uLrS1}_$|MU1`aw{6Eazw&qO zsoTsL)V}`SILF@T;a44x@r&(}6p(Ps%S+&vG3F=wwNBjf_HwsN0^dN2-JOVSTWUQL zzhnyAxXJmx4-b1_pPv6lM)U9=(M(nsjz$KxYi60SIMdDC(OYLvt+#Zv{mrrQnWl%$ zvTC1*b-jyoteX=tA0XQdjW0BQAQgBVNSHm)HT4HDoUIoa$o}afp!904(#+-t#Go+G zX=zwLuFu*X3|BlalFdc0rh!6+#Y=57M`AA;DzC-&(&O*6tIFI8U8@bgQ6%jN9uDFW zV<7Y-ftQZmpo9RC$crObhU1Xfi!csJykhMJjq3b8_patWtPEEWZ5+_>;}n3jB=u6= zkCN&R?qntN(r`|ja4ohZCk+-iTjh_k&7PSB{$a*lX11IMi*JZYyPLv``=vN+tC8Ws z6o#)cI5RvYus?broVcvEGF&093|HsJYK6C{ya>lYuAx#!z9k|J+3Wb?-Amj2tGORI zf}buq{+iD_-V9Lo@B)DXjR0}yGwID$wVoOG1I zNiS#v?ydF5)3DxFhARV28#2Pj@t7Sqx3(M9_VXmL2=+4|zhg}n3}Rul#rF!lneDdMHJb18vEu%ZBl zp%}2|7!Z5cQ!3I3Sxif$cPqHUb%`|JX`1hqZuN}&`)MN0w_T;(#T`EEX>p+3>ZQ6( z>VA{g=H)TQqfCo$5gh1 z;Kl7AVmX0|QklZbDgrNY_c!3u((d5_e_I)@sx)50Cz+d7jl|2T=jV^8cPg23)S`=* zd`DW!kLth*6wVs(R8x;!)&hvy3WBpJmvtz-tSj)c9{g(FYm1@g_Vre_3tnI3rJfZn zL}GbnMGN<{e2rnUAkPNkRMij{2VTNd)tF6H?lu6Tt2IvFb4ZA-`{I-jss-3%EqnCd zXOazA6QB;`x|+gMGcO&n)Xf2+g@WJ`Do#tvU|$nVZYv!6)B^(2oS0!{xLVU(zBcf2 zj77sG=?#P0Hn0R1!P)`>>_D&RG5W*DG4>D17~rKtF%WDZY8Etu)nV0T{=OpfLr5||=3LLuwmvE2CiDEjvWw=I?{7HTm zKvtbmKr9ALjz+S*bi_*{f5s{Z;2bBOWgMlq;{}PubDW3@7Gw6YTdnL&=ogv~1cSpQ z=T|Sn)=ET5F`jZ0-)WM@ycry42MfP^KX&o(JGc>NII^%uxKo5JKaTn#WKxFV-Wi^g z;Q7!S1346Ig1|65cu_*Za4&BnO~rqM(V7I!WN4 z4`Y~g80U$@I3GrEfjEo{z1-c$S_A+JuZE{JUOLYC5DXEl zg=U=|*!2LhLHW2J`T~&@BmJjfq&LE^?tC{Mac$D&M&q8$W*`KEs4VK63Fg0Mqq5dr zS5Pn#c<>1xSi<^8tqj*un#vtR^Nt1@noKxAu7|A>SOh}^*pK60st2{w!A0;BT7w&@ zsN6}?;Bv2Ye!*IJ)R`)`BQl2);lWRerQJORoy02=r{U=gvWj>rjLba?VCSIu%Zq$N z_(w}YtLBO3G)S2Rlg{z`XzXClc?&KHC3HE;r!Ux?NR3yoSFdpRd~AQ zrQ;+R!{e_j2(o*T4^G5D`5VBjW!=LAE-j6=vXhvbG(EukFW7}!(A?HT{T(kZ6Nn`j zQh%31{XJoD@f>^Qp-KhEmx!Sr&&2P$Qm?KkAdAeuc|cMWKDhkYM~MmGis51f#SLP| zNxQB^0bCIB=yEKdESc6rQSu)F8y}0yf+w_9b?FifKRh-6IPF)KS{_~&JVl4az^8&| zC_k=20uopRLj-s?sfa49+y-MXz;i7doLa&4LYEDmQs~d!@78R#Dr+gyADW@SYgb6d8))9DQ-I?1PL#y= z@V;HN!5>IAI7OOBftOO^kR-hAgqO9fUgX34BZ3{p&;=z`2IBfivqV-Av@%?9!cG_|NfTwsy9U&Z$gVqvXK~NL5FVLtvgd>3w z26s%F>lw{|S{bf{w82dTAIF#vGy;i@K!Ixzi3AqGk^lniN03o907WVo7{8KKOE3T< zGnL7-UQ4XJmYgI%JlL|U1=o}x9w?*Bw}Y#q9u10-Wy45e6eiSQ7?+er zVL}Zty3Lac06?-^|L=LBD=k)BOKJ1@n50PU?T_qNPkpF$Z}~2dXT$gjD8kFFso^P& zQOEVgv;dJ#LC_2hXnKl{WiZByoQXb=HnL~?#a4zZqfyWH?9V_0-pj>Xw(Y#sLU<&w z2$l&DV0SVj17d~$NJkW-Zn9|U5Cjx49m-15p{kFL<$D+YBiKaORYx9XA?XkQ16*M%7p&HOSn;cw^kuPM*pQvF0(VZ6xFsbuJXXdetE4T$oYI ziG6%mJv{OwZuffh7`&SvGkA{0Y(bgD%P(@j0C2ycXz>ae zNoQQHF=3-B51&!$*8_3C2k35C68niet#0$E>$+}*x4jDkCyGE*RFBOp1`y%UAeNqJ zGmBGfrWjOZMU6V>u$hR-JK-ALC4oh-QUHeWg9E(OVC6Ow1ItQl z+032_t}-Mwe0GrqVC|d8u0^`qOijPTt<5Z}^t2p2m8aXi3WD8xB-lL+X{$){B{4@p zCHQz{XsYP3+EoDpZ}%gX!8lm-5HN-MYUl}1c`?|Ue~*;~mQ{D5Ufl@u=s0o+SPh^U zqpmQ!z%ZQ7NnulTACPD!vfVtvnjlf0fo)9Mze|HH8dxgtF!)JiY;y!qJ;hR)N{d<$0f?t zZO!F3lSdgslnACRKh8CG(QAa=^ zf+2!7(6rS9+YTVwD<7YNX0iiCOgjojz7zgR1KPI0%5Zh2i7961!0^{DKt@+RO4bb^ zxkVA@l$AdL>dEJl%#j}B#vLmFvqSxIInAu~$^A=)+t@<~`P=9mEaB-~_JA5>zFQ$7hjod4!w z&WA}kKS<>KVBp~pk@G{{?(hr)fNuoO-zl*E>g19kw#N^upD7r2!+v6MnXPZWF4MXi zm6jDCN&Aq+R1WHWoe)czKMAD(Nd%BR9GVf(j6~b>U9>%VMh()Scrp&NkYvn8iDNbz zh#w=4*;u#QG7bQYUW8q_x0_-XvaM3uo>%>rs#MPh_RR0&7ihCSW+ChMZm#j=&TM%W z8%{6jHuACkWA9=$w@tZkmFki9aE!+;fJOZUo_@vQAO>q97$TSe%|t!0zX8M~<>M=H z8ktO)My9~T5wdGRlbg?<*)3CrDG_l^qfH~2O$PJIOb0S%7dnK7I|6h!Iotru~by9~-F0*35T`T{a((yMyi31K$ zVt`4xi&lZU^+dOKi1K^M-K>SqfRF1m-K;ZerN-lHC)dDSy3hN+-Fne8 zhbQyc9Bza;+*m+1iLV#Ax&gQvDNf>l8c7??mn-~!xy|QfO6Dflw0tTTRN^#0Z&c)e z6FhA;l0yf!2r~@^uh(RWZmcX!d8?}6Z4+6v9ljbY3f>M)!P|P3DHAz7cqe=U6DVOi zq~o}4;~E|;J5)rg0the%%`-36&|;~L*bR_TBpbmazIc?<dvYve4CLY8*%AbbLIP91`$ z!$uwHrZ7tMh=Sk@4l^nC^r*ldj6F3BgEjm(D+^{lM)P(s*VS>L-~==$^-zDx$j242 z{DlI3n&PXS5va!?>C5bR-pWF(&$?2t?&sK*kDVh4JH`Zrq)6!vE zdu$4DEWQiNs(=0^O8$8mmkZ(?aM4JLoUIwoxKwug{u>a3;x>bS9CMCf@-R$6b_wXb zY}8Tq;0i!oHF5;kQ1-4-WbcOHxLn6|0)z%$TV-Xj?>A_g!{XC{0;J`ZQ7!6SBDc2` zLT{7gmPeKGh;LnoAHv7c z7$O;ujH)sC(&-K@=wqz~Q7#476B5JUuS?c>sP#vPeeqk>gnPQ0`=k64fNYAHyow=@ zm4{A`VF~rLVaX>mEKfzl@(h^$Tr?~%-0l#)1b|R4_q%%K+U9@V;cRl-rUYz1^}`%@ z`>E;Q#mD_rTlUGgpPJ44SHga3!cV^w^7CSBIl5qfLkC_N$r%7&gK9hob40A;g|8=D zeAkcbGhqiq_>Zdp@)d@I8F&gHcCrL1fBDBS-KG zEypK{<#;Vv;eUZy^SUpn8<_K{m0b?_O!EMWC_5crjD%z0=eJ5OzFs)F&94Bv-=GAn zYfo+adPMye%=VHVA9$CgakZ>JidF|G_Ywk>I|)*TeFa`&(mrJSTVxn1#Q&RMYB9t= z*F(d}uEnod*~NkHBE$69l42gVC3!<-3sr-+Al_avKP^UYLA<@aNek5(RavllzsTv? zuJzq&cY9OCjgvwSz5gG}-Oxhs-QE=ePP2TGnEmm)m)hM32`qy700IniPK+8K>t2P_ zlq0y=n-q!Z=vJH(6!IGz(tcpieW4$I(D-|kl_|j6S()&*h;r``vBvWz`7IXsZhUA0 zp-JGadOrlkH=!u`iQxS*qG3<$t!3CbFUi6Gm_02CdrG$}Ub-lj+2MVp6T}^HrKbsa2KYFp|HNdT zK}NMpAhIAdfXE04upd8rt6m_Hni+)52A_J$~W22vAfMnqts| zqnI_KxgNy<0&~q;;mPK$Bk;Qfx;zSkG-!+ZQx+TIWtmdm&e=2(S81Apm4T0Aeq79U zn;9u^y{;pHMKDBw{V40L1}hf>yjoLE%NjOQMxZ>&8urMTJ>{Y1$!)JAT_V0I){x&d zk~<&JNxa%q5j_xZ9T$p_xs?H|3N%%{RWB5&L00p2j-hBJ_z1|s>Z*3EhN!4D;j1l0 zJ6226H{-Wtc=Wv6v!UAX2|Nw215b6m$pP{81XGRKrmDv{Ssv8&;_bZdMWYBS% z#k+WV|FfWM8gD7`w-xZWwK$EpaXXE-1%NJu{m(*!nw;5jGuU=Bq|lo)%QsmG86xkq zXvT;T7Tq|jB4&u(q`m)nBOxWK5ffjx1KQg|(?QRC-4P%B) zny>jiH)(f!DLw5CPkp>qFQiFt)%o{c$mZO>Fmzis7z}AEw+Lql`_TpqZ*%pBj}L%m zptowWbQWhUYUE&~Se&niXs$arFUj*YNz>m?;jM2l(u>dg+uE^F1aGy=fU-&u%7(>6(m3!-YjLXt;kalh=OuIk z6TV}gY8h27b-5)m;SF|Is-ELsT~9qhb^K-_=`P}L-p(oIk>p9L-uz_II!u9K8ZKIg zshZwAzyHPiw8dSX%xUn6>CoWiqk5>}GbmEoNRY~zsNo$G4E-r!zLi}RoJEt$-%*|5 z<2b5KjA~Z|C9nvF2(TZsy;ZLlN@qHRoTD|<%~m)umn8Ou0>#UHTinGUI?EKl-zZ`D zZ-Q%q_al}2t;o80t}-R<_ZT9*wq zmI=Uu0gHjSC1|{e*ZYM=pf#F{{72p*}W zW>XZ?{ioJzZIw@MBxz%t^oD60%KgwrOUS@AU6$-1`kjtzoKmiA0$yx}25(4rMZ>j) zGNx!OUzS`tY2l6Qc6RMj8DYe=l{Ti^(DHe4GPdKmxL*8}8GZoU0brQBy0=mF(x`My zcLHQ9t-S!mAbf&B|0&MO}ui5C6>#ll|{{Dw>3&Lt@DlI>-0wR}B##CD0t#1uFoCSuj!;m_9K_TvTLLXjiVL0 z2^8Ie<~B5UP%f6z^~0DI3{M>&=I0P(<^Bls0%>LEp@rU;YRBVkJgaXnU* zDH$P8fQF~gV0R`WA+GzQs)RffgqvOW<%Hn1y$FT^gk3rMKF>W7%a!0Q(!j zkgu;)VdY|ppMI_7#jI2$;vcQoUMd6l#+wk!S-9n`yT#I^uwC8EHt{ALaNJztvOBSV zz4x0ZmJN@%lW)@PT=kRQ2OmH^!pvINq~Vf(D{2$ z5yAz65aQKo#jqi+54y(wBhY|#g|O1k5~JF!Z3!%beF6m7oqxSmZ+uI~{xbl5(Hhh> z%GiG;d9X7B(jK~7ZZ;XH zrK5af-Y~bhREh>vG?Ad(3@fp|otlu+7VXo2O7xi}R%MYUn=|6*?xq2XuqakqcuHqdyRH zdRM2QOh7Y<0t!`QEty3D%|g@Xnq4h3uD<*c5dOawZ?R%A1h6no z4gkvuO)fpKxd9>%G*Cg+5^7S-M1Y(L6PcP1-ajJFIQjXEqyDjTO8>AhncYafQ7#tR z!sG$GBpAD*UVx?~z*jcs6(lK%>y2_HeS*xK|IatdPk2~K@)njUNg;7O;WbMUQAvuL zXgA7Tk}710f-A2FJ-#1N45-6OZ{hG%+@vF$ffXQZ3WCEZdnHT+*%Naylmr89!&{fj ztt=-*DOcH3rvURdlm-frmNF)_ThOvXGK!LvCCM$n;Qa1>^*%$RFaOAdK+5;*$TT7C z3D(NP!6*k!c|GG$0U#sHLuFx&fH@{2@DAA;E6Z+Cg*FaofY1~n8C6ZH zR!Hi%U|Ni7S_{3Zijk>K8Z4fWK{i;i)W>7^O>|-u^F~Phym6 zh(@U> znDjzP7lX++8qiduA$%OOWMI9=TS$Rx+6@UTf;9pJ*pJ30HCXwWVU~?hqlQ{tRt`&> zkkpFb<>R+gbsd)SoFUTgB7?9#Ra5A0GZXo4qnM$jxkO?*Gt9{ryEt<~UWkJ%5r+??{h4uB=eC^u%lH;~;2n!eEVL*aT% zTdv^(4-;r$Sf7c7#A0$k5nz+8e5JdI)06j-+A_gV-3|~{#w^pzZE0L z>D*i%c@>Wx9%#$)Q0T%iXt3hy2Nw8FaaS-OOv|P%yH9)i+Rjp+Y%Fi6J}z-Y6vH)~ z=B}V3ph_SuBTTB=AhjQu-e#oMtTaOD%_!2WG}tjfr%R4&-uB5JtFD5nJVB1k#B=0z zvW`XMZj*>$<_b(6gQGOsq?SNK((|{igc) z8zrH#jgqmV{*D7?ju-X!7q@GYUjg7fWxIRH=cV2+5(O(af!toth+~e{2|y7RzMTk9 zznRqX#7JPVV&^0Pn+(kqRanNosUr8L!TVoC*Jip&bK4Lr^kOcS82~&}U5?dZXPJ2a z5Q{%M0H+u!F^SAdP?=~4A=F`E##q)qE^Y!o& z!7#{klA$jvi zY{(y?7OVhHtQ2j?Dii5Mf+q^<-yrR_O5v;BCtZr;W-F%ISq&tvfo82q$7$F)fLL$h zE99i8bsH$79Vv`9CjRLZBmOB&FRd(z(4RD|+i2pO1)E4($5U|f(=c7>zj$gQU9#uv zVc84IE1SPIi?X)`#$~H0d)sKT=bA1m9psRCu{+ME+tN4)pbo2B?|`SBCLJ*py8vRh zg5W2#G*J}U6Z0tT0ZFuA$?k1dmfgutb4#P)<5-a!>udjv((jtrNdk*thyeSs*Q5q3 z_c9QCpO#CzS5b`pl!e>cv(J8OP&mY9EI6t}-=On9tc~0mS%6V@C<+l{dPLPImvQf+ zmGAO6E!=qKDnb#oVc3jlA6Y%jsF=N9?lh*y^5+@ncW9Lnh1%JaQu%7y9csgTJOARHvxC9Vq6$IH( zU(QkVMNDk<7jUUi(&V>rBNK6*r`hVn%8*$u&Vq;;KwCsE}PU6eaX^R zo4BANmfWcMS1G&{Q*>PeUM6}{y`}e(eO8w4>pG2>hZOa`p^KM1Y1)|&7}Z7NB~K!z zYu-!hv3ZYeyM)U27;lPdcngN*wm9wGF_F%)ySWY+_eyDiy=001Pr?MHx4b3Z)jx=ho0pcI!|X_e&08%4m1-wS3AXSvbRzl_^kS2h;rc@J z!@k1DQv*OcXv!iRT{Ftba&rR2H$Z^>XrKx;xGfVylTTfy*@ z(nm)&AH4q%q9CY?!kNm4Ae>?r#Gibe6V_rz#nd$6OsIInX?!S_n5Tx}8F_T25axLr zNx2bmj^nwMSdPZDG;@KrDyK3%rSnlWSW*uSlRKvO(byqKr;Jbr64SW3=1iM%)p1@y zVcL&OquQxAc``wM7^1J3iiZ_ER2sgPQB?4s(K3q)p4o@A=JsAPm2KMMNvp%rJz)egZFXHgKQNxD-mJ1s$*VU zR5mMowYaEkHciL;%Vfq6vSVHXK2ZWbQ4*d?`KYCxlt}|XlvWV*K}jk@@g6WqW3DaYDo67kN-FEP@)XyWr&V0Ig>h%*8qu|l?ULO+6Jo62@f-gRuP&?dYD}qAj;uo251JN>ct_6 zWfdRiRS@E;Dl%KoJXG4#$FrfbB*4`~)qsjgmg?fXU4!PB^W38zbIet_KHNK&xmKJ> zG`uxw<{F0_2Oq6xEg#i!mzrG6eOg<~2o=UiG z0nXHeroNul4f|r5T@lNC)&h z6)Y)vEas3bUbaKmO>^d0S@)Z}FkD@{TAW}ca`AGS9@Tj;LGedg=vtnR`O766tIW0t zLGO;-s=6$2Z4aM56qKd?YAG7vRv>w;MFZT1ws0!``oqJiIYVM+)m<^AS;iIZaz^$G zAJ%rkqKDS>MeuK2%iE$(VG`E{(9Fgu(^bD^H+=vCRT&c`w)Ii94N~pGM6T_$w5zS+ zC$%R@7Eeve6AL!H@;%$wWUFYB#Z%hKSq0s#uUM20KB_5b)gZ+Lx*dI-^SL6{PO6@6 zXHlBEz*ifJo^Dr7PuDe7YgN#l7=F;R+w$zfHS)(PW3nveJ@lkIu9BhYiJWXIa#D|e zH}kZIRY0cTy~H8u4dg?bIHw`$L-VjWhhO*puzJeAJF)Tyd#ZkQUm&p`G%Z1Nmf#fF zpRxcEGvZ=CTAnGD;Tk}jzz4#|TLD0OXf}AO<>ZyXBG@26fc+TkqngiGYGM$4h?a?I ztw`Nal8HH{ZyjpFHtOa z@_1F7{)=cpe#MzYwCNKxZ930%%xya7s_gx;^VulGeqX{^TqAS=lBV-nJzlJ2#}Zfs zn+OQH!P9ncHCVX}BKU8VU5ecOxBr>1*ALkW>{ur-=f-s!xf<~I$F5OtmwwICxSD@h z!v34_mYdTq1<%t=2sgP4OWo%9$!Gi!dW}&HWq7-Whnt%5pnT^U)LA&>d*l z1kDO)5}DMJJImH~K>7@5W&}$?u|a&jzl}5#9f}KJKpA%)<$rat=_$QHAMXu8Z#$W}0HPtT?*3%+{qI zPgpV^C>jXjwE&(LqMXd3ZOI`RB3J~?Vm+`+0Ai`~@dMtfDL>U9mw}Q+oLs`TmX`}E zhpD9hi2HWlU{4<_NyTY}C{8PZ6RSjVTJ7WRSZNLVO-A?Ei}@L4)HJs7TP@g4lAxef zCkicnl=?_+L-ml71FH3~XME9d`>IJJewbAC>&3g)60R4o1+v#cvmTlaC^tiBb}bSh zhJncZ2~Qi*I1>|4BN!ss1kGkWuv-9PtMc)a=xT4HxZ2wV3Eko2oNE=6Xz$cDDGZ0t zM?kX+sM(FoBWA*m0*F069Kjh>Iy3Ck|C7jt#e`3Wk^SRcvnt( zYwd(+M^6HaA)MXGj-GNmEuY3!2EmT9?ag2vc67aq9nC_rqwh#|^bC-F7MgR={DtB; zhGs|41H?GxJnn*zj>PE*h6pY~b4d^EWq_!teEbd?fGZROa8*$GYd+5T$`RLfU3T;a z&~Ou)@c?`e3Asff1QVw#L8q9(T?slxT(@bK=nj1R7XX+9%`0!U9P$!a1VaSakGnpq z`RAnqkD2T5X_=T`l?{RWBolMA3Co3kImx=Ct zv4=i7B2EzO5rF*$PcOYyVb!@XQBkJHBreo~oIfQ~{6{4+pD3Mp3Qy0F3nZU;s<27@ z+{gI{9Mko`pouIB>Pz_e-_X4BA$#qweVl`Nu?T_^%!^q6QQcB`BbxWO@YTuUmdZOH zt*k>_E?2!;i*mUyGm}eq?~?;a-UCU~fuvc`{EOn{nuK4rNCyZ+Fc+S_tHR2)7{Nb~ z1oKOvnAARQ9)L9eKkhRCHJ{+=UmqR$|B-8$#s4$Feo=*06{)WvQfVrLGmmh#AmWJi z8%?OO_`rAgI3TcinN=x3aWt9*arB1we;38kWY*f@bXI2N z%0yB#VG}j96DtakGhgXzuz%!Q#anU&b)rX9c0VixW$(1%U6#hxf`23w3s6cb2%D(t zI8QpqUf7Iu(3A_pT^DY_w#CH7+Vs^I7D#XX|#M(0jYMCs9jzz+(Hxsa;VQov@CKL+`{ko1& zEbI@^m=NekDrkN(gYFP>B%}riyhwpq5}L?2Gtvl_4)19!n$0fcu=^fqUF@Dl&im?@ zPW1g4S&UU4oYUcZ?p@7cD;6k9XO8nO<4R8-X&1^X5v=s0U}XTNW)ubMXEW(Yd+Sw} zi=Sti@7e>dMQpbRO)1J^Rs4#vSe5<*p6#{2@8otrTDvwQKS797ZN{~p-h7b7WM&fC zn;AHpMPzSQv%AfQYyhy9awhP2XGD^A-9u~-nw-2?Ex>M9ZoI`6K;db>(fN93OO?`Azs#JHc>~w|XO%l(Ub5tcCKQ@5w1ts0 z7a3C=Z3Lr}4`B0~b>ulJ01ySu96>s?qlGB`3)cB4Flj_C-?1O8mcn3FpQLFLoFd0( zE2}^RxNKUx#*=hJ>h1`GKX6!p8dx)p|bY++fma*W}941V4Q5z zc8?!eswk3FFN(tmY^UhOw%~7#XVeR^t*rGv^wx>Z_7ge3#4Ln8UoZg+d+Y=efh|#s z4P~2H83fB}V6uBekR8v*NyR!!2tEQ9>)=`HS@QjoG}+#T2d4l~3aBrwhx(5IiwQmv zOD?274vBKhKwo%fPL^x5ERFhdK=W?+czJlLVAfHU1;MZ+OhtgLq^IYV0iufX@ldo$ zRVg;9nlNtF;a9}2gQrWI*H~F~m>O~S%A!22nbNW*HN`2e7I31rIK|a5lOlJ8I~gl&wBA5Nav=y_ZHCusAnhadSN4%;g&=rubPeNvPY+`rnWs`$9L9Pug7w8= zY~a>YX$S!O2}e&n!;kHC@9M=P^xl^*GMBV=o{#?DDA#Spe3 z`8+(Ye(mfv#M&%;NL>U&EF$436C~{}GUy>RZGrN3Xy-|F2E?L7?P0Jmv03*hD=RP5 z!DXP(qkx(KriE9S$+3(E*~rHNNJ_&C=4K{C3V z)d~nnU{5Hn5IQzhQ8Z%}ACig})(h&PC0-{L52GhZygKv`jBfEDj^f3uACcTJte2!D z&c&Yea^0#y%h+2qynTRMr^Q|1zGkgMAwJQ>k|{kC&3RA9iWQdC{_H3Aw?FiEfH=_% zG`oux8w3F7DXu@ydp6`$m2(BdZrFXV{e83A#BCnWdo~y-8UoEwXojJhT%aw9BLD*H z23>`wF)F!hAtDJZf+2!C@YG5bR?bKSA5PMp?MuZHFuG3N+}1e5N=8_YX9RR+B=V11 z3JJilmeMGI9gSu^Rw*Ra2zx-0PzyEa1A`IMH51-WQAaeU>!8;^}ucbh)Ct*{m`8J zNtvxSnw_sVY!ZwMR^!_2vc=`jK-|40R{U{WTFlu%@B&=aia&AMvU%%igHlMMAX`KQ z0VmF~RaB5|ZWUxZ0K6sa*sRQD+*6=wa$9zXW&YwW33!mJ~u4L@uuC^B82K9RoM%;KFff5-(^Oz9~5nyeV(Wyx-DjnZ6|PFlq}AG>;%Dz$qA z(|{$)6l!sp>?B8=7i-~Vv6Qdss#4?a;fRJXnNJWca(ORsd7r4l``xNAUWo*n-Knr~ z+PE!G2L{{v-)r+v&+vP8_wh7^rmvh7uV?k8tT^B(Yw!+;qVjiB&W^vWt-=SOp9i5i z1kGWb2#vH*(<8_uh^ILUPsb3JSW3$ez>X^je!~TG94b_u0Evx;t(J^itqj*m+ET*@ z-o-AQ!p$C>iiy;p0f?-C0I^Ilk*i0X6*P?1lIZg2^QzZVtqj*WbDU3`%%0YmP+1Jn zU!ul98UV|AQDZL9yy)_?+Gm`9Kc%v$`mb_RUc}8EXs{O8Oq^~mQ=F&S!czZ=*?G?y zE3;jt$=fyfIEp=zaUJC?mc&c#3+hT%t?L!nmEPPSt@8LmU!3+5e(WS^PXXk;n48eQ zThL(5yZJakwBB>bPH0DXQBSvIxJZYas+?BJ6 z@j8UE^-XMsM+C7bdd9lXq!j}%vB%@!4#ar4K%%~)K^|7@uu$2G;ftu)U!kMlM8*DY zc6WM)MgD>bie1%F814FpEyqqSJrOHFM&T*FzboI=JN3P3GB=C< z7b{svyS8E*d}GKC0U7s#C!?={UZv0*AWRB^ov2n@DSk87C0zPvadz{_CkBOiVbMgl zqY5n9!El*&p}((&Vgp8oE5Mhc z*nx#=zO$zZu|0k@QY=$QQEXo1QQBQ(P-!e(Xe6=<`R)&deaa%|*BVf+}O=9?15?>wV7O_lSkS|AY2>n)4 z@w=n~m#`FGbn)UX64u{gW!3bO(L_4AFCQZ8#}O3i6utxsf&~h&;9~@?Z5CM>u9U76 zsLSmUWkL!e$?Zx0XHfcorpR6R*cjrBY0LVqnu4y&}1VCH?J)jr)4#-Bq}p)JYti) ztj-Dj!x7F7O%6SSn-d^%L4#N>qhZNS8QeTDxPPYny63^gTXt60Ga~-`GrxbV5YBMr zr44Q?sg^ue(uJVx zHRc*$?#z~_d&kpDx{Z8nzx}>w&23Zed$cl;hj|(%J4`Gl@-!T{b6H$h6!&$vuCM}B z0SZqIqjz54H9o|4HBYh~KdnD*pIiG{o|vVw$8!qXfFdkJS^}O*q7f=cJ7g$@as?)! zG(43-SYjnp1VaR6p(&>a7HhheS3dsKq(-xPZ4hB*tBAjNHB!V?iDvvN!^evNKoxkZ ziqsQpsa6As>I#AvXu4}qOt)A|wI))J_3qbN8LnD1>Wjj=wSj^<(A3pKeLaAv4-H~@ zg}iS-q5hpfeM5nItVr94Mtx)WcrkBIMiZQPTx-!vU=a)v;3zazg_Wx>mcebN<&lId zQrKMUH6YqiXMr@g)t&YTz4Ck^K}BkBe`Jq`3&g`TubkK0m2#ye@S-@drWHK3_SKPY zsSQB1RSFBFk5=DI+Y>JQ3i8Kzbl?7cB zK50hB^YUfVo^%HKOF(yU?(Kp-A(jWmf{k4P4C^VT!(>seu-esaRjP!jf2)c@Vj0|P z@*&rFttK20V*y`YZ;|2ZroQ_i89w+j8@}$ogm4pH*7(1!ho#4VDd%DTC9mujVh_=O z=?TNvOY{kQ`%;pQTy$`8++@bZ>G|Dpu|qSwZ7|7pRdp0P=BdtRlN+F$6j>6q;e! z6XG~T0K{-;5K96ahY=K?VkB^WZt6eSIA8_o26?_)%Ps5^#cEfNB6>vdaWrd4#%NzP zizXR|s>(Qw(KQa4>Xe-{F~viB;Km1syB&)ehqUFR{gYkGoo80Aa9kzbYJCb;O))lx zC(;X0lIXdsP8%!#ogSN1)6<7F(jB?6qEQ+L%pWfrrC;1Gs(uB4nv_M=%T~?%M|4bX z%NsEG%kL+WGYVv-IACS97Y<^4`myx$oljY)VzEP}0TPnwtM zmT3$xVaB~5wL!57mQPN>*gM|AEDB#l5@rW&V&BW7wyLaxAtQp05m5Y_69U=TIJKUU>%-M zI~ULA4axKADtJD6IN!?Cmb;*AJ8Y52`5M4)h?sNY{9<2HQj4vQ`Y%UPw0}Hz_C336 zU2#wCbS;5lUJA`JXqMv~-WEgJvp+FF; znRfz+C_u0W8avMGv8tJ~uzOLv_rm*~#d&0(<~+g+bCdTJkfh$Y1%SH?*fCOZ?P7wE zV5w~-Q9PFH-mhy%HGRv21w6oqUsS=c*s& z_y^Zl>0!j#B0S zw^OT37?0xS2*}|vcsh>uf>^lx1VEfr5R^heen#04#k%kb8?I!F*;9f6$7=PbY1R(4 z2=xhRIpeFUN>X>?`IU-eU4-jc^&#(Z(Ys@7qr9`Z)D|UxZ<^!9Dpm{7`eY` zO*OGVaA?emxBA|Bnk!yjLOXWGciFBF^zSd=%uRT@fYT9iaBl;|d4NSMm2hzB=&~InWxMo}u5sf=+;zuD^F&?QG166# z%hRzyp#@`GE~(^S296GZ9hy zDrcz%c?a0jIjl#nx=&x*Ee%0)+rKS+;N7A?E5mh{rny*M8w+KRg{BGGoml0$)irmF z^4dL;<`xYe*lV z<6moz!tAdzCySTu&~?+CInud{7xm_)xu>sWg`;)Z%qbo|K`JOqTmM)bg(olyPeo_% zncK~l=KwH;Vq^L2^Q?Wvsde8xv^U#XA!gJL$9-QwRU&5!8*QlFmv>B!ewXEdl@-l> zp(>FtMPB?3y_z9PEasb zl+Aws-y=_whFcOshik5PbP*9I@*{b~tMIfR$Vd!L5ndzu2pt3~!C)nrfE z`9UQ1VK*acGgW;t$mb~+zsBG++Yg1lQJ=}T|JAD`@W37K(2Mj#uCeGee4t7vssQC zW2cYc`=`0Wqk}(8$Tw-C{oH}Ky~i7-IFnm<=`9DjN$1D=sYKCVqI69xk)GZ^%^xb6 zSW=2&9RlK(N}O1J@}umZ9(S*`i zt}auQJ-IBTDU+X;`g}}+k-)B3n3nRPQj6lMxqn01I|I+ir_8Ltik-lUZ19xbPqlc9 zIxK?a0I;0UvcBGd)fTAMM6osZ3iiDl!f$al9*b7ZKz!pc?IkathD?r%% zID&;JP9-RF_cCGbF6rldn79DMsgz{yRwtx1&`<^%?9O5&q%4Jya)NzXE|kAs1ca2= zMMwppVZS%0rXq6FwUVy{7Qre381l6;8rWE{>gKJo;=EMx(>PJ8sJvE{;xy!L$*T75 zE|hRx)p`%@1N@U;IrU+`hL6+kWrG>zm)za}|7XO(cMzcvuZh3!tVYGH(IRTDAg+)_xqpMs$MOP&&~T$bOZe63hItL$IBH zLMPhM7J}{J;~k*Eer!exI#MXu4&VF|-$6kqfvKB-g3dGwy1>UzcyltkBLBA0_Dc{9 z5g;eKslv)N5h1&4S;v!#67yS-%9utAa&*wgSv7XSnD*7B8vTHV(|BSI%~2FCJ8ftYtUn;Y?hIfK40&jy zfZBmtW42qtHHb3toiefQ!&?n@4}S9?!cM504F8?)5%n=WEA#xznOJ3>r)1`JlbhfF zju8n`ehdaKob~1-I|N1j0F9RjHWa}Af~O-sYOt!j90ouU&^YP`Xz&u{e>jDgha9}T z+@A50P%=A&@eqD4yU-*2a&+#8%>v#>I`MLKSgabmA}dBf*G8gjU7+m0jS|e< zMQRLmc}mj+ zjuU;Y>3H%i`dYvEk#_#ecD@t;K>iS0vLz>L{PyhNk7~XiF0=D1P&WaZiO~FpDte9P zMoj{U8z4cG;b{trEzC-;ISvSXva)fy~E@?4@^Jo;zhwox|FVb?!M>RTE4e}a% zb9Q98G>L;kqbv)69v(Eua4n=!fB|I~;f7=^@>30NlTLOBxmatmyQB1GiQCuEjxQzo zF+2h=q$k_WxIZG0?PhSp?V>>9DUxGREk6l@ak&e9dw{_{I4-wnQyzjX2Lw;x>7gpD zoTCW-2W2k9%2Op%{O32DWgSJ<+=pfb^mZkR&O?guK7v_@M|)aU3Brq5SG&$-Xk6Eb zc6lv)^`W?Jyv|Q6{-V}G#xZi(=z3)sH^5UQ+EqUTp^JYCHtLzTb9@~l+(^^Ur|>T3 zYS;u6Y*wW}ySM!AuiJ2Z-0pb+AKU^@Th;e`)M1gjZ3=>CK5Dlg)mL)@r=EjdUWtEfGcRg~S& z`D*lQ;cE2X;NC^kc)3#Hv0BOdpZszpDbc%pJ;XV!?+v}y}^Tiq)Tr{ zn=mfD+zSyy5ACVSRb;_!QiC10IFNTBHE*Fg1auxo*?X^g5_d%G+);S{y=YR8`DvcS zeaCnS06C7^DfqG9X@c$(^N!`DA4l*n3VIUCwF!Tdv(Y#OVn3L#V?N=v37UC5 zM_kuLX6vyeUp&05l-^suF6!D1V8l()kldmzD-%Vv!=t>~-=?>Ux1^)8oc8pxGCtH0zB+vsx5nn&p0L zC?ejEt)W6EdqmYPRDHL}@IH@|X4xx}H4E(^T0~%xC7zjV3?B&k;0vblNM#4Z;KP=O zG-HTYl^+2acm??}4!4(yG~7m@*#|!M1Q0ycBP!1T;yE;kB?0#Q1w~X|LeEd}lK_zq zfk4dPakmSw?dZ`!0O@|yE735#22T7V8iqGC!;m%ckaPEc4pvgex$f@kHVpE0DvIk{ zl6P1n_(O+U{gc~1Wo4Qb$1?}@RnA{Q4Edm1Rsp%Y_mdo8@5gB?(&A~^kY;s#C(6cq z;Q9wqHa_~fJNNwr007}ufO=Oj2bGDJ(srifn7;}ITyS6gC5|&oASn93=n6pd#ZO1k zg0DEKK!aF*g2E1#?-X;9MwnR){?5sA5SN!f$xw!X|AQ%SkPM^0TCyC;tdvl(r{4a0 z^5C%8uX}b~H3b&UW;dVReJ(4^7>`xAaw`FI%4bZPtvGhJGLq2i0bb2tU!O7E{HoFW6z zkP#ZJAeJ9J^q(n&6c(o3OhCxnj18atvUar9k`Fxe%(N*t3w%5+QVvZqWJMt}iH!)B z6%b%QviYmQ$^{!qAV`29p)BYI}6*OdH^+h9_kFr`a#6J`n9a-$)o?Tw4I#josH+^0kgYoZ} zm1coSBXaqU{Sfo?Bu$gx6gfW2_ok79Ie`_qpvmp87Cf&yni+&`w>&VKj>=$GO95b0 zUUjijcg5$eVSM04#@rUq2nBM(pvebKek43IO@}K&rwagBR(P_Z2v;%@I$c5#;eycV zh)#tHU3{~`YJsCOOCeVgmgEdoK316I`PB@+(qctO3fsH;)%y&MzHGg`%i)VtJU?D> zQ=U@4kJ2VN?*QpK4yAGZ%ZC;~q(Ui}_i>9zVvXY9GMPIQB3`|wgSFGlC(NrC6 zHN|)5wRQrl9#3mAp8v7Tm9f&z*_a-t7WVXRP9B*9UPEMRO<+$gk*T%aGPMo>6s9os zNND{NtrCXV{=N3;N`~Bf|Fetdb%COK(A0;f0s6Q_X!CMIfM|re4_2Tt+Rnxl%hg4g zmz%+_Xbc?KW3@B_lhA}_xtgN&MyCLpR!BiITCfj-H3J0LkLLcWuzCOuO)EI3f*Z5J!yqwSb{c&$hQ`Npf$j@K`yw$ zs*Yhv!J%x6>l9wh9kI4^8AGN=?L|H60AGdgIq6YHf2~L$o)nz(l9zCmcCnK`=S*oN z3n$pl6fvD6h-rJX5^@{k&bI}gb)mUSWfXU*D@k15uS?c>s5QAVIk~M;E9|;E20_Y? zZaB%CxbAkxA@59^?h&j9&h+rq-K+*Hw|@xPQ)?bCuZUhR601%R4vcQ`Ai3?zhkz`< zu8yr)+Mz)1G^@AL(?0Oj7gX=Hbn=e6oBngGH_mL5E1p95mFQ6bJOY5Mxrsz;glnDqV2z zHhR$4sp)A4vTy+jzlMqYiU5A45I28^yY+QO08~wiufwaecJ;13VolF;KXf>bOqFT# zNT6sGG^3#zgW6n+HcyTPh&rH&6O_hoQm!jWT&n z0t<9*+ENx!I2nGuXbk_-zldch2>%qCk5v!8TNfJKKAwu+MSWKrh~qjw~&)e zLBdRqNT)G^?=T7|m_zdx8Y{e=OPT^PnB17$HrugTuPeob0U~ExHkVI<^MDmNEbF29 zpgtmUD*zq;iIltUYYkvvsAp;{7nB#R=0%(ocrPDI1HA}i&M`tj6nEJqVUpN3YtY&2{f;QW;HZxP!ihErj4}#(H00_2T$wuND+c<0I*1C{?r4z5g;}x zAO9V>wwW?*Z1Hza(6UvKK*Y6;#x)$W?LYypzjpYmjhjSz+bOEDQ`dUw<6LF|T`h;E z>uMOr%WbM#j>)SSa&BIgC?!3RJ+6}csKCAj~H|m<<@oE15jN|?$3#9 zJ1&Pd`B)`?-oSN~bK<+7t4(}A!9Fjgv3ks3Ye2=@J!U^h;Hfe^2Y{l3 z&>Vv1FzRs+n)N#Z5WT<<9EGQ2Xu+P-EEs|zg5%Jf&;xrCAWkVCUxhx)X^Qnb1NN?P zw*qX=I19gyugtN2T%Qv=EGuEoI7hR7ec-#jq4^8QIFDR=Ogoag01y}bIfAulyDw3w z-zfN;m;IfS5Ul~~ulVZ;9k>cKTtf?j{vQ%@okGYBVcOVab|z#U5OPx&A-8bz#l)$( zjofrCS}cJ@usZ;Te7%cu6szE|R3WiO@ja~_E5PW8Ro8m$w({Boe?p*vJ>!LVv)3p8 z|0-qDAWu(XDz$H=1Mv_>ZxCTlx;0_9Z>i?VZL51H>9iu%W%tX7AVYPZn7L@M)o|xy z%-l5CzIW!;m^sPIP3%&W^lT?s+kB!2gx=;OczTTD7t4LcemoH;kf-o|gt&Ts#-?tX zLo_U`CxzVa zIIwoiy6Y)yb+V2XxfJJ2S?a1kS{d$FBzJammArEsElX*8p1JnW1?hL_+lh_S=#BIA z=!MDr)7RqYfn~M)BaYr1xBlr{02oKG1%qa@;%-NiFe_>qn@q5xv3C~V+_x@$l={eq zh=6z{2UP1}J<+oJ_EnQc*tKmzkKzFk0~d`egULv(-oNRS02VT zVwBB1ZmC@)R!EK!4NHKGTjd)u{y={KG&mxs(W8q;;iX03Pyi7piB|9k&k@8YA#>dbFvI9@mXGf=b+Kz^*T#S&K#e~4oX_UodhJ7jb zP3WA`wx!dfMX!b)`p?4c69GjtV6<<6z9mM@xlD815eyN`f~V_dHCQ<_F2M)ynKV4| znI1hx=B}K(>H8QHIIosr{n#n*>qu+cz-~Y*0E8{|P z7u8hX=i-)!pB%g0Ax{Bx&I5`UL-PO)^KIJTB3LjWxX1+~HmrPb5j>@?QHxXPjS`AW z{!FjHTIjR6M*M0X!jx__*lTyH!*bz324iq5hN_039xb5=hZv>06h!4r`fHztc06Nc z2vfNThkBZ2LBxIvaK>^I1~9cN7PLBP0t9C-EsXaPaYd6ZK&uJ_mr3jEnu~lcomD(x z>ia*UA9NV;6&_#8$Zof#7x|L`_>(a};Lpzi?wnyP8oGku4D$kA+!>DV)){``;tU@l zIm2B^&TuB^XJ%-!K$8_Mz)IS_Vm5%t4$W$iroVA&h;=JO^{b!`Vbz8`o0t8w-SP(9 zn1U%f7$%JQU}u*_a?sqEobd5ACQe2!RLFm5whzH_1K2uv+Gv8FyTZ!H`!xoh`DjdR zYZWf$A(=>i@X9ZcrZUxKms%(j+khvT^7^gaEw^3o&Ag|1q0gbnstvS(#v|uDy(U-T+f*ucWms^!P=0Jae9 zp<(pS>$}E>*vdGrXFspGJ@x2kdo}D~?|L6T{aWPu2Ezt+$uYxmy!7bC9(GJhx#VaC zvbTe%+2E-}fR1bRB>@66#3KSD)|p#HDRb;2)O#z1YBFx&in#|OtqfObnmsH76zl+i z-O%`9ajLB}B}Xtsfc+>NpbD$5Jv$X2zFYudSAE&pXTLQl9AcY0V(YP!OHWvps|w?1 zCNs3kcw~2Q_r&;@4<3_+2|c0;&1Adj>>s-CcG^{6`e$)RqL_t66Wxv~uw;j{tH_*P z(3FR+R=}=CQS8|s!JbtNa88_xSStmno~Sr(gouh&1wI}nnzgC{T4ALt!)m^>rwOrD ze7^-5*D4`noV2Hhl}<*(lLZAL1tmpnd=SZiyp9lOHp=QiqYuR`8PJM5h%*}!S)m1F}g|^Ma5YyVwj{_hW zNl?fJQ2fAP!R{r+UyevPhN}+E?j3}8>jDKxOFdNRt2EnnNO`S3NkDm+?Cmo@T}!b3 zf_1{E+AJfrY`zk<28$POk+A*_xtrDiI)Pe?3~d;os<6^oH#w|A`kOVp(j!WD8^x)+ zZli()M+f&?7D|?hv4%ZD$m1 z>qyeJ=xXc|=dyV|wB|0Vb?osi*<-Sz)R+*5!@ zku)15x)UWtaSa{_5+v-x;qG?0!y!qq;KAM9-6g>Z5H@hQU68{ixI4iiFIBTW+1||T z*5u#&-v7R@Z}Qmct}gHD?rI@7*o|JYYq8$E(D#8kU*dz7zGj0Kb}_YDGjUE9Gn%0= zL>OizVVuG!Qxz!NBjGS9=S-22>34qA3xObEv(F|awaMN&MC_{{O{V(8;MX!TGzOp* zE=H3n1RDrogP@5(nKFe{mZ_q^iNWS%3g{sQ+e0Y9HWMkA)ngNz95}8mtQ(rV{5)c2 zli@(-oZXkzxgTY-C-7sr-wU)$=bRaMc*SDlBP=`oejR>%^IgX5m zp~pZ2PiI&X@b`sYG|qD1l=Re>Dlc}=YFpc*aK;XB#mqE`GICEzsJxifo{woEtR1fW5uZXe97rriLF6t;~-gnNwEc zTPZw0CIMw9^Zc0NM7kBXxc-Dq69=a3u~@u;lE&@Cses)yX#N1!32SxCv#QQHxt=%m&-A>qE}c6TFhG0roYA<`zG z%vn>Uri20ZwS(j{yA zc0HqS*)V5xcy}}Ikvp9*f?ZlrnD7di=1Z9MO88oZ0%Q78vI6XCfLJ4SP!HL)mLf2X zfI&?=))GVObsY1suk|!`ZGgdF0l)`n+M@eyNDG4yY$J4l^VozlH-%MpFq;8ri#bNW zmKeR2#OQa^pW<4RRrWpA32uU$c{_k(bh1p$dc9Sd(Qjb7_%8M~z}wZ|(Ys;rw;354dyrWzX^cj&y#V$ezS^LWn8GSE zx&>H+{N^$i?<7X=OB172)fBSGXw(Fp>3*1V3^Mv7C3k!Pm^In((w&e9A!&ythD!}d zg@dLcsa!9g0IpshLobJ*Pv3*@w@n=IDn_Uey~N6{;tumsh8}SL$gkq!%&y`XRoM&b znrmxNeW<@rIWwR@*ryP?eF2n?0CGo>u%_?yD8T-W$ww#FL1&b~;}i`#!5PPH_QqEv zu&h|{}tEWs}4xJQ;BZo25i77mx->oQ^- zK`H2%9^Ne(dd%<=*A>8j@$Y5gOI+mv<9}%;_%{rG6$Z!0oUh4YV3DoQ9-Jwe7~-wF zo@pCC&oy$l_&gUaH+_t~=_XIqusntQPPF$<{q~odWtFY1m6y3n)z_}`2JZ$g;`wFO zEsM+C+W-J4TiTGhsmXtA-$yUq5^dt{?p=+73*`-&T87&$*Tvf|#b;4&ySQbg9O}6P zXxoE5y^HH~l%P2&TJJ?iuvE(5oH&*Yds4wgHie_;8GD~%2_SdbyWU&8{Vm6P@Kbqct zq&{HR=Nnm@3^9HHuxzH~hU;B+88hnq;|GK&5KP0p*gPM|$8=Df`XhPYBd?xJ_nAq* zQn#a9i?U`4ayi2gC@PO}O|3>so&v-(Xt0-&$fGZm7X-#|LF#jm61Q13HvEiSqZLMg zl)Rux3EF8FTZYC<#C|j_WJNIS024;z?B!sUt->fk^Od=^iL0b9uhocQ_R`{!z+=wd z7LEkI@GA>q7!^6}9f+YeaMBeJL*o=Jd&JI3dcaPBBUd<|>uzf-hDe_`X%WL!4aJA- za>R)b*{SjpnXTp}3}>AbxAflfZsHvXMRxuo)O#mOTY4V=Dzhyi8(QME9eE7#P~~A9&tRpYXXnKKGZ#*Fio4MxUTbgyyr8ii(V1FouQ32e>~CJ-|0g zFg=UY?e8F0fv(qb_3;kZ3R6L8e$aILCk*ZjgX23Pa}a}>G~Gt9U$_*2<~PpV6jqs) z2$^I~x2H*c*=Q)C_Ll47^CRh=iLt1v^8z+fJsnwsr_*o;!a;S}gC!#A(re@vgBdiO z&}U5k6CYnO5_(&Wxy2xx6N|5<9S!{h#ZWweV1+;qGQpQ8lCCpt6A8hv zgY}?unbp8<00=K>@O2nBdTR)t(T6kCz8a~7?OLs{7JBv5kUV1%7`HGqYZ&$#p$u&U z${!HN!LWmkbnFq|Q1*Ce6zAoUCRu3MX9WxdI536t*o+vMK94Ai!FCukYk&cRY#cT5 z(Z%dE3~~SlMQs@x&+x_dZM4V&!LS3QWKNA7tg;0{$XpsTkwq~{`E#55Sx$*%c}Tu= z%0<|L_ti}-S@vW6x?O2~JWzoufk2hKFt>craTyHEir#h!Q<4g=N8@|02& zCInkO&Q-crS&om}$^!u_@NruREzbQp)59yYk)PF`eYdDWY``?-(U~Dq5@6Q(|CC49 zTAPg}l&W@DZ$EhYSRf3x`J%+bEO4w188fwwX(foiSr`wzgV z5-4UB_^OJUJ%E<|tOgL(r4G)b$*)22TQxZwc){K{`-y$kqQx<2jVdGd(8MDKFKPRX z2!Bx_0jtqwF-Q6O%ZtR^jRE78EM%R9w%!ozreH$>lR`M*KA zink6qbvSY7!g76L4gZia7P&PsJS0b#DBPYh;?~&viwxX)I{a5s(u6q&6u5PR3+d|G z8guIkaI3LAx6Bityc^O4NZu5h7#7z!2@PoP(5#d;KAMptw2t#c55SN!&2G_l-_^K_ zCRTPAB^4iNYR;=o3!rFA-d(iPkm|yH+I4i|^-g|P%Wf%g{!hTJH8jmYb?%|+w4qq@ z`>FHY%ZJr(bGSl`R_v=S&6-bx-!`{pXtYDc-$UE+yeF*=+EXlY?f(0BlhhR4TS8L0JOu=ohM6(2_FWaXnBWlAZKs}oPI&Y&}0 zcoWmrVnVnZ0CXZ8?O-v?_RL}o^JajhdM#fDxlUb`?`~9_Zr1GPYsP7>7M_}KZqNb? z^$CVnT1>cW+a1vEp<#hSPc#*sP5itiU0Rt{)WZFRBZ{0%yqls+q+(1lv*Qv2j;Yh7 zZr0u{2vR!t^A|51y?{y@{@hP*nlA0nbg*_lBVA&)D$Tnqme>;PBAU4Imqin2I-uD^ zUHaPK9d|2QUQ_#-VtLin&$qTo3=URcV;`Q4eSwXkypD&_*vLx3u;aid2f`)eSgRM= z`wC%WUvT2KV7kLmGuu**5V|=ShY`Q=9MB8jo4=pT&_C(R<_5}zz*j&y%LJ9|mnETlvX?Z+UTnHp9BC5K)#%o-iox4PI=+wVm z^6%Ou{~}|}7B)7SVI1d-eFk*whiZag)V z=N z-*b-fKQTOH6zkrtzpNC4 zA;bB%^H*!dzQ&kXA%ZP)0=t|Lws7Br1GBZFeIILT%jE4qxMT;$krD!D^V!Uas_w6A zVOREWz90AWf9!sbiso7K?2DD9YZMB6Fl*)Rx%r-HKfehc)%W+KWMx_1VrHK9&9cQh z*?A0c@h!AY<>F?z)lb+dZ3B$wr6K?pHxqchm`L;FU2=(3>ef}XN4w*rHjWLlz9%1r zM_QplpA>E%dL!9gyZ8vaUv5o=I8I=m>l!>lSlR*OpES!76444xZlZN>L%*%`RRP(YJGY(?BD-vo2m&|pqY3M7nnd`5--mj-87^qWzP8Y?---ff%h1?{ z*iXtx(-{E64v-7m?1JvQD~L{1{D#5dz5o;)xosrcZ#p$36`tgXi>6et0F9_E`2dT_;=?FW7ROJ| zg0g7o_h&sshO|3S6B(k)jcv5{8dA}XUFO}`6(DjO-i`fh(T!cj$co~|Slz&h_3oC* z?Di<-4FM(1PGf1jbl}0R0b19gxq)J1T9;nwl?)J$2_JWmxOfTchirh_3=m&GR z+p);NEsdHZ`r9z%gXT6&;toz?I4#)i48z^kunt{=vyV{JO%+uE7QtQtMfSi~9|t*DSz5jZpf}KD z$Y_R^yQMYhTM8|!8)#YHKuak{Y5qFq(y-XjOh{9G%h<$#*7bdZjA;4OnM_NRMkK{M znA&@!>aUw7_t1Ef1MJJjE^61j?m{f4VTKBlvPVQsIMU=_-AB=A*k@^U$!5k0YgNk!qP+w7%bQor~u_;Oi$EEHtR2(Om$^U0#m*FpX;~-iq^aGJfC&-CZdVv$E49S1uct#?XQ80Ix zph_c=mUbg{akNyNqOHI*Za*7%2qu5GYDGy7l!(-SYYBp4AuZZoOWb;scn?XQ;_7iCOwbd4VoDq)a4i zTH4gi75>Xd!bbA$%mkQV6D^C4n#JRJD<4Xhdqh&vSbBogoTn@Wi*}!qG=Gf0ZvFLH zPsjfrt6z#Mr6X3)Xl4eC{s9s8f-i3Ytp6=qW)Z=#10QI7)xi1zgugWSbo4q|DEgCC z;5IJtFSd-tzOpOmk3ykG0Evet2Vj#EjmCJ|GBOuH!C(Prh6)PC7+_z8Xc!cR!7te|G>V`Q5O2yOSWy5&N*0rc zmA5pPCA%Idn2C@tO9rVp$sn=2@*4fvL2YL|)%Wi{>-8}0ah0!)DNPu!@!>^-E4amq z?0R8(ELAoO&9Q3Ux|7_B(ktO58Zc(dA@#;VxG^lL5(;^uUo|edlwDC z@?;siT!3nhCpV z7ux7|mOsKD>+^qhF5PAOF}>&VjyRL(I$k&vedWP8lVk=jimr|&ES>Bc99e3ZiSms_ zR_#%^1#uIr_$Xe*EF&8X2J^vSS)j%ZJ{T+~m|I`4yN$2v@pH0t;XL*46&O?=P`ZQL zNAMLQ$nD@Lz~03@9{|%rqmzXd2~mkBggu-LyvGxwvS9YS=vxWL`?!&e3U-*1g4x6= z*n3-J1=|2&*&nJDY@;-06_Qx)UnA~R6#ZmU2T)lo?`p*w!$xAM>OB){Zw41vs&7`6 zXKOWJPj!J~YYl;P;4?0AM$>hH|9!Q@nt+9X+Ou2rihPWzG7d^Xc*x1Q7{mf=7L;}90hK^l(^Y~#LZ$I z#Vs~=OU>dfX=834kRllK`*-A8J1t?pt)Ri((nCo5KPj|t&2eAvV7y0)eYK&{9=!qj z1w^B*AnOtomuzVJ+nEb7-$@K=FA$Qjj6eT*ZC~>o{GZD4ezLOfC|*+O+Fu9WcXR|6 zcH(_UXTj1AY!?9dWNY~l=}fp9INe(pIPdQ}@cbxyqr%K)Llv=Vd*ns2YI~7sl&bCP zd?<%Ve_*x_%F=y?ual@d7b(HaFFs{wXPjfgzPic=GipTOi?v0dtkPxpZoCL|$JH)> z8NP==c_euj-jMRv?Y|@5kg8>}{UO8MufLPII#qwkD+&Lbr}y1t?z&sxZVfMS1Ij#i zU%?b!Lh}_kat^usn!?=+U<;4Ch}$kgPtH!Bg%1CsS;lWLE?9pNmtMI1&P1#Gb526E zH_0oN^ZnAJafG*YJ55UTQ7W7aOG!J*&jghO)#Wmu0DT?;t1OS~jw`rNqj-?U$ zlBWBtJ!DzEb>lwQEmhoQTdL`r4XIM5D{sQZ6mAMFE$041L1n_ANdg*OMNtc9$f=^8Y)Ad>e{jZz7s9OMH~oGI+o&|prfP_l>6R(5?PogJ#m3^7Y#@lw+NVupC`R>R9j@Snqc@$nOYfMhfKG!;u{Suo5w&m9Pa4#f_pF zMKpzIo1Fod7j(1COVAt6EI$W%8co9hqXic`hQ=7AfoTk)RIc2Pg&XHt^pF}>*#=W_2CvZX4&0p zW|`&KlrB||re zv@Y#8uf!iLz8U>5*H19*G~ zd->@=exGXa*9&mO=;F;48hO z9IUb!AmlQ0aqCa%=*M!BKV^@!h*vEi>T@h>QFiw!>ctWB56@(`GbT7bL$ern8~&$xcPTv#0#4b^_|>A6jkYaZY%41@nEGp<|}!9Uj-~%&FlLbi`l8Q0Faxa@8y;} zk4c!}W3{r^N|L({Fj^1I252^-&gS9uP7OoyTX}U-JoV*p3zQq>_q$s_!<5_Mgv6c# zNzGGk6VPijG+UtAiuNLaW+}G;L_yG%?eG^}S}hsPMdV#~B*U;BBbS0giptt>NT)ST0b0csScsN{>=*?gAV@X@^v zF6r+#?uIttVq>oCfVIwPjRkT}gC-K1*~p|KG{HImvnd8(2jS}w3RV`Ha3C0V5DU#= zHL!Ysh?55QMx2jO1nVd#Sbqz~`D-t5#K&aw*NRV6!0-^(3&#PQ6F7-z+8M8t0C7rS z9r)oSZc-ki2oTrd6rz2NfUNFW0`}&85K|Bmz z!j7SF5$OkyA`<9_VAugt@{%m9vL+(rM00mSFG-ZRY%W*|k}|kgNWoIQlND=UnChpC zFf1pndszKnm{v(3O&MqkVr-a~Mo|RA4hq6b;i@19t4vXZyk?G6S0##GCy{Dpx_fIZ4#gM_2kd_j(F>q^cT}l;E+%0Ju)8ENU-+6cvFK zFX8JI(lS5I*&*0#0DA+?TQ#s4eC?73FNJ&{MPTnZKD_55u#&)s4>Su8MURC30Pqpe z_=MQkHpO1y2@px25=lJ7ia-87x+aAh4j2)4U3>kYg*UdjGyi`D2VecTK`|-KI!}Dm z06S-azQy$6*==6EMvU~+1?AdtC~?c~6s*jz5Z;Bx23HbY>gzkgrF zunzvV&B@Rc#*|aSd&VYl_@)IWwD0=-a0=c^9QzD>ssU`P3r$UwnTj+If?(LeL-_K? zCvi<-l|2Z84|g=IPW!&mO51A}Q;1L5r?`Frs=XXkR_m`Y z(^`P^H~9LF!unxUrS< zSV4+!v^Y9*VT%(GxgcNXLgQ>HZ!G{+W6GkLJ$n9MKjiWQF9ZTwzu;>L3cX4GSPes( zTYDoRP-#2Ig=dH>%)!l>V~D%6rNp8K05m6TvmYtZ;#?;;f8D1rkFr702U1fQ5b?@2Y%4_tAWh|5Lun33I{+d}@YsJ0_(PXZ$Gb43Jfa-#B zQ-l&2@ECN(^tg2kJiTfGy=wE~Rfi^C8!x_`-mg-8d$VT2vSrXx~wI&GbZU|1&x>DmcB zvni}{z}6WC?BZ-DZwx((;e1zfKkF#{teZ38WU${II8^4VI}|M5xE$+T@A*ZzDX{Tjj~;-&@jOr|C_=bQS0=Q z*5Usm4;e9M%Pg&dIX`1c?6a>E6QfA5NFdl;pw%e&8jZy6LJR*8Yz%;ng=U-@*zo`{ zK^lAuYSBarqb6}N&tzxgmnSv@qo&Y8$Eh&*JQy6`hMA^D%vMRS$zTWD#Z&_2-Hg4Q zm`zWaOT1R>YX%Mb`S9DBFojvr%vOW_93(q5*vk&YejbJP^Euk@!MUtjryuy@f>!Kn z0S$Y6Kxu&;Lt`Ogznd0lBG_{2J*7pmu*!kvE)bE$<|4?2k}Y3i@n>j-mr@kH$#dP6 zzFmE!H-D&C(8i*Bg*Sg9{Wgxdsr2SgiWOEM8>UhBLxY+5W$5yTWh5|tF@&Xi2V|)K zQ2(!9%+AltUv7F+yG|kE7Q=F9${q$wg{E@wYNFZYR*q&%DdneD@X_o_pxG)unq5tc zW?A`IR@wN!dV3eE6Jz~eEZDpT^9;FawM+k;aWpaDkQshtoVsHD&WlH*A`SIik}iw&T+DB1@AjqC zaoGU#+z8DkXf~r-uAxP-k6}x59jG*33*3Sn52N{C1j7!t!noViz-|YKi_+jHG02Lb zyet#N`HyJ$i`B&xPJk)dLGvFQVBGc4>;znPA=O^dyx(qs*yGGPID_7AFU9-CbG~~Y zVE=W5VYPl5u-~sL+Y$pf9DoL=a}E(XNI~QfN5+ee#)zB+L}FDTau{XMj$xxmS@?(M zjS(ykz>uy-kQ1h`%36CKusv$d%^r~m^0&F4>7}0?qj=*&!o@w4k9g~P-x7;Co$3VJ zB`5WsU1w&A8(QStzhJh%l0j82)oa2EWCZ+o0aX30cfK0ZXa4AeYDQ=!u^_!bx(0t(>)O5Zga z(-90iz(wm2dNna;%wv!F+@uklT zGPrw9yZg2-uu~D_aUf<#C#{eUA_zMdO5*gNyW;d%83~I!qxOL31v@5V z4ls(Kp)nW1u!DH`azW8Fg;i!Qg1)TbH!8H{{Jg;Yn@!0ReBt^n(X%)L%o-?+ON&-Mn) zJ?`VLD-qB4YQ+vcNV8?}4Ld++@yssbnaPD|QDzjW$&~vqUYxb_1pIS+cwEw-?H(&-$Fe`dls?>vOx1 zPQNmqvCG#1v7qh8l`ltFIEtl)UN5Y^5LGJGmWQWTULaLIo?iJ~EG0N@2>A?nn+ zZQXBkeE;*(j0FIr*P!wR;j0k3nT@nP9t6V<3PV#w4Qx?>C?*YF01bYi3&G$Q=Uh|? zjF{MYR_rUtMa{-W0Wec<04@)FUBx@T#PTo*764%H;H#*U46LPHh9SjtSdoMkzZr6) zGFJdBwe}3L&zvDcp9cD8H9O?mRn&3U@Czp|_G>>@Z+Gzh#$QQEZ?#T#LG?$zTw3Ty za-37fr-#kd@n4iZZ%G%1eku4WjZFMNi51FljQ9w#0;`ZBb{MRR5$^${EZ|TMn)2wj zOc9X}H)g}L8y8Zlx@5`N3~8u17LHH>nnW121Ts!TIhzy0P5Qf?amEt+s;FwxTEGF% zCVmFslBfnciW=~UlmbWWiuaA)XvMxN(c+#jQruISgyMxG-o~$iE072IWMdT<(t(b=hx)85amq{AtzPxs6J9>h8x$k$6$;D?esCdv z7*+KBW$g^P9DNmCVi?5ezX*B6q_GEXADrZ`yX`(UTZfYuw5yyT&^%`5#P^Lc8D=FN zcw8*U!3(P~Q_R6>JMhhi}P;9~WFt@ZX+SbImClP7tFF`^vtV)PX|1}7!xL9*SaK{{d69+qXMm<5 z%&8Iba4#im)0i{9w)VznRIt}3@?Jn*i`gu_{~nFAl!_KB<_093?NN%51wNE#V4dxa z5w8UzAzWJ%8sfB1EB4it<~3?c8JcD!M!EzyO4Mif*V&KRd*=L_bJ7$^_vWQ%an)Eg zM| zmvi;64{PqerNx>^H&OTltHJ#W4-1=M?APX<7hWO7%cS1$)k^LjsYR7O(d=4+yN|yv zAwKIZI3A^{6o$=a@!EtUig>}bHITOrG;PsG-lW*(cARa_3iCbbOh1tP{(X%6bbwZ3uM%kA$%AH+|F>V6kQI|AfoF;wBYHfWA zQaaJwmA9MlM5fS`RH;!nwGuGyLV(zPfkro?7ylKowjnE{^gQ`Up86XhYY@pBvQe~5`n8sA>wfE( z!UpM5vz6-_j>c54J+nw-P{u3JHh&QeJ17EQ12A?-1uHK#9i*R)rI>@7(141KZ6(vG;avPAd9Od3Nvq-Jy-&f8TSczeb;N^y}TD>P^uanF8lbk&)?l zeoQt6v(F|awaMN&L~*JEo!BhL!F*eL)J1RY>65oq>DQg&8vCI77Bg{d%!2@Pw`&eNt-h%g9{nP%d6 zM0J?XtHXMzoLP)FMl(ppXj$d4PnLf?t&LdFuJE_xX5I*&2~#WqL(GD&*|M>s(mh9j zz;~jsgW*mxB|hcJGnb1qBf&3cTKRVG_G8DiVqf!Uei?a#T$+!#xX3a{aS4K)Sm{NSBe*SHO?T|+Q|T!pjMGXD`5)3_JmEpC;R@$mZg}#?m@@I-QBxB)rX&s zJF}|l39DZNTm=}dhGq>kYmvNV(@x$HE0edRQvHy1ylsI>WLQkw_!gjxrA=5oYZ5}? z$H<0v_U)?C0D?*HTvPN^Uoe3yTeakrr(RX!Azo$0M2nLon=M5x{Peg_Xav z%PlMxI2k*sKcLsmGy}E;2Hy&e4xnbD37tfF)^;0bLT7Ur%z)W!r(sYD#zjkxxJ0?g z)tFMSjx(i9X0$5n7~-~MZQrhE^er3aj1KR9gk~LgeaKmQg4b!~w8sv>zY0vJ1~f}C zx-zW;tN@E(JE4QAOp0V`2rFND2gu##97$DaCAWt}Dw}cQj?MR_BB_?*HvJJ=hG^iW zg|YqTEL0}dUfh9!IZtDPpW1UP%w)Pmm3^w1&StWt$*n+#t%qm_3{z%8P=cBeIWjR3 zgsBI#}gIE^i&n zQD+^Ph{c$Dj?s#J9j2KGJq%tK02)BE2{BkpQ!E6-4safEE^@HSiiO}u%qdnqNwJPn z)TjUOF*LUgY0D9$K6Y>%U{A=x%1S~bZcTL(~tihxc$b&|TxBc5p%wl}& z-;jfwnJg@BDYySkHbo}~vHWv~CDN^bd33>=in4v1f^JI#x)%)So@7fD*BT(pZz?oy z2r~Z0s5Y2i3YwAA!VJPc))?J-c^JCB2j6d-IN()`s^wriE2mydC|A zj-u|6DnFdybf;UKS$VCoT+5Gb@V08kHP7_J(bq*?X7@$E95u zk+7EwC{%A<2(x|1`N4ls$PIiE&ckfqn~Jr(Lx_YWA85#=`o+v zB<%G&PY*SoFx=XCXQc<&e(;X)C+emH=LmmUOpM|K%i)y7Xx_h~CSLF4r)%utc|Y1pb2x5KLX#d~Gq{38bfo1gG6ICHE9>AMYL}fW zL7MJ^c150FElQKUD_6}I`f_k3IpBfzOq3B9N1XX$+9_K{2~j6k(lxiIXG$i9cl{?;rtIwoB%Q2j}MQ6k~C(g{p)y%mU zS69yJy-J=G`amPA=jLjztP&G3HZ^w3&N5NL@`&CnzJIkD(|n%j@6>s6vhNw{Lv&0y z)%cQ$R$f&FDW1%8=gH~;1}Kv&M^;Z)@j#3DRn;}mFFhJZ`02WRty`+N%eGWi#bw;Y z1F_`GFh8*b%-5O;gwikPTq&hrGQ$+Spz(&r2TkfEnlteQh$$die(>e*D)+jd(g_Rz zYYQr#1z@wPfz1XG*`>ihqn_uWIHH_jrB)e|xrm)KK2o8PDT;d#h6ir!Pk||^RI4quLhk8lze4y*KTz$O5m0ij& zz;`Ka*VwM{|yTVeA4^y2(G3$3$E)s7js4}s0tsJf^ zUM4Tf6aNr6u424}4Rj@)ecp0iBvOXZAuA7Ld!+wg?~Eugo4GrdCtwR6}P$p1vi3v_U+5OS+PdWM-b%Jp$U^)0Ik488j%~K;7c2EJD5H+wB0YWPc?ydn?9mUI6ay3o^R_0s* z_VowN%ddgou7)NnxSuLWwa&ECc5&eB^aAU^6R|%+c_XbVhp!JLVnSK@m`s32HHGM3 zHbmogKC^M1tp~)a%Xg+!)D%Dt!mMz9HISd)rdzOz+T#!C*K{=#y8xX;cUa5Z&(=w4 zw%R1G+3DJ=*-`d>y7e)6s!lq#UwgC9A7W}oNgGo?Rl8O>#EFGzZ2;12g(jy)hANh} ztX7O{6CZQeS9hpn*^lw-cBNpbyedGn<|66|i^F2u1UD#u{s{MoRA^E14UamYXqy0i z4<_pxA)V=IJ9%zwmbg*Zm9RUv#xT{rLE==2&nm4Ai;eRZmo(dsCI+;w@4L%rs#ycb zQ$;3ifu7`zh< z-UOgJBeP5~kQZx48uGpz3Udq$h(g-MRj#(G>}-QLzuDE*cyH}GblA;ww({IBAxTnq z68DEL5~ujz?5)KD<~@KEJ)!vvY2S+$(3}U@bI@QfWl&LGQg&r~0ri$-GwiJe17%wV zCTXj$7!WIz1{vs0qh-A0X8Mq5*>RqSS7;+YUB0GbHqvG1V=)_c0N zI6~Rlim_c^wEspw-I7tTpnjrXoB4JgyHV@Xd3$y@C*!&CayMOP=gjdAQ*Ol^BCnS2dgYA2tL}}LZ__rR<+fu!_aP5LUciseMGFE;qDeuh3UlK7@zstlR z)3nPZMM!E)&_!$KoJuL#^>Mrj8joSM6K8@Z&~l)kb{)mj2%FxSoGdBSa7p?%2MPv; z_WTF;trI?%M=zMh(+Cp*#YJ$c0?j}pRG$}=h;kChlYXb+RKSwtF6@dFOG?UKr$emJ z5EOVaufP@s)~To!_12UDS$hIYafR$foor2eNQ z^KB~&aLGWWYJt;v0iFTV?aLoNooO*J!TYVZ2|o0C)yOEvUjDkC34c1JyY`PZzs8Vd zRlf8Q7x3uB(;oVcm1}2swD{xB_HRD>Ryfetf)8ELDhhLE=2c-9O!h9Yx+17-bv$lm zdO<+}7QwawSOTujG_sc)6e%_vhHl`HmhC=P7nF2uYx%JHZ4Ot6QF0OYxdaJbyI9CB zTHw6qsBsadn`u%7Q&q*Itt|S*Q-_b1O!59T+EdPzeWbF*?A@ufmMvB@{pVKRy;Cfm zJ(pMfc_5JU`QUkhMa9Rs?Kx#d!ptHIbWUA^`bH$LZr0K1-1EC*-p)#%UaNpqt9g2@vAA~4 z4I=)D;CF4y-srI5mY;4_8!;asmN4FE#-c@*v}xqyw_4wR{qKhG->&XWFC?|iXW?c@ zy^Y{JHZi{|EAMU&#`5lje`SYt;Bu|-8RUJf$}n>4D$hz^0{nFyoy#81_v4;^e(4XF z>UNBy9kGae;d}GdsOtW@zP)es z?>Q$yAI@f??{n?<9r9RSihST+jR5>{-xo5xR=FjssUm~dU}({J&**jMqt-CNxyTo=pdL`i5x z0ou{He#Y$~bf6h{JyXLqJ1cXoL~2uR$&)#Bbn4kS*k5<1m1vm$E@)6^?>_6Z{nfEp z^q#W8qx$}Sqzx~&YWLiH&n(7~mh8vbe4_n0KVww6`(5tobKN^rYtohjde6tzU#u)$ zqfqpT=PPlbo0D+h=m9jurWbz=XrCdJR3Q@n))t9UB^9jrejfiA!2bYm#SdDv;)ejh zpCUu^Hx&=P;_R>6k~FU3WS>(hC5$^23i`M9r?>ijSuSq4^XC?Q=dro$uRIM5N@KmP z4X_m*3uqmNMvn$M3oQ`J?!dMJ<6P5F>tNKy#$w82whn6>NVN{m8fqVS+KA;+4QqpF zyw`qxZdj~w%|v>wgCj7(qtN66xtoW{oeGpqj)mMN$KSA+W5@O1$Z52M|h-37NWb~>^SR-kn5ro4f>2BdR*-H>GRI>rhAz@!g$ zcL~3IGJ2n|9HcXzCY{@0@PaUSAT-+%gVi+YL@?|C=ds9H4p!MNBIHGLTX2h{5(Ae= zzU%A3M^(0k`siZpx_$@`d8jw7>T7-%0{<7UynMV2?7ad_VVL-OB-OvJ1ZJV}$Ysz4 zVKZk~)&aAw(wKD(1~1~k(72AGwu#0p1j7!BA=@-^u*%Fr@Ehis1=xu8<|c_*LeIka z0>=5KjafR^^Bes#Tu^2fN;A%MD~Q=Nl+8&Q3Ct?anUhR$p%^-R!%|$5*h>6YlZxRf5IL^?d*+ zMTxJT9f-`-Ei`4%O1f^PwAx8yeDwe@dI-%UXda`UmZsgLO#l}d41~A}U*BA0FIp=+ zBL`q*p&1Sl-V+>GPdjAx6i^+pvUc-X$Y1Ho6$(+q)G>o8_TuHB=FErNZ54ywS{ zX>?5{u$IDCw$bNSMm*E9*v8w!k*?iQZs}We{5Q&GfLr~ROXp~h{Vud|cK?~iHPzS& z2`A}vgyI}<)>>#1VIrSV^(s-+r!x448a-N6DzIA@Op z)L-1b7(rHJtd1IUGGzOh)jVV8QhqB)`mI6qoQS`(4#5Pqucx?;(?)yK##l~ zY;^wd146{UY-#j}myYDysiFrf1>*V4Pzt1hc#t@{w|J0PpRvmYLn)Az4}V70xuXej zvh&)KhJ(Zntesp^41Vn0I1P3H<~X`>8tmjoI&j8LYlxR_pIAP9mBt-;4PYlggICM* zqXlS4i)$K#lsE&d3pB1EFR7ntl!tW#2ptTGz1+}{ADMJ_GuGojxY{WAbfpJPZof%Y z5HpeFmfcVG4;SV3(P$S@ZW*CXI3iz;L-8>(phsqCn&DCc>ET7u<9l3)^ni=iZUa5M z-PD9g&0%;SKm<|pb;BdXv_zt>gs-0)AwN}eTGwxxi~8$67it(^Cg_fya7z#Vw^F*g zrZ-$&3o>%>kLg#}{9%GE9T?%W;8c6krg}%RdRZw`4Kz%(Okr`Vgj;$>7ZT$ZhlM$VME~+^6A0SF!N3@^E~jC7tN)qX30+}p|prtswpYfP{g$nXn#H!D?g59D%!H^?*RCH0cbL0 zG^0DOpl?*5g3uI_)g9VoQuwJb7ES?a)*Ghv9%H@_l$7cx&NhDFY~wqaL16{kD6jPI zC8aMyav80jCmz%^_t%|!+<@15O6a#Ao!WM-A~t;`Y8O?7O=6{l*+p94NHMpRlQz3R|#6+_34pFCAWPGckHZwE5!ydUNM^dkAYWz5aoW* z9ENP>8q8)6paot*Ftb4bTN1uXp?2$Of!AmND=l^K69Wx5N-2f$VDT$D*A`RWWw_8L01;97VP$XNh;TD6x!4!nh z!p1dHX}gLft=rNpU8OJ2d~|jrb`AUZI5L&inY95K{t9urwkBGg)(x??)&(lvuhH^a zrvv6x;!tr$wNGbA01gAu`2+B*g0gpvCVMD$D1g|3 zy+D>d2LaFX8Dy_2r#W_jQ8g8^hfvkiBzvm-k0g6a^gttm=41pk8i8y{Y5)bgGD|WR z?8@j@$^S56PC3B|=uwkqNooNGwQ;QiFHP4$ZjPkc&`3;iz+iQugL-Ntp*}z~fChVU z#hEvxEY=!vyhl1PItwmj+T5*pA$=`$|2T$8yf7C6X3hk1QYvsea(XGYK)4#CC z&Mk%KroXos%Wjok-D-;KV+=J-AOBJ+4+#93f;n8!-nY)c_IEEzx6RDbdpg=-3vT89<+$0=d9TUM^-SC>STcu&;JBp0_KoX|CMnEQzNbNz9tk*g<>lzOPQBH@Tv%6d$O%rP>K5IopA8 ztTRrx0F6`#h8@g>uOdihQ&=;#aDY?;%!xmUjwsh%NTgx~Ul^%O>kR&HwQ#yhGwlXn z-QCEwaC&e)ae;&JRuWR7Crz$Vnu|av{|cgkzvvju=Q3Np+>9|mk?n1Qf%%F$Y^O)M zgirjvwE0E8o9%H~+~}+9pA|RyR7$=1S_0%<#2(UxKD=Vr2MKRl2uGIi%YpEh=ByFj zR9_(c>(=lu5#@w#z{yY&;TbvFZWS@^7iqxQQd*W3wOPMcybiv7ncX-_HjmFgn%;h-K49198(EtSF@FA=IesU}>8o14t+Mt}ow7HX7rDpBa*ScFHgqQ1#PyU3x?t=#cUe*L-7@T!0Vn+d?kg9vUgPy4{jygRl#Ei zYe5_bz+?ux$(%h4;?%`HfQ^C%d(jDUFXzZ&Ka|6F2h4@lzY?^#X6CV1Bhc%uz5QA|SnXXOcFe$P zC3YzQK9d zT^5yrm9;P`V86uH#nWwg6r}<{N<_vO z;vjA|fK#4w)uDpi=6$Io{@uzCUS6c<`_ zii-f?Z;DgwaPEDVUz_}N&NYNO`&Y$kg$<`yRo%PB>IGgF14c`rSqjZEG)c$!_}TKS zT$rx*)_p5b!K?stI(_#hMgP*-Rs@i0-#*i`k%kGs^Sopidu z8KK7x#s@xL0hw3J8zFg{av2|&^45*}EIuxkvQ;5F_ycb6OWfe`F!&lZgERi79$Z29 zp2HCTLh}-uG3af=X`Twfu!C#R^p}NIHbh|%L#`#cAbnR+K5i|V^NK6YuOznI9Wm+7 zg8Vz190LXm#tc9d*QsH^e5V{I9+dOeb%>n(imUss#Nj>1KF3}6zaaM4vXDuc<5kN z>W2=d$eb3XsiUQBPE*@q@s!(BP`oX`v3-#FeBvtmW`sN}-pRuE7qFL+sJ<$0dQ}e* zx8ftY)g@jX+e$l{P+z*?w2iiJb5VR$VP(#v%j4o#YgHXhV9!KY9){^Kvo_K&N+PSm z+PvYcO;66+patHp#@aBu$gGVdFKVpKduu0k6rUH1;>}Gvs1p^F<&dNTbbSfWV$>cL*6=xeU3$*cnJ@lw%_Mfeuh*WZs z`*@k%56p|7v%!dSuPW`5bS{YTqAnTRDk|Z;}4OLx3=oe{d#Al<*^-qos{w@?T%E{8gk@?C>IumcQY*ivbOM z;547BEC#5hmv}3188A-bSKwF7W}4X5Joad4CpVFn^yfxPV&$Y1NK#^BF`g`%r7p2y z>uBwgsY-RV{^iMf707yxH?G$$8rK1EPz-RkXk0(vt+`@{hmS7tQG)>^;;w6J3+W3@ z@QSheF3$~^LM;%iI&7aL^-hff>`j1mfGORAuiFTlhh|(63_G|3&0RIH_W)v+h7G<^ zkgEkItBwhrI^K6P4r#Hk2l64U0wNCq5e>jSg0IIoi2&Lp5DYtb0?ku3u+ISExit7z zJbLxQjX;2x906W&1i-#tt0KS~z(K&1r||U-C*ea|)4d0X4^jtFIEjyxNqpiav6nk2 zhWP?*na`Auh3vGJ5dI|QLz6G*#BsKbfZtxuXpVF-pDAQygNU||ZYY{n3>kh!yau95}$ZEk)>vT0rurc!vNo^^>SorI3fK^U%*wOAApG+KvTn24pv#35weB3L%TJk1i(UgMGQV zt8o(UfP*iDFZ>L+bDsk+HF z%u=KiJ9h25S8M3i zluo!t$>Ywgf%3wrdC}*w@q~Qt<|^p7$g#8hk_%qCxd$9OMOS~Izc9~cL!rrAm8Vz~ zdM^dhSpY5=Q1YKp?%vX*Na59o$%`Xr0zaiv9AKA7%1F>knC7Nl5*PF^?O(4s+Vqm;fNw96YuKxub5t~ z;hv4e7by&4plTIf$jYnm62+BLoP~G^d}y0YmBQ|v1Qu}@cLB|3+?Q{u^l|QWFWo)^ zkqX%w-nCM!t7tR-3qCurEB!-!cEDk@U4!JmEo9s$`%<1CC<5YuRe3S(UesMhLEd68 z{Xl52mq)k~DNYg45+IVTPSy}rt1Xv>`IP|jl!LGG=+5%f zmIk=A!?h-M@QS|7d=KobyUei6tN^U;l$f+&;M)Nq!b@Oo2#vWxFfLA^A~aex*yHLR zSD4t#Tg0BU#Mg07_Z_#y$G$4jY-cG+aw?k>uu@Xw{s&3G*g7;~hM0(@UHS=HB#jA_okXIGis+K zAK4LeMT|qB?+VcPKziC6E5KGXiA3bb%DG_9mR-wyb=vohR@z>>XnCy4$bM+51D$H1 zwfTd#O8@p*9e?`KLQmSnS0Piw7i7 z3Vzsg+-wcpjD%e+w~bn{uQoLEY$q|UEy?aqSRxvWCI)ItuZ>V%BaKMQ$=SMA4sC-J z-@7Nry=~!aO=b(;1pBqPd}& z>jof7T>-2cG~E%_WCvLdGaFfZouXJds0Yu?o`C*e{5qwVyQSmrz0ulIb_+Ld>osJ{ zdq16iQl_#&(FgSZ`&nsuhV%i9`a%;5O&BtyF^!oB(G)lu4qyGyQnjF2Dg?t01l+20 zQvur_zy?Tzd!d!9Lu!v2a^{RlRO55+}j5MwVzoS)T5%(z%lbzu79kzk_ zZ%++T4h4WBt)Q6%6q$?^X>FQHMAI{cH*Hhl_pSLP;5372Qy8o>{CYb2H~7kfGE{^T z19#=pcr!5W@Dvj)LT-?unY1Xf9gI5*FqjR^98^Uw+9mY4?u<& z0ruDN{^T01kPon*Pm7u+OR}|qB3n(m!;{9|x`{EF{@Re`y7s2UQrrJ(-oDW-I}oL7 z@nQL(L!-1cj%@_1XDnM~7L^WebOZvd8;&+Q&nI0%6w&P>iP1t*khin=&OluyZz;%A zm%RxXy}xFb*2;_PMHq?z=k`Oh4B3}T_!NCILN2z-Jj@p{$>w`4_sX@3St#+HYHw+Y zo~BoGdRQ$U5)bC4z71$8a4B6%q|uzD+sbE1mtf!myju!i%TVEa(E+slHH~WC7@Odut3ZFU}{Ab$d zWQ9Ky1^-Xo%&^!hQ_!e#&ZG@fcm}OVurQd~Y9QDeXx6GB_BxE#pablsEE0P)h1i2Q z->}}@`1vaAYXeVgHHs2$WkpduWw?=duA6`woB4Ia7Q-UYf@bxI5QV^Np7_4b>bWcUYB6359?=+)i`mC@m;Ah)WcTo2kesXjVnZ z@JEwuI-@GH>6bp(h{rNXHoeHsWp6j^RkZ1>bJH`Q>Z;>f+or2|dl)m_SW{UTS%1Lx zl8%3XZ@0zN${rBZNJ?tuYq^ZiWBYsS!t;pbcEV4`omo}&e|RKY!HPA3JnU#NWqSd) zeQ3o7)12m502_lbEx^WzX&G8(;Q&A!bY~qjK!jn6vP&b$ z37s^TQfMGmW{Df_>R*WcTna5i87qUK$)(Dn4I`rJS$O z3>VhU{uie39lpL`=$mRD=v1!qPU{)~P3Mzl*WJxs%3{KsssyNo-=}(b&?4{gS<3X@ zy01&7k8IlchI9;(w8zF)T&iM+xmFIfN*7lM9d7Vk*a9y1CcnD6WifQP4FGcqG49&? zf0xct)kin#YhBrb4l-A`9$<{C_4LEoR=dBZ80(skaW}Xc$GGw$zkpjDuXHqC94`Vn zyd&=^<%O#xn1_d6y6qXRwvUgvrnPtkYB^?Jpt%dwyN4P-iRM{@fJ+JPtb;JrG94v6 zDCNZ6_5#~6_<6qK4qFdt#l9ZU^!y>Fj4<~DUysnVKct<`!}&gzI_Qt4{Rt(!ddk_l z0pKRz4AYisd*HoR?CY6Aa3k*m?ny4-Imrbu9t*WZ9-CH{Fz*8J5YITpm9D7(Sv=~z z1`o@Ch0g||{tGoM$N~^gpabk>2nx_%$}xwWP&}l*p@fPs99ZD6z$@H5u61yse=!%qLFUMgheWY0TXO<9-GV zzCiO;4ffwKcz_0b8Hd>apkV(K@NI2)AW@jaErhVIUo`B0V}{X@p^=1&HIWv!A{cgn zT(I$wgH;ym@j&Es9%jTkNwQh#&HW4p7KhB>L6QEzwK466UGdg6`8~IjW_3@!D${21 zS@Dd?I0##TG}plJ*m{6Gn3^hCo=yiYj;{+6=@=*6;@+s=3YXOEJV*LDV?L)Jvq10!Q(DSna2|LV?a0t@Mj4++(+>p04tB!VLT5QxW&M}~Xu6ma ze85K34_6YSZzZhQcDJ*48X3K6oqphp3t9z&@8KfMe_V|t%(*ZVHxI(nYz_CI9hrXTN4<~$e~qY@kSlh?+8A*g+LND_r5!%k5~7Q>St_p4X0xs3oc7T_MqbJp z()@JCSKSAGt-u)T8F~F@^3r0dJ}zW8?(B*GaMAeG>D~bu>Oa)~o4lTzm%rTfrgojw zz3Xtu%B#3iN@wdb^UQ|8NI34qG26?-QY8*=(B?yw1n|me@##KRxXfZDH%l97%1`J? zf^Wm!xy6V1d_3f$eKibI{S@Hh&=3*4`{V#iJ&-OKK^W;gqolDe?= znE-s${coTxKGyDsj%f{TQHo&Lfj`VEiyGLh0Flju4ZazdgxM)hEr*A(kmQ8FBJFn# znsIcUR@ekKHW$UlMsd#YIV_Ud^Xrp?&aIgDr)(PaJSAM%W3WQ;0_L!Z7%0 z82kb>M-YR(w0H=?umhY&5f3?7GkbP`W>Is@Dk8;0#YoI5B>W$9Ul|xh(gYeTK(f)@ zI1UHFAqh^{1rE31a=1GLw-185ySp3?K?7k!2yl3ChXBDXNRY!`s%Cq#y_wmm348Co z@5iwDZmOoby1J^my1MG(-YG|uTWMHUu(Mi#;fv{{Np`r!V321rvl%OnuHzvZs}Kwk zoP%FRCn;EYRviRZm3FYgs^TK60!XaNS)y>2I{Up018xiHB$i!Atje__s{+N52Enfq zC>a+hCju8ax3i?Xm=T&}HxXeenp~qamxhm*fk#<71+g3joFGct$~Z*}*guUb*)V6%%HVB?KkEFT)Z&Fyb@*4+=y`noI*R5J-p?ir>kZ{gn@9TB*{O4my=epflxz^O-tXGqyDOfQHSkzc3C%?*$npT=3H zm-Gn;*8B-_Gp_#%;S}mP-qDkko2tCrRD-Wx=jEolRk>mPURe9PPi+rm+Tm ztR@ce4rPe7IDh3XcU*@EYfBEX4nM@f0DOlZVqJG@WhU4VQyhND7E}XyyAPkJ2SW_O z)xV48&LLCkyE6orQPdky+_{Dvd#*T{UvfrVjcDAGP6+ikm5E|m< z`{X1v#2s|RnA!7o(VaK91~=G0JKmV^@3}R7#y(5Z3onC&(_;twML(D0npL$Gdt~FS zSn)u)&GKLTTFRUBzNV!+x0YJDlgj2d%OP;dRz2qAKWl4=pyexQ^+T`%A?#!g3f$WBM^-X4yUCjg0 zmAvri4zN8C_B{=@CqR6J_1g=6^~R+eO|$0+h6swlUDF<}Dq!1y+Ug^I{0-W^z7*RR z%IU6t_!sLghPe7m>n`a6sa%BTJ^sbwD;_YY0jd5t`bhZrD0qa?DfrG&Fq)$v0k8a8P$2EjvuwnaJq@krzL9$%4gp$z z!7$T8{L{`^#StZfjRnSJ2G~r_5}7HAB6Q*2fV}_I@-@^R73AkT{-(NojD-3Jl9+l? zUW9N^Y2Yyq=p2ulG##apL3%EOY647P6l|p?F3<~DCrWPMCh^uRO%gZoduG;bvei8* zZ;eIgKgnd+NNW?sO6`Tv_^?X z{1C<4p%kqV7U&*va90QUDR*#S0@)cJ15qj+DO0ZDrhGa~d1()?`*qr{(rbReI+;OQ zv%>vUzmzkm$Qa?evR~>L!$_57%uJ9GSK!Gk_%$1q*%g{~M=(S%2Oe|Pz|I4R`Qpc& zQ9y4|GJO_sp20$Q^OHd*5YR=cjJXeh=zs<{c(|fuOrc1I8@EzCK~DMxJ6vDeH&QR) zT1;Ch?&8OmkXFj5`giy5t?nz{xeKbbN+|k6QI1g`eq0&ye4o5PAS;%_#GnpYhRV^B zA2K6ZCI-=$lScBmu`m*L!Ov2(tp;9lynkHBe&bl#v^&P86gR@9XEQ6e&at4=jq{%y zK3acBulWQ%;tHB~-~;@_N>VFnPj<=KRfgKz8UEfA`($&8`b&49-=vr5m z3u7sqnUgDVEics&?;57A5*M*1AVaQd8gtls8cWF)rQO6&V@wi|CXa@j#=jLe@7S*i zXjYtS*NcnOMAuC2brcJ$c4veqg@QH`4BV(!UBd(}ukm zm$dy^p>(Gnbig)vY=_4V)Tw!BwT<#&e<*lh<+Yt?&o1jxyQlCbj9S_BMNgtb6Peo+PvDZYk&$zhUTa_o+fapl)f zH~@(s<18G2$QcQ4FQRnaFA{iB7+7-zei@M~?`a+aR%*ur(TJd=Gr9hI6ej^#4PH2v zKd2W9${(e9tMEyIm(e6%ez~!HOw;qp<)QsAHnd(?+?Ctu6dGlA(tgIOQ%y zfy_Jvh@yX*G!G3{>i=@@U~w)_z693VIkj|(U=max(t!(gk{!8dN{ z+sKDTO)RI_5bH(S^1Fm94*)L1uPaCav7IR9t6mim)I{Y$N?NVMg$=F&^z$F3 z!UB_fW>*ElGSmb?tzX71F0$mBg95I5G%MRcoX7hl-fz12az^tqeugXc#^MlFZ9l~{ zTgA^fmu`?~%)gkQ19%C;gZ^Qi0*?qDMrz&xXASWtUbYAC?>&u|9Yh`XK@~4YPsw^4k~CB)ACZ@8lu0XFM|T3%+z|Q* zlhcY40sGcz>aPWr{R{=0uleSGbDvRcoXDh$@!>b!y+oj>4T#Gp`1KiWa5kE}As8a~ z0*|k1VE+Y(Z{o*$qCNgjk<}lZbNJI;Oov~m*Yp5c{Y7)O?6gVmw#9{lTNPX_eP~e- z1VaRU;n!G6Sa~r(@Mex`DqNNF8<(x!$@6+4x!?#*+xWJv=9T++q`bDlk+;XQa~Moo zEsWzRB^s7aYd#Ad9n9;JA=dO-71dT)KlU|`wT-`Cxu$P!8l^1ZgJ7cZ+!yl_GQh_) ze^A`H9w`rh#SBVBXs;!SPZxN10FaRpSeHqX0vXuD&Wz)TWr(v>adt`ShjKfO%rFUM zSV7UjfYrg0dTB)sGc6cBM=eDR*#xayR=*AGqIXrA5uNCNm>6mpnTq7iZnjp`CFH{J z8ZGDcIl;U*YdN>iMN2xO8a!Znfo#M43{{&5T>9;MwAK?3*k@8z7`wNv`CGb}3Pb1$ z{OwIKgdvs3k4zKgW%xT`>#2^hkB!m!u3zZi@0d|nu>R7tZz2j5>)ZVTW~|43DhCx0-oJP@V%~c^H)TKrhS}J^5!jW7LcnpA#O`t0iPark@ zx^BXi{fVGjG7Q=nH}T!*V9j_?CSEjUGC}m9y;Uib78k}nS@GeNzC@n3g}xq-R;f&6 zZ5kK^AK=Fz;KvjpTa8k+(UY@j)11vGax(D4S9&7l`iQ{i2Rkro{7|As(b6u4h=H0c zT1q)|lb3LO__)MI5y$mAUJgrgiOectKbY2Zn|Gzkku?J1D$I0i9&Gv#8liXBx+0Mc z{2{|`wfPk>hf$Mq&KdI5=wLswevLVKTB$6SFx18hCNO2kRN{%}yikEF1Mcu#N$Eqc z@AGEG%5;x*giP#FrsS@9`tM&NCw8d3T%iJULSN*a!VWtg5~qiQIAjHJ$fi}XOFoU2 zNL?9%IVjV)CC0A)u5bI=YmAr;Va>o6fC1i0 zXQhQfL3pDOJaz&_pIl)^$Iv1^g#m0VjG+kpDvGMD1kL$HFho!c9>vwb1^`5$`0zY%}_kuXxUIP9a!gh^_t0Zk590NOW10JP-jM7N`cpBHr0K`Q2SXua04q?mCMvh>J zpgcT+)xcH&2)+36b;vaXMdB-RT&tutPs~JIl_f2r8Z$B3#?jrN6qQkxJj_gYvUxAP zncNCCe5L8$e`>vUnQ52~44VdzKVdGa;1tHECrm?CZWy|v7@N=B8dHtL_`*pR_g+!VQl2bN^o3h$4 zWw)@q&Ro4_3yiFe#l%}TARBJXMiQ4{KFd-0Jw;s*(0Rbbt&D#T)XsDB0jwS%2!Tg^ zt<-)*1{Q1kHvllKnBPzmRWJiKyUjvV}Fp_pSl690le0z@b>p@=>!$vdVdd(qVO$X^c ztLaWIzlh)lBYxIw5p^OhB9;oKcn|FWU|$7MjsMRg~C+WtB+(-}ONL$W~PW0cZ z7re4AG>Pk~Wo+JrxmrlUa!TmN89N%r;)Ij}3J ze=?KB)E~-zh)IJiKhTfu36IS%hSRtLdr@=%&dB!xrh;3@k9JE<1-B4aZwrS)gEztfxfipFPord)wvL^&cB=9O8FAR-Fq)#e!NM z^*wU+CSBD>a6&LtYp&`M*D#ekmD-0ZXUkI5&+xE);-0RZlcYU$rQM{3?8$xsdAOcO zPJLgjQl>^f8f_e14Nz6vWH`F$l;fMz!41E9^!732xDbkk$i=qpHtsDp0w_9)E(JW| zomEtFL$Ln=g0t}JwG^zPh_Nbd(bI!|jShTlTt8{^yGwPVX+~PHL;?=#7(7M-oug1M z9jC0N6R?&t4_GN&8VQ57w0DP{U5?uAf(5LjNn1!(Z;TD^*|)!Oc(N3q1KeYP*ni;H zQ?ycVDIx0%-0H`QS*vCU{1I@Cr8#=1&^p5PsBu8Xc&&(I zqH6Cbv)?gN^AdFVR#x*4aZRG>^3&qvPNtjyoiY{py0u4`pJ_2B4ab(x^dU_@?U`OR zIP5{t%(x=WB2E7xy>J3Ft$%R2`$9!WvlAe{Ln};00@-xP3_5}Hj6*c$RWKfa8JI$w zGL)Bd;&PmdQNJvtWMZG@nML6f##T0H$>7d$c5O&{O|f!g zuY_u@-e zrYyqrV0WU}ra5d_zu>bEw^_DnuF!o$3aON4aA+Rk^Q-GuojKk6i7`ji^8~DOEL;{M=PvIS*7KuS zi}jp>bFVgi2F?{l&Q0nUoTJkY;GFq-W_Jh8IH$(vxM|}(S%BQ7@wxn-%ma$6%+qpv zviZV^nZgNhbmtwDZglW6v{v1pY?Y}$nR87_j`d9!N{;mc7{VPqbcA1vP`dilHWvtn z2o}R*i5l3Y0I^K`cp4Ynm{4~3D?qC2J~!QpTM2*V-D2tvg}7GH^!jS}_*Pg~cL6G$ z3%QPZMy`%JR#g`j7vfq&qX5_QJphPmyYQbs&^yF&w)~Ua< zlrM#^OcsM=?MI6xnu_Q%GA!nhulU05BdgKguWYQnOQhH^Dkx)S(oSiGAv;a{J803m|rjANO`4*Hj1x{dG@|CCVc;d*CmzQLYyR^!C#1%WOCt{D8qOAY(t; z2+s^8>JI?KK`lezht#`KvhX9gz+o;I^9lgIK>Z;a^>@KEW4p(XKtWcdfZjh+q+q6- zc{)8-I6f?G4P*$x`gVyx0~RcN;U-n&PVOoTfMJCzWN4&TvOu2fYC^~(S}SIHm>3c= zT6@h;v`|qLYc3Rn^DJ|xm`n!Y8upNk%PVSYI2eQr;73W}Ar@$7TiGW1;C?S0 zV&p1wrQv#@MWYmN&C;S{Iip_l9Yb9fUzNlX%}GCwB(Ci2e)@#@dd&|E1=2RPc|;jG zPI4*O*~y*Jn@U{k5}zVJ(Rwx1PnAkvtl3MQ?fzx!)36dUcIgCfmp*0!4ai@CJxMza zV@yidci5_kZ1Kq@oKkxlW~~q^JK=ehoExczz3Clo%U-F9PqKPv8&-UBBv|pR6~~WE zWAHX)-(9Kt*<3gE?~HH)W6goGZpGEGD3i@tWO9v4$#pRQhZn(9pvz&iWhR2BwMtd7 zuvu0n3R9U^`d_zVI|Jizf(i|1G02JTjpgZ}3@n0OfiPVxJVLcnCyR1je*j{R3;TF! zH0`Y@DYNG}^@>Nahr5)!UvK(Gy@2Zi&AD)acb(x83nRaXBhO27jV=MiWi3Nc4g&{+ zD1n2DoMUnYsApxI1YB2DIVO#OgV%r%98NG2lF5!h$aRj5m0ZjTDGyk0s3PPh(BSIG zsJVsQG|)UG1iKAj$k#h4N0zYii|Q@_)pWAr`nZX5b>iT7{EN$h_tQyrS z_TW7q@iXE@b4q+f{EUo)1_wbg&b5T_p*P3KDDWyCTDp0tp&#)UARffd%aOAHkG0ma z(mGrhSXXFOZf;C+@0T4e@F3;;a`oPTc$|DA{Bpo;N*aq)ZrZmL>wb@fFFXZBkpn(h z4;A=5%ArpPrvk&chO3C{iHzov7T}zYY}Gy`3Gmd59&an0_Axm7mHq%-WpDMaEJzl` zO&-B|kgIW0a@p9AE0e;HQ}QPAo)gBrDrfYHsMs}nG2MZUm5uN>yN>(;(}&jM8LW=y zS}AiR1N#CXiUR_~(!_<_?BFG5%36SUMPWL6xL&~Zislha1H$t`G5EB6W`T(E_AFZAg*_dxd|pnfgRy$FuGm=XFb8Y|2U zg8qu;?gh)ZaS*d@xeg&O~6{C!FGal)S+}Zc;yM%`;^h0oE^+FiK~a6suZH z-oWMZmB#h}(LVf3S~wo_1-}0$xfKjKIk%z&@GA&x?KhZ(?>GxR(~)#iHGr)O55&>~ zby9W8BJIiX{)g85c(Xh3{wIz1rIJ5J5&35G-97s@@HJ#FDICSbI9aq59nk7UV6NgV zBYn5u+r6l4?_g2n!$u^;&uBYJUu^P~n^_wTGe1FGnvLaUG%xl`Uv1^UNV&=RK1=v~ zio6Up#=e}bBN8R$3WV6{IDa%v(w~~NI?f+Wr&BttNe=+!C=m(wGTU#>8slSlw^PtZ zOmtJ*4o*&Lqd~ro!XpFFX0HROEpL%Wu3|#;ww{mxiIh}iSVn$AG6DJd`6y*(ol=Mh zqY8R86Y^h|AaQ_kIKo2%4=0=ugJtbtX;^1~s07-_1%A1LJZetTLPQ9L2;AV|t_D^M z5IXVWBT)5u=m@IMQ)jOFymaRK>fs=j-a3*qiR$`K_&BQJ(MUlj8rKjE5p;!Li_up} z0V}O?yNl+|M@KPtFR%6cW*F!zCL*w00d@5}rFmJyF@+fsTkHJ~c*!z- z3N%}0>nMxPT4jO>mxM8?&wpShAXWJfqVZRwp6c0HJq5@Xl*-DpH5;ss?7Y3mp`)a) z)g8d!&uCwVZ@ZWBX1d*tFZHH>8ljJ^_%L+w<22!MH8O-G{jHEOks+&j#)NFeGmwn> z@aP7QCAiRDSgHvtiv?fHsU!F&Y^}JO@G3w8N;>GwzD%u9a(9jP62VN+jRRtl>Tt9@u=M+i?x{sIOU1Ud`pq>f1B zZchU2Y}~6Eg=(^^xUcMuZFW0mAZUV7oF)j;ncH(jSi;htOJSMJVd>*)js&`s?=at!sf?n$mIbJul&ytB%hq+i&h@d` z>9ZQ9_OUTjiwhVVNU&zuh-3DEa%>mu##u@iQ{51)= zq7&tkC%#+5(td`x)l(ltO^7p2%zC9m)sjh64a!&RsNbAxk$**(8Qte+yfOQ&m3!vp zeWuqP>NGy_PK6Ntz{x+>)XFu{c(>%wN`2Z!x;u0S=5KyB4##|AzA$ULBXch{8q}tL z@X&`PVa)p2=4)WgNwRXd!M=yT3P)AG&>T&TeL;T18}R>24O6UeRtkE-yfhC7LoG~cp1K!kyzt^vPlB5XQZ z1uO(Z1hwE%TMcX-fT$~ed^hf3>QQ1kAzVzSzRvu_8F4j`^f=@QX$Uklf=6R`G{Hek zv|ygJ)?_OAwC4y^ehKj_Q2D7^BGYUg(F~GnJ<^n4BF$j9&G{wLg0^YO)Lu|LLqbNE zebDK?aoLwMH79S0FzWUW-+;!cz5pKGVFr8PNcxfv9yv|D$kF`X24d|ct*ND_?_dUg zl*x^`WeD%BV>B;;kDWq+dqlZteVW_UV&S>4o=?C1{VrNB;Ob*}Y#=?6r^JX{U(!T2 z2)@~F<85EDU=kZ5DNjzZ+2TXcUCV*|P+&?w3?qf6rHM1-TFiA~2+kuf=Ti!v_veJ* z0&;fsdgGuM=k=O%urvnHczIq-nHfll?lyXExYDPyx8aa|=lA`BA0@8|#>(AL-OcXi zVa7_GohJsUanl>NC^R_wUei05k0kCii|$US6Wr)q&dgB`_J_JU_q%*@=YD1@2JyDy zCJby1f66h~>M6&UL-BuZ>+5Zp@~9Kit0>(=U=G*AC!(2xanxL;wU6$UfC zo3MjCZmLi^?RN=IM+Bh`k`(0liGi+-sRte^8vF>7-T967jdpyOp>y@9b3O z`^$|aJ%n2$U=Ult&7Xm@`HE(e5DXC<#za&XDOf9+PXGx!T3J>BHG+biNn#bd8o^j) z%R383Feh#E3T8}W@5U9Kh2hVl2q323zpWlDU^&92XP_v}CT)w@Wr5>Gu5klZy7-Xt zeYw^Euo#X4L33b{&qW1spLWn44PfN}!90MSk0M2cy&!%v27Y})1(BX|VgEC?v@bfE zA8H}41u`KfY0>*6it$2{=wYw&O5TR9;X=KV{$WDB5?x63sLY3=E^pY(#_NlD8(!7@nWb{S$Hsi0oJw}u z9%IyHN)!%MR@(^&DqjYa|D6Oll%q2+iT}XkJ4%HeMJmqm2~aNPQh~S@5qE{6tF~B` zIQ-sGsO+6lN4B_{V>1LiKu*CAS;dQx2GTf+w!in|PZceH5OhzpPh zZ7iMjU&w-6Lb9=lo>f9g2vAu|m`?6@jA-aYsZ6IwIP}=I#99;x+ z1O#Wniu5m4FgyhJ`@Wt(WZOit#(pvVW=o)VzX{VF*ru^tJ!q0G}-q?jp`koTo z$lF9au_BQv7NOk8H^OY)fsx;XhY!k&rz>gR5DXDKfL~c8VdWPOf^Q=6EX)0D-b;P_ z30wW|ZAdluN1iIZM3-r11dG(009mbt}m;-hWFN z-r2_?-0);6e&fiPz6~hejudw%)lTJB%tJ?Wiame@J5xomc=1 z5&%9^oZ103>~&QfeGReQ0OLR$zb9NS?Qm6Z*(AnRIqg3{CjZ5)G|+YmCEG}IE<->D z>$x%nC2;Z9r)cBfvzb%v%szQMZ=;Ef|KXOVhW4avFuE^gt^ zQMQ10+un%plGn#z*Z%N`50Ar=t)BdZWEa*d{Q2=@XmFF#v6seteV z`Wm_V{qQ!-FBpO+D+Fe{BC~~)-%X*Bf0YiozV=dLF*9a|2Bti7lvYiDHb_(P^D}S5 z_)o&YPO4N>I*pB0Q!%-FWS4o>)ClGjmZ6zyx}vC>u7Z34%}S?UXW~|FyO+n$P_?If znZTV9#=6RWb!A8^lmRfpDc?`^lw?u$NV_G zeZJI+wpm#r>EWFfJ(Zhk)MOWvnj{XdXK3V}Y{Ckzat4PNXg0jgqM97@DhYbx+_JLr za0^611ESCej+1Vqk{U&GhY{=!AQ%9!cO_vZWoa;X{Bw`<)O6tD-lwV9INb5W!wG1q zi_-j%;wz&3+MD>KX~4;L9gyZnGzx;?U1tp=BVH$E)8+1#0~jJG$*i%2KOn1t(33D& z>&g-@F15#`*v5C~948)@^$~?#6h=zaojD{-%Rb??>{DQmE1ydG%%o*y_=C;lp937W z9BzU;0a8c#7n}*l{=Tduuz>5OMNxeW8}x`~2q(P^2fO z1@y~d2X~sRP&$nr-f5bFJij8vd;)!iL8Oc=TB~L&^}YV zS9dH69LQ1ZuVMUr2b_J66z8W<{DIR21vKUqw+4zorix<4!?q4^T2=@sP6UcS>7>d} z$-v?mKkFERu4vc3Q0!Vauw?8;zUC{8o!!dUbiu7B%}eVlnxStb(=pss?CUoVY$eBp z70X;(Y!b%Qle5*YKpTFijp>bO4u7Z`6Pt3emIfuqTwzRoxKRXg>u3=csG^Ojce1-c zaW`3kD%ln{w{-onCR?SqRrs20sQsl|++3Qw)};Mkw}h_@DXVJZSH{L0zko7wF)2F_ z&L!!Z1{^47&Lv6Xp>$t1ZPFV^vtmq&S)Duoad(gwpd&Do0keh%p~3l!q8rnBm`mYc zS92*Gh&y5rk`%Vqu$7g;gCK=0yThjK>zINd#D*~Yp#eNFPiq*e*G3eE*>emVj*Hk- zYEr!$>gCQ7;8o-&dQ*z@3dc3Q76?Mer?xE&pX-xpp0wG9}4hLaxaXuxpCTfXF z#DlaZR<3-0Fm9&ze^em0HjHE_%t;3bZg}EINY#A3IF{DonzpV3tll0JhqLTbet5|R zVy$-)PWiqhm5n(^UeIeSvo9T}ejn+H7c8kSZ%G@$xo;zWbMNP2?Xm|;(PHIq#X6#j z)823;uL@IH-c*t)Ejgy7VY}N_hy9x~ULoqqxGR1dhV+m-m`N+b0b?dr-htgx5`KHen1U$Iae!F0mm0_KQED7NJ@5EQ>u;l}A9A~}Ij^84L-GNrlKw91 z^SN8YAzp?d`9rv)Q*|jO%572cm?`-)sk{Uw%wYgOhk-DC{djX1B7L)~ zCNbC|gm9ATlbi$5b#rKBi_#%)eqP~nAnd9I6Ay|lJCxAVQ2*#5C=a#%G0I#(ZT!rYBGWXnUHbJ6(FRpJB_#O^b^JUQ8}K$tH;Lf!LT&PW;LOMdjd89v;Ey zNKB+T5)}YqGKlUh2t{YYeEs({X8^$v0oJJ~g6)oouvpJxwiEmKK6E4;DD6NT-OV>S zdJpq!{(JFES#sy6M!=@qJa{ChWh?SpwhL@TEAjqBWyO##23)37B=XoDp$OWWqX9;} zgV`~MjV1C=pr{Hw@S1v6bTMbpB(fTS%>tpQju9b*^|8!5lZoE|L@g1)5tORh9t5eX z!$mz}lC$Op=mlJLEhD2c<(A;xdO$%4JnE~Vz6DalR8xZ{`x9_G|X z!J24Dqkay2_YqKloNR>DH*g@uzaEQ=x3Pznqz=F-gvpvvV(pu135l^WbA{5qHx8N3 z`{yGRd3VGIuT-nb$0G05LN1&%ZY zW?;>OX2_9XJJNh&afRjpi&*}_IDHF>H2%d&;~ALU^aFiQB>HdF3%FVmr4hE$^EHf& zR!Ds=%iCDeun2|-7Q(M{u9C3QlMF)@Cb=~!7TUJK^*A?gKZEC*bMQOy1#w7Z;oEc3_y!e7OWW&^XW6N!AEM;@@ z+w;b<1Bi4B-dJ|@Al=p}q1)8_TZNSEp;LQ@R1LpY+d5cLTCN&mC!SwRlHS*J=K0k{ zaSMlLY&BsEw_#h)VO!q$7^=-bcGqS5RehGCyr!1e!u^R|DD;Nw*qy={G3yp|r%>3! zZS$pcr6>T33y&+h!T`FVPn_Z;M;dkmfUSo|cYy7IS~CyLhGTKVo*oRr9anP6#9pBD zkFBmI1jw*}V$+C>+b0d&dQhmr*qf&F`@qNh!UM-~4=M0=BTx_r-`usvL_sLfb8x?j z0>ssiMnNR-V55eS(ceR|3Ar*uK`sXCtoK zxQfnZ80+8IxfXn36sa#qYAi&(xz(|e0(@O za2!vO0)NWAo>!dPIl{x70>t${8U>$#2U|3ZjFHH{7ql#71VaSK$x)K9^6CvC!>ozg zR#75HE53#jIff*WLgduj@HPLRw|}EpkqlRM0#~--VLJM*sKUI{)7(}JaCk5T2`CQ} zDQ@c|P9i^|bmVX!>sxfWUcfb(CJ%4m-RXQ`0gqNE6;EQFJ_J5pF~_UDLcl3HN$pf?&)V} zZ{qj%#jsYAe7oprCC5~(cAu3GHI5!pHNibJ?y#`QXxUF_3vV~6d~ApiST)-*erp-M z$-D6vlF=z?WC1gk3I`dw*UP zfrBaEmkS%fN;?Py&Bfg=>R>mTvxQ*u0c-(07OH_=1Q3hGkEe43*d-KAwUpCT%RIy+ zm+g81*K*>*0apiu{t*C`0YjiEYT!vhX5#J;VL>^uCO+a?AuT8}i{!AVl~$6p(!I$+ z0}5pE732MuUD&NA2aiZDKrKy82GQMIrHUHX6JFKLon&gHFaAN*uUK|f>KM1AjKJvC zq(wA%JWrxh%{|Na6%UctfE*vkKoMr4p)k9+kro1iA%ZjT%Tp3oUI!!iTGC9_Jz1gF z`DwnQ+hSWsdL0bmByPWO1A}(xmSy}=8Wy>>5x_Q~H!TS(DIuF-`Mc&fr6!!>Bm}W; zAxX%yXn{4_82xQhmBp>%gl&Ug+mYgPlw^wYKx50ICW?3P(LzADlcv7TqTPp&?}kUX zGzC&}vpj9mf5)w+P)UQv62(P;WZ>M#0%?9I$a^RnJqxE#vvK+qaqU&5Ps7D9^*)Nz zQ69Z{U&G-7jnLtPYKQ7_}p7iPKz|dY`LAxd3T$FNwR4{S7Wi$2=`wPT4wMFW@>r zi>u}oKX#C$_m1S*U2f@lPs6;3%pG=CduY7X{^y2*Q?}CVWmL{y?K^kgr!KB~-PUo! zQgU(C2tH1D2$tVrK28`(i>ofk&_ChNYn}$ze;TZ`E)p^CL zC&re;ULG}m>JexxkALfR?1%jj;XjyOWN}pmIZnH?;)i)RJjLz{4i+6UB|724{}zNs zIvxS}%^WD)+vaYA`V>idyI$UQq7-+aEV^Y|1Y)!IA5!>a;yq*gbV4%|U5iY6D*2tu z9m#aVt*f=x)%!PJu+DD7%J>E!#+p)sqsrSkIQ0B6y1hBELpBe+Gx++uBw{m6e06Se zS8v&ZJ*(0Pb911O@cFHmX5rkZ63RH6r(UQj)qVtoGL8_7j&sZxv;Tvq!E?i3eizO@ zHYzv#w9A7VZGqLz+XcI=&Dg17-^a<-TO?!ACMXt*meg1QoW{a@g`I4xu?M0JMw+dT zg26u$Q!b98tu9XU4-pIzJceH-+@xUT`HqmQU94Tz7k!3k%2G%yG}`}zyO*Kc`~90| zM?Xo?WJ0EF#MaQrw%B5Ig>fr3WX)w>HloGJ>Qwu4Ok_nrNI{85emtRgUNM}n^;Bb? zeS!#&%eWcRgp`0F%?b2+;qi}$Bq1o`r+6)W8s2}xr!AiGu$HAi#b01LYo?^wujDmm zw87&neC!+&myZ@i#5htxEkjTa9l0?SZ@fIWUe0qdt+H^JoKcM4L>1rYRoF-`!f8#0<8Xkn=jzeRTS<&Es||yICWLz)HRA|6@GiR z&4@t{&&o%D_lWipRLb#F?VI)fwX^L+54-!&aP0Q{KamMHi_1z z!za&|Z8Na<9COhM-#MU2lNVt46DQ4ElDNuQeph`RhI#`YUofo-wcSmMXw~7?VomsK zR$r)-5~~+*-J;p9ukbE%9BH|&hS~p$Tbnzi@b3qK*^NxhzV+F}Y}s4O`1e13B5%(B zfLofPjkj8ce=~+Gnz{1sog`-aH%@X~Ce4kI9cmMhrf(X?@w+(GG_;{s7gg3h%20=$ z?i+iswU0Pd?G?qLZklMn_SR^9=?9gk_B`ns{#W*pq-`oES8j_Jn}(Y8c^bnauRf8o zL%omg7(9N!ctcQSJ)p={BTlX!q5>aq`}6{?M>M&LhmZdR06VbbO_73zG@l5; z5CM+kv4<3_yibIX@mecB(JxVOpOEzFz99#81@%v!&LE~HC?|qt_xv;H$jZ&~N&p#D z8-(*IQ2q>uYblqKll7dlFKM03T^_{xLfYk#R@5N_gi$8Ohj62mB^=}XFL65xA8Ucy zixlKZhm6!8>ffL_&IsfjVl&ALt z0Dxwt*vQd|gM(^GtfA@r1c|wRD$cOd3GAgUFefS(~1k`>BL@h^rTqdN{@wN zxBI*Pdoy>@qp7W!F3IxIz~li(M;CUR`p9UZxlm_nXe>uXi7GQIJSIqMOIbZJ3})BK z8q<74ra6e1(Rev~JVHHp#u*!|ZDt3wBL%)PO@kir~#a@)`({LiS+=UXW z8fe)_-BBliJZTnCVs6>NsUPypbzbL1evuj-D2L9Sc4y6dV4Yqw3b^1wlTDOJPx$yb z$gA|CQ{c@hq-;*+mK?GASWqDC;WAk)@ooUhBD?;e^!~AW!h}rdAxwyT;RT>25FR<< zF$G16Q~<%>$$W$*;IAkQf*sKdxO^pbzZ#b%myKPP;w9wnE&6$KE=v}e@&@jl%aYZT zQU~E}hqgn)x_cSEhH86k%yL72vw=c699?vs@DBYoCwGK>+J@?O*2IJrgAb2ZD29^e z^ftn+f!r_;i?JFs{A%qE@>`e|yvZtdJI_Ya1&z82BWIg2@eG8L^Au(}wv-*oAGl^9 zF)cgHO%7a%p0q{}IRPRUJP^xVbSn;1cHHwhtCkzq^cPmRcn+{Nk1GEL3yt846R7c& ztVbq29e;>!P+nEjQGb9Sh~h;<%5>cL3Zq;ryYYV0fk)2n#>l495LaPUvV}T4KM;Z>6;UHwK_X*|l4R?`29fq%m7Kl}oN5U4 zG|#wQ3&aG`Tns(Rof9LY1X90-Hg6?FIV-7Z-q7?#dghRQ5M2 z3ls%|bfBEgtJzpkgHp8UQ*a!soDdGb*eDtVDIR`x{Q;GN z^i%5I$HG~Q#@az4Ed|H%N@nwlL26i3)7F9VGASz!Dl9uc&!1iVLw)}9dLLMXE*O?h z1$gMuBdA4-;sgZ>!hrgY{sbnUsn^b2v~? z7brN0dpgptHIg%GN8zt)=`i}C*Bk%}D$yvY3>4IZk2iwH3Blv01vwB55#Tuf^pt|N z(uM`yFl)J82Sr>}RKA!3*r%ik(Af^NKj2q0q<9AHAP2|TTtsjY z^+A8ivFRmF@wY%3W<|1NVYGi)t|FOka-wc+Nzy8;h0`5VrDe-LCA6L%}Zr9Y#la!Ibnrqm~GgHH_?l$(_OG>ay z*57Ck?CMT9r>=MQU8f&^`x^Rm6N)tx&Z)~|v1VgJIpN=_lg|-~HQ&`ziZyotvOB_~ z6FfSj!R=vT3=};hum+Dj4Vs$Q+QtsKE{63;$BSY-LAHDx5Y`{oRv!!mqBeU%NuziG z+RQ7+@(u(O7jW&!n@so45XzyE^GtMzX}!os~#jxh*i|1lX+=b=*lWDhd$3+ zJk!?0^1Q@in0Pk;?>_Xz>3)=!z)On@0%#`&L!Q+(y(S1OLoXUHaUzk8cv+#hr{rwP zE;EFLj4Amm8{!dq9}>gaT`P8d!19i^RnzlkguX=Uh0-+_~TQ9?<2qBaj!iMNp>xXf8;Wy>iRd$F< z`d2I=A!zG)p^;JEcphWg+Ju!i^VaJ5v+HD;cD>s+Y2d4a%$kJq*5p;vj#D#&kHq&g zMdGEo_Gp?wZ%VGo2^C017<^&?{2GX2-HCRiV-P?L77-+%dKp5A+<)YprJ>*~ov3Xp zWAPT2#4y^D7!DsF4IiI~**kd1@qxBWMleKx;~3#71uMUt-T|4Xtu3b!Vk*G@JPEnm zO=}leK4FWO;amaX9zEg0@ULxRx#wp~LL*z14#{@?D0gAFY3r2bo@Mwk788bm6(iv> zN{u9h;XJ?tv3wEk_E02YEVoJc?q*&v3UQ62Ny2#e_&6s<#ssAP8%+`r3=vF#U%%X> zVC5y@D@ejbPb-q}MO3VlD3Y*t)4?_)u6P*=2azQK{&zMsvS22mPEC&;DOxDA+5iMe zz_o;|m<&vrf`(=iWy?2}o6X5i=GknBaGLaqoFuX-oYyhk(;OMDz8NyeP?gT)Rp~4k z$s}Ht&i1r+Ij-0`VHR>+2*m@6WK}Bte#Yv;`c3)144X5+;mR~1X$bt9L-#0dbH;8M zq!1ch&TaBdcU~_boXam7C4uX@aMIov!+Z>f>^r~j7yO9Qv)fb1HbC39C^R_CchlHg zM-q3M3EYG_pn5V#DOZ~Rawz`KZGC+VQyz7~&nuYvd7O}8@o(|^EP844X(|J2jbqjE zx$w)$U9#Y~d`CApAUkBu0L&4W%mT`?F9E5B9lZ<*D}PPZT#u)APVX8T*>^54!U zJ#S!O;M$_*B^c}mR!n(yUD6TY5>Xd8nI9$LP#0QTZHxHTwis61e15epvAWuB#|eW^ zAp?qDXbtz}9RgZ<8xER;S5^I)UL^sHswof9mEJsQSL!u!~G`S zaHUUYZ^LlYq$qE=FVEXF)3IO3+9+335rqgWs-Tb<&fcb#c-UMd?U9nLy$rP*&luIX z<4t{fmL}zYwO6jTafc7559_j6sD?bXQ5f%q*+4yxp^g&I=OdgqnWlk@4cc?`xeh> zx^}$r!|BO+=LIg*Tc4`l53yUWLTscAq<&Y1M>%+0#3b<5Dq|yCnxv`t`I-0cw)l}D zaVe;%W$;)Ij}_?Ttfx3RIkQ&FnzU#18dn(ZN}Byy1Eyyce0&pV$}D&_ zs2C-wZXKtqbGn;T;0L;THIV}N_}@;9jJ4>2WOpYy%?MTlPJ3#>;|5Z12`g_s@zd+9 zInBNzuJzVl1GWji^#)SVqFt>iKYEPtGrV(d+S1o+veEK7oz=6*u#Dk@XGX6ln2XRn z1$|E>ZNyo-d&@S4RzDLJ!UUuZGO4?eHrvGCxpdV#>3Jr-KrMlSxzzI7^c# zDWN+#-+iN}`PmPWyoq%7!?<|IvW+D1eZ{k)6|j%k4Fv7Qgh6+yUXh|?5tPhH2AB>&# zLeT`lX!p|&FiVJf`v6HH=PbdqN36_5i6Vz7nfWN3eP9aax8_&F%VlnCT_BdS$V+-= z^34LK?FI1xXzKp&6ww^8Y2=CPD$Hsj8QeL_HL`*Nj#)PXwnmJanhTGa0Gx%e4vM;ZOYKi$mQXqb6z z(<>C+qhVxfATb^cjuNma}HwvEk0@jx|gWiP^v-lp88IXkKISC2QohRvC0 zM6HgFG!Cf=b!4ps8x)$CNiXC!u#hLS65})ZvdbWNmuPCeo#@nFCatrYrW}ml%#o6A zaK)J_9HHGR(}e-NH+hA$#oJ*DNwc&I#oL)zVQwcw;LHP7>owu*&musoLQHU>TR+c-C=Fm-o4%^e>^c$a4C3IO4I!1I3rP(9GpMNwMD9pECv`*h}K=G{TX z-;?p+r3rZjpMMFDwm{MwY-1ixyBPW!1iO_hL(m)j$L5qs#9JVHX|@fh>NWjdfihPmFhKKRMawG%-ZY1dedNVoErEw^Ojtvv2W*7OV#gltR?;uyzt)1|Fk! zK;~QAl`!p%p7ML6chHsc9lzy$W;G_rt_Va=7e;cWjxZ9r_u0r(zAu*%17iFMNW!{m z&v7uf>`3bXGulUs2!`W2$Ye)Y2QRqz;|NqP|AJ)+*K3BsVtz?8xWh$*`-&ugEZ0BH zvpD;lx?WeSlDY&w9*20HE+=D(ql$wAT28Q@-J z%PHp@m7o94DCF8^sW;$am1hHj1zhiGyc{peY;xfbTVL4C4w8T}p@qjXDREFPk6fL-`BJ2g}U^s+P1#{1N&)rt<WtzPIz*9?6PF5=lJ)NztjcixVsaT~QpKM0&`$o4ymGJyo@9gvmX=;6 zI5u7c#;oC%S7tBsB62HXfjfASmX{-Z+{c-bp^=;#xl|qTLYEUs_L)%L{OsUAb@L5% zytK>mx=Ni7jnx_QEH*ae0VU;1R6Bcdn;92irmGjXnQ`+HHZ$^DPj>(Snibsx7v1GJ zw;MiUIz+!yQKwf|Ig#psiW;Wov^7&pr6?|_H2mR{!om;D;$5Q8U7!(b`FcD zI6PDuevz(Ie=Qj6XBa$4C|2ok-c&vG%H;-MH)JZl^Ibx0__^i}^+i9`z4J$@7pXn{ z(SkhsgL2TpFAp!UpLu9qI1vmHc*4UA6$=s88z8o5*~fRFTGUeZC_Y~1YS9<|3NwW) z1YCX=YEcr)Zce#kEp!nJ)^pF|r6!z~6^2s);EKZIAP&dgG8si$#}*WIY&I{#@yp7U z&kx4U^tSEsOBwBo6vr=e-JW28*!p0y$zRl z3qySr%MUf>>Bp;0pWhgkpDqgjXV|2E!8tnZux$A^?!jWyP#LuBP;oAClsRF%xo~k5 zb0!FBZV=1r-I`U*zsE6BQyiQcmd2pj1GYO4MMwhxZt>1)SZqP_2jFA{7c{#7OI}MX zLe)p!Q27JxK((2n%I{_E%Eqxf0^d^{vB|t&cBvqeDc_gt$p*v+0Z9dbxPquT-qUtW z*gUO}7ein~`CCreF%{-CM-;621I(d9Tt#HoytFX?Besn#O7e}q+*m%Q>G|XamZ}0q zY-1%i1#_+l$k~nUEa`=Ylk$oDFyKg-hho6X;%cTK03ZV4fmn`XMByDJzdeYXf|KrI z8Lu$CfU5+}r!5cfmUU)iltk(s9Z5>)xTu6mQIt@kY1&%Xt=4Pe%ZEmf)uv`~Y?`v- zh4H$<`6|MN@w&mL{}3;<`kEUWyi>SRXI|FF?pb|PBJb&MNgtUAO{OFn77Qxj^Hbq% zO=)1?RuhCq592RG+8s4mDO6A>T~HWAa;x7{;lQ7T7uvz28YfrNt z^bIzXunxX)OW#I5q?oyi!0zfW%r?NhO1PMU?MOVY46to=48axT`Ja^S?KRE=s{%7{ zTz}YcYnIJ=0asO;D!`j6)qo77rMj2oRTt@rswqxX4U!7Cyke4Ld9yNm{y9OsVsftW zQbC0iE+5r#HZL=U7jbGz8v9zjv9Aq0sLdPuI$qX}*w~ozRIZC->fkDjNtWTOVYcgG z2}Sr=J!JUbv~uJ10W8FeA-F398#5Bbr9QVr8v=X6Fp9NGFW_pxvqx#st-JVgM)NX$ zhPh+*oj$krY;vR`ytlhuhdlq3zdz3t|7BCFvs-{R80R?0K@+rh4QP8=%tpby6h!dYT`DC-QmBu)nhSMPFY`L*@!*>^Q?(0i37?OL;~vZ~ zYmS4sm7X++7BK9;;DK14;UFR?8}gRi%6y4x?8WuvcwY!{wW29F4gq=w}$UD`DogoEp z{riGTyt6KTYqUGMc5}}OaB38l=~~fNF}}eL_6y#vkNEgX-eGUs;Ch^!x1Zrl4_(6< zz0d1oA&$J%%ATn$Fr^&~vNb5+c3x7dQwA0p+FnGEK%d-qoK@<8QyryCz%;tIAfX*C zCs&&H3F16=SCLxg!$`bj1*`1}=^B5%a!r3bJ?x;4s4ZA6Ii~5Hs1L^#h%x2SdLfHv zgoTSrO(9BUj~-kFfR0Q3<(gXQTLi-ve~`T)2H- zn3qyh4;N5rBb=2TeScFV{{N36BqKp+C%|I_JVv9+3L~m4j4gqXj)8|eU1d!KCFPm0 z2&>6xw4Btt0Ucv#QV*XLq<$<(>RB=Kj~mBjYj^UzUdSChLd@n>sxFVLs0-wG0Bd~B zQ>r0?%t)?@2{hvd=oA#o+6^Qvo3*{RpNMM;MKv5Xg~0DseUfoO|Ni=a zHb87Ixp#u`ai0bG+y;~h?$+t#^=aFRMVkIY?BSt@CQO==ZOF0*Knt&?DaQij(QvOw6p4y*5Uu>9QpDU^q;QiP|1QJe;k z>F}6=;;@8fP|LzO%~BAhnE*Qrjqw;-}5^?;#xwZU@3fjg)<{#8S<~Wu?R^tOWzXmy9h9>oE0peeGhM=5QGX5b2xsH?iidyqp&WLM0P3ATL1sjoYJm*CU z{3-FQN*o(Ed6`pyxc;V5uo*tS)|rv9ML5^i()b5p0s?q!m4uZynh3egn#`{gm&SI* z*KldF_oR}Ww;UoOQQ)qHUfXD;GFKH=+f%koL1GEpSmNiT{LHK zlgPH+s=^2nF|*E{Njz)JRqj@?Oj{4rl9ZpV#nSA0S!$|?0ufH4Cd%ac1iLO>*QfL8 z6x3K%T*=f(-!tSc4R3=D!YynuJ6EsS3LM@;axd8)X2XryPbd5wXIzF+t)ueybDFp^ z3EiuT2mRMA;XXhrxWPswE1`H1e-{iF-QIm(Qd@qxQh)%4&Gz=g@;%@sxjmMKJqQqg z!p9LyecTq1Rz`$Zij^_K)CF9?b%>_zux;L0@UIR7Es>HVm!#kbP%yEuDL#9YD+yr) zghq>4rV7V;kFA4bK`CqMDmd0mqGJ|c)7|)d()eFF);Rkp2iQKZDP073=ml+ilqLchkplSmVLUrT^=ujCl@nlqKm>RbHQGxGR^H$t_%UmiEJCzoF_c~6 zjv)tj1@-q6GblG)X^2UVR{Frg|3-vHzWlK9M6K(7fqO!PyP{^h#EGUjHX%{!I85Xr z7}XhI$_YtjAl*EaJK(^G`Mmn~f}l-~FfS*iy+G^wn4Ii+T%_3uzuKV?ET^R1vZWxH`ADWGh5^eM;RW^F%>}{7{*EcI+K(UI&pgnM4xVr8BQJZU}l$SoN2ia>5 z;KloUZG|!G>^}Xxp61R>ec;WF9in$=!|guf-wJJx8`T#U0aIPlGgew$=ch?CR{L<} zY*~u>84_xoZFY0*W20rYPwO*=hb>#>ZTJ;TG=@h{5aTnr^eiP}F0u8LRl*2`Kf^;%0DAsh(O=;f!INKi!GkBh+W-p4OenFMv%`Azf znzgzW64k7n=;Bf>1&_-xhJon)kfJ7ofCD-Dlr*IWz+V?O3GyT0ily=6s%UmDlK7D@ zLs$cB>5EmnH6`IfwYkNAPQuR_df!QUYIcu1=WN zyy6$)x*-#{lb*^^;#A(G=wepUIt@XlLXId8_ee{d z@iK2?p>mk>?#DvqupFie$_q^ul<#+B6_lSv)!tENzhk6>{Z>{%S)run-w9h!b&P#% zjLvucLjQip2(=TKoc?AJB*|$?;8x&$*a0)45JWSM6uf%aP9Tv(ChZP!Hu;%B<4KU=I%3*R3Jifr-XQ8~?Q*wB*Nk{rYYXy0q4f5V2*RNkEYqX0L za6Oi4@9#HUlSx@t+b>Cp!e1yX=y}7@Imo4p>P(( z4XNy!FJ}J-Ps6?Tf5fm<$+}}%v0RO6c6YIL&%+c2_e`0wpS-xt z*w3(bzQ7|nGxn>OQk|ZE0RU)L3ffJ3aI9pGD&B@d^TS7ccpOIPq-f{<9k0OcEPz+w zj9(k#6}Uj_LAz0TDOcdO!-DySh6o-%yrjyi$iRBS67ztE7F6y}v=)OIRQ73ubHG_r8WF^(MikfZN(6Hi-y3#o8rr{QY=M2@>>Mm z3c;7#SzGYsjFkjmE=jxJ(_;shBwvmdEs-6tBd|yV4<8u%e$?|$lv#^_Sv$?nC!D>x zBH1u&F5aZQ99FIKg^y#o%t)lbjn+*H!Pdf|D2~I`TM|}!9$dvix{>BVxDw)Js69%k zWSP1mBIM8PjL`PjnB|7L4xI{>`O|YNJ{Q=`Nj;x_`}^G!z2*Q+nY;8t6STY5o73(( z;CK%H-h+p?wfpXGItW9nKY$;a()+A-sCd|>_BChT@LJm7U#BpD15)=xHCf3?f8p&NP8^lOFS;7Mj1F44zx8<({?u%VEQ&<|i z82mw#D3l{Coaq3fkr$nO5(W8Ssk7+5rXoS?w`PN&Lt_qFps}=U~%_9^2Th{XlHw%o#IM=ewL{nq9 zYuMOrDI;gM3-NY4mt&H!G<4(ac42Q)$ICs1*Y67Y;A7Y_?^fcw%Q z409XBtcZ$TliE~xX=%!PPC&jYXEcXgb^)RRDgtY-5h#P_bhGx73s#_!6O|C+DoRrU z*sZ0p3nQbLw`BVpX@&k=4DS?IrO??~p`}8%9;5s!v~-ajNi!HkFVN+E;1TC3)s#wl z-ljIpq(A$>M?4`Em6P1J@C(>PswF%Uw36+oB+Kb=^VZJAJgWfvSp}%_(&EIPHG$rQ zaQ^qE!a#5B5w!5M7>jzk(}y(uv}bzN;IIclGvkUhW4A2R3$@Os^$#w0U$~!drnUSI zt+1@8t0)Srxc6^HlccAUHQ7pOn`EXl2)!~e!X02bKjCzipe)0ZV2N9d6NnU>Qbf32B6kBzT1cv?(9^K&a9jB=i<;r9b zcMax;*1WXhH{4awrfIY|O=U^b)PBCZXWs_C;(3l`0s-;lNt%D(XYt6z1OhcaLtk5O z_DjmuD=Ei24Nt&nD9>;9gT0mNl~e$LzAl6aihG&uw`Ps;N!d#~Q=-sId$pa=OZ&@! z^1stdTMrZ&;877CmC%5O()NYjLFJ?eThbo{+FmEs<4d}_7bnn_@vMT~hRgt1|KtT) zjYtf%wMYb%k`ak2yhv0923F%mqB_lwv}_jfU-ina0n`nF$-1pI?b(ucOtd@G2!;r1 z!lRZN*vs%W+}a@)C!JITK~hp`b77%;+^H7gs-x;uYZ!nGg-2Z&L_HkDNm^_x1R&~r zGX$>Ka>OM zG2C7}_Z7EumRpf(_VW`i_4{TR=qr(_phOmfWG&xCQ%xZ&xihN@Jv+wMdbYUgyA3a| z34{KB(PL+VjfWo~TPdv_Z)Tjq;6kYzGc(iPTPYs|1M?FI`5!&Ew!DOQAxQ)E!c&mlGrxqH+NGigny=ug+HiR zinVVN{;s_6cLQdAaOH%*yQ1*-0H~P+;b(0o1pWKSC^X_dCa*Vitv;Cv|Dtx05uOy` zXKz{e*8UH1PaWS>@_cb90a~afDRQ_|XmLt{9ah}$dN>>o#Si#$#ogWAr5tW0rMNp3 z=YXOI2M5KWzs_v-HJiMbH?M@xk3U{hn%y@$GdnXoJ8~9wdN+W58Zc5}FLFPK^Fk%h z?x5$RQs+z9#|uqtt{yb^T2FX6-o8yo9~(kIy3WIQ=6?loE$O1H*LfTsltsOgZsE^z zv7^|rxto>w!bIll4cPc7GG8B6=IaZiW>L<3EUa2@&T`mv6THc~H7Ax;+quFC_bK@? zoby!>@Kt&U80rVG^+(w>nIt0C+%$zp~n1 zqk#z*xmy1RD)ns~D01N+c-Q44aN!`vh18~e6*H90{i?x5%jAPE@6?;LOF1DVi3~Ov zKpX;(|G{G@GT36fuxAapUglz47cW~}0oQ+uxDLnYEb&M`%!+I0nq*r3Vfo)=_BDr` z+qHgL^drj`yVA!prs-+7FHd*RGKEKc{haXAGIz*x}YJ!wf62YTIf=mVJ>n4ieF{&bXEG&8hMFevLplIV2UuypSz;bc3 zipMjFnJw6lZybPyofpR=K{nFdZZ$x1Zw7rl0gX)DT|;S(7#xNZ)P~0-En|;?+Mevk zUtS$;>*5r1WC|Dqzjd}|Lr;a@hDBJjp?`*1r_s!jE%4q5c%%d9rz6%( zX{o@?%lvHbl9~WFFHgE*zSGq%p^^?2XRT^sg{T&`Bz#}@j~GS0QuQ`w72t0t;aK>; zQ9*qR`umv+Z6@c)yu&t8mNZ#dj!bAZN{&ngFWVd$Etucqa?ERv`Ca~bMT!@mKmNuF z+W=v=C+z#T+E1<*kdhJrith(Lc?oBrHkcwbg|_8z7*22z##Yuc_7aR(E4{o8ZdX#5 z!F9rR#V&)=cEz@Z>uI8Y1H61AJR;F4g%E6_IL0~(%4f51XV4ZPh|uI1n+m8nkcmUH z1*KjGvL5LM%BPgK69r+B6vSU71rd^M{m9|oM)QEwwckD&az&d%KWY%5Vy{xF$e~OQ z_nbVrM0W{ruvH#+^4`!rsa6;5yVhXN{lEALx@!+Dyq$_7u8+ej@ILhjeCmosr(e(} z53-g|JSi*#=h|k^cJjpNDiL-&i5S`4*%B8F8?>4np}IVCYJ)Iq>|c;?Im#vzux1Vk~CqUh4R;3 zPI)E2#y%07_$Vnln)dsVVn>E7JvTMztKN02BEPeAT?*&TKPvGxs*(vq0x(n|5*`Qq z*qu2U1`C!pS3zpY# zkcfw9w$=l9?|pb21?Zb2^rdKSimafRj(PA2MthN4(~J}Bv|mA<&&NoaX(ra|<}eNV zBY-;Wzl!S^i$+5zMWc)tAUNu03&9wG;22G#WRr4+jyv-N#7c(V36ePBT{G9Gn;f+) zI#OE?9k-M1cPChdgsTXilfiQW?Cpc^3J~GhBu9!~#mN(Qp)5txLfz|Wyi4H=!HnAg zOm@-3s%~6*i)xyyE-1;`xzc@;V}z$wHpP3uL9Sk@U}gH&IAZf+c}KXHb^7z zu~+sp8+$WH!NcJhnWKQT505yn^};8&_d=+Hb>g?X_bX)gQ@p8kZkH0latQ3QngeG< zbKopm0^&8tIjcFK!JJ9!;bN~)8Ks&v=SBFTXgapOwt@eGsz2@`tQufe^T#dSQ0dLg z7$0*n>n%;MIx1CPz1(6x)SL8fh-Ihwe%QR&WZV2|q^b|UJNL)6_oswuV1x$7YWRTG zyo81hEldO2r+=;FS@B7qrxsm)*k~TdE>tx|<*ukZ4HI6bZSD-fDK}vN_Q1M=F0pl~ zNCwAMfZhmWah4S*ngS>W$2Gw~T8YXZGNPch_HqymF*J4WFWDB?NqW3t?EE{A>Ko0M z>y4N*zt@Sx*4mVf8lGm`fGN?d6gO&{+T*`=9bz>59vY65tG3qm2CR9(;|5^zCgR@5 zp8Ckex5Q1-*&SF$+~l|U2}=HDcl`K!{9xRAB-UMuZF>)h^|U(ESh@{@rG|6;O(T|G z>Wp%qL@fWi+58shjpoy__0N|Myq(zlnNovtH|m+qPHLzEDiA3~Si@#IJ|X}E8){nd zaZtbq&h>zXkDJoV9%{lzT%~){i-cZxIITI2)syg{MG5@mY9%0dSFe2Uhcya32rdt- z()QKI{O}nBo<4S{TJNf&l3hU7!K}JmK<6E32}Mz2+U^MgZzuAM^~Q$H6-(opJklO| zP7sg;f9%3~SJ5RKP`VUew^x!TnnbG6SFbugk8eYlc*eoO(kiWS^pW9>Lx zIr7Cf(dKts5f&U;rv9;Or{cEQfIC0GBe=3m2lwf^Cm<10q;z7CWA;Y@5ALCOiaPrR z&B1`fp24u^@c2i|*uIiK1ZO#b0;@QM`CbUj_fm)ffIL^-zcgE6JAAjG2bY_#P+vK) zzv6zzjkCtX3A@0IjJscxB;vhNj9+wXIt=~OE5^Z}?)*4l-G7=SVt|V!V(}oQN(29i z8Xp=*>E4K5zPEmA(MxeKAb=u5u~KM)2>ol#P12|Wgj&}km${+hqBv$ zO(sl=uEFltR%}taAgtkGyNc2twwJ5*0Y9I#ToBH27a>(G;7|o#QPzV~3I+#^Iqc4}Z`5-HB7OXsjThv6_I!^02(GTF}_St!B>NU;WH&!lxso5*oD# z8MCW}On_rMCKYkO+2Kc*>Fx)$Q~JW=8;FB=REU*mS_T)#zP!Kay?+`&$I;LcL&5>V4&5Ln?i5P?>(`0jh>|bYs1S^ z0T{o75Km2qAPu~;;?Wumf&oHDMI?S&dkEwy9_GnkmX1X6TX0{T+i2d^>C^RG#SSLX zK2Qvi0J&EOkaCtw!1NC4NMS4v!wKrar-xp0W0mzfirjUc1e5wnYbHAHSsUOIOlq%0 zlR9R8SmcAKh;%y-3U2h&862uLInlcksT&Hy6}7p$J_$N%)Vn&kmc+XR4Z^jt%Y~~$ zxSQ32ORpC!I34VqUScus40=k9#~xPo*GzMr?NQb`+jmKIwtJE4Y&QXh%?)Ssy71VE zkuZ7edjU9Uj*DN*NZP=>+aqs|J1Zb8!6tH&R3~iUq5K+O^)=ZV_ivI*Z|<~>m5}cf zZtd1l-`ZiOyS2ki$_~6+M~%+St=&3CN*!ht5Y`e9hDn{7fCW7Ca`~L{_4b7A)6K&+ zv9ufbB0S}{j{^34NT>14B<%C#&|BZv1V#ZZh>YI>H|^l@ABw;a6l=b-V6wgOvPH{l zkSbX;p#=!SPMuzw(DI#46_lL9fEFKkbOf}#!$Xd*o`9B*fC$c)tUeRxOmmDL)omeDEYwe~aGB~YvQ<6Gr z^meflFX345k(%zsV>wUuhwGk5muK9zfQ!L~@Mr)JqaGU>(N0LSq`Vk^l4RSxLcD5r zY1c1)k1f;nOCI_OlCL|fCg^#gRZR^&c?n51=*cD9vVj=P4v!ijd#B^+U?|Pe6%G=3 zAv|)x1V5n!uC61E4aB&5U=^H2hY@7<8QQY?BPX2Fdd)RK-o07(7u5RoD*~rRy_uza z=c4J4tzZ_ufdRt+f{X|ODZ$87h*5dwV_Vu2=gLik0Gp=_hXEt;iWMQSZ^@#R?+PPu z0$fL0KiRSJ96Lfy zAx>;bJn?Dmh%Fx^q(w_kbg`BU3UJ7&Q`3@B;ZvHd3P@bEDsELWyEKPl*%z~NJ(j1s z+M!TdGnTpL`~N6rHQUw8FX>QVL2dc?1X)@L9);mi1l95! zno2KuqQn-l2w8-|-0RIk8K0$ue=e08s7ExHxDP{DweK2vZvYHmL-G|#` zxSWCrh@KKOR8GKV5pP>SaIW&2072t$CSU|{QvtE*U?Ixu$3+tLgY`~M>5C-uyCUV3 z{vl@3@Me>J%{^`&x}9nB6_eC}z10N&sKG_;;7wBTr^ z%l+X>1#(QHKYUQa-q)G+fAe}39dP+p?+LT(~m#H*y`}W z1g;t=(6-RV)`VeO0Y6x*s209=3{5}cFr1(^JVLaLy#n&Lj`Z?i)UA^#2duh!+XGfT zK{w!B^=Sv)?Wj-DssLCHqCWc1Ua88<_nne_Z$LUGW!&0r(1zl^=0;0d3i*#usod>q zFJB3ny{0I>8v6H*E?cwM^Z8KXD5&tjTSiavE>eaB-;fm;gpGq&{|NG(vgo-<^o zq!cs)urc)!6B!%hMw(1xXdH$U;C5^xJ64vVn+hz<@*i_#9$_f9$i!xCMq}va@ZS9} zpap#T1-GLct!1-zqU};lk;Y`{6Y%Lb zZ`nL)#hr%3FF6ZihOBMI9i2(sakMGRE)IA#5wnYPENWhM`|+bR?nv3sa5OIeMkVez zB{*-hXR%GJZUG$Mh2+ZR3D7OP&or3){D`HU2LqAb9n)ty8_CpxZ>`Jw_8yMQA37ykW51b8uC`_rL&v*{Cx>nzF7t~`>blcT4xH@18Qkaf z>=>QMnOydB9R7fV=~Y)BOv}gwYANpWn;@aC60E=K9ZSCMe8JazA`83L)QwSV!29N* zX~pAg$0Nes(^vkZUYO~0+g`iZO&P9|P#RW|V*RxPGPi}tbr4gX@kmmaawOTB+2Qs@ zx_iU9deEe6PYiQ|#|;?O6{V}062H=2tRv)Y>u~G>qAE<2i|i)6zBd4ZAobD9RSQ#) z;C-YBmA(|;*PfiL4p`NyO-@aGanW>@$EO#$mLY0zk+T(sd3)kdPEE!f+ruK~da|%$ z_J?p=-`AmK)vdm-W_!~lm?#_fC`VDl0&jb8#P`Rg`gxL!DIE3*jH$cusgJkpScUX1 zNzo0UplPoaO}|*tRD=5=e$9gD*+20rqKmr-6ZVh|dcwDJ7omWrzm{_}?b*fAB+Fi# z1@qw^=tW+FP1g(9YamGz@|tgcQMIt90?EDL6HjixETilBM_ z#c)=5j7JE@&>R3b3@5;KjM2-DRW?{~_*iEbI$M^O6n*O#^XuCczd%HC(Dd8nqz-EgCORBV2o@| zV#?l0PR|J>9PHA63!T+05eN2#pPb|1==*Gi?oC$0!6#w=R`9gNL18$aP9))ggUE5f zPd@64`&5$=cx|J1CGMWyo|raI5!f75_1EY_8Iiv4HA>s&F>s3;Y@3HzmL9i_XFAfB zy9XogN)yaPz3?}sfXQUR>9P=&c9E@%{#~=v#O9hp6BxVH zvC2{phtG8;^-@Tt>^#-4u@dqm!O*o*v0j(Y=*=O21{n>buA7n&G`5+rckYaX^5F)l zX}hLN7qzDC14gmQ9h$@3hbk_I2=tAs7O@R4>;pLSd`{hAq?c z309;1u$>~1vIz=xxn3$TlAug*t`#(alo`H@OQ;Kvd43w8U#aI9##z=O^s6WWX|*6u z*L&MSk8`b|`Q_J12hz2a18HTn)eYtfWmtm;LU|NjB44gN9~OB%s#xk0Dc{-hrAGmo z@+b-!wSZ!8yhVmjbN%GndML$H0iF@#IKgH*vLgiPzfNEkoNGM|*_$Q4+(1G0&sJm? z392d{jGPB4o(_vFl$I4z>>A-vNHMe+8QH$DG{iVYTdu2#F_|d%V?fsYxxCdeayan z1{OIMcgJ#emfM;_<2PEo?v($tH3!m%%6B zU09r4lao4+r>ld1m7Mw!qGH+t9O*8WN&HK%RwnT$@bl&IRQGDXFPHLZWM5zNmzi2) z8p7kh;m>_7DKQPVJt$u7t+3c_@YoKI9k_K1i~5@!zmmz?R!u4%PjSp_Q7b1G0DBpO za2~*;8&*RvZf|19jVvK(?wLNejUI5qom%d1*KQJ5soLma7hp69-V+Ot9zKBEN3=-N zlG6HilZ@t9g;{4io-^m#77e8U{&{eg+5>CatCwAg{8+3XdH}W)&TOt$7jn|=Igs47(=H)#ad|Q&s3=gPn0HCQqJ!0(G8MB zSCVrl5cjc2P9P=d$4EC^2Q@hZIrK?%20C}+ap-N_cnW7Mpm|kzL<0*PLa#wpN>-QTP6=Mntgnx&eM85#I)$xo4kDJK0*J-X2 z9EKBwz^B`?W0iG34nIaBcKM^d&hKfdH#aWS0GAa$ya2{Kkn$3z_JXoy=asyk#|4A9 zmZvQN5GNXXPM7yolBG{$ESQ6gBK zrHac%1T}!ibnezouWLlHry2`1o-EK9XFW@DtMlb?;?~wB$K@$Pd`{1SZ3=IBg2c6V z<;p_*6m-y$jMnOe$d&Oxh_N%uvANFEG}04^8!nJ2xN{&|;)^oOhxyvVq!-CCuWF8= zQ}-eu5eDUTYVhBLf416imI#qN#TUuhm6U@2Xy{uIOQ~lv{feQk(MZ@3E@HaUZGo z&#jMeAUWi6doTlRy!Nn;KLEC;K5|`674}UBNvvEW?VAFBv$TC)3&Ot8g!6qP6`D|3 zNk>>j4LGO|g-;&1lE$>i=-*+)Ab13WeH?>!(O``SWgbdd@^#Td}x5Ky()# z_u%n2YRj&)05BZU9eCzGe0qR9<6&RORemfE!wGJHV>P*!u~>SthbMn|GUW3{6n*(n zFd?y^r4%FO1{)_<)AeO8$N$X>y7zpS^f7S{LRup*ul9*BiHQBXY>1>rwH^TDDS@WLtx zoyWv;Eunh&`O}lDw3qO*e^FM1Q!M&dK)m~*b=!3Amori~3) z$ss;e@71k`2k%)%cP-Vk(HJKXBb@)iV+6b<3;J~5P<%{YAPSb$Offii_e+cJEx=!~ z;@9~2F$oqu&J{-!4F5_mdq)xsZlhSeOj8J3;=DiD621OA*!R%Wn0x_+X4buxr}Q(^ zyCE00ooR7Dfkg&B@tE0ht})U*b;k}2r;7L(h5ivRES7+@zl14jv)u{!s0gO^WnKW- z53rMcfd8?u&|&4+tnX>~@RbDR2Tl0ki5vE&jPo2e$&^uh&C7PJ2Znd?HE-FQrRy61 zn8frhiX7vSH`+@3xcc6yq*5CCM^R8jC;Uq*HZ&2wByKX0?IR9)`)wFFzJ zq?0r!wVLAc+nf`lL0?7;)fc zb+xXxK0ol9#KlRjX(WsE6b4%cE-Wx`8N6IJkQjI!5NILr-(q+aMJ6mnHW|yptIBve zaZVPH1b-?Lr=A;y;(kS-04%q!r%X%oJyTLqs=`p8DB z%2RNqHe06yF`QIPvjB^R+4S+mZ?cf5{S zjw+!Zqpj8DfBH~IwnKo7q`ChYtIN+cVs&}bs#;iGKCwrbY^X;u4@!0U^uS#@cw~S_ zMj(W4J^Y*Ec=IRSevpdIs`<{b))`jzLe1`J zkSlnmFcwV+tg(i(nDF>cGH1#NewECq8|Kf0emz99NDx>({~ehi)E1j`m4Ft$BoW0MDDdT_x%n?HPZ8oM0M-HzKY&<| z5a-P(LhSrq*~iwo2>m)$-}MfB_J`nI26&gzpuy9P(D@tq1htU(Sq%it))v%XHo)_Q zYwyEThfFr)9P;jhtF|IU(g3_Ht8Uu@C7ye09BxcXbh@P^rf zBD=a}hM&9|{Js5Cb)d`^qXX!XSMOjO|4vEAe&$LD`^mt$@CYERE{cVl6lX>~0bx;a zl;_<d-HrZm$zT1yfse%7IFhVk^>tW(PjRc zCSq~Kd6?idJQ^V`?Q62j;_{+kc{E1M@*2ljd|@fO zmWcbbZUo#95#k(K0XtqT;y1v3Q9DyXgGGGJ)py~=12q)>C_7*Se!c}G;wWhm;otgx%g8Eh~2aIW$OEth*3)O-i8DdEwDg*V&Xh^wgXuMw5r z%#87od@&Jc8)YoAb(51A2K;?wSY(mdHAib-GX@^53P;1)CL7i58$?#!2zdoSCI)K< zBLkQ-5|H_~pl&JxGG7icm2A29wTaDYl1HYzeBmumHm6{C@K4zk@u2YX!w}X~hTp)= z6s-slw`PsUiShZx@NsSJ{06|`W0R6g;4xt8c}}ZSr=q}whXB}0Bzt?|2F5Bp{5kRZ zPwO3mrtXw!(Y^Ip+a1C`F7`Z>)ZAtCORKy0E41>J80tp_i@(ELM|f0*T~q}(P=}Nx zNmdm`R5S1i`k^HInIg&lzz!;xsxX$SF0fQz(2+H0_DM~6`M>b;|KKqMAsFaGf*yzA z1h|e`2D!1y%CJ9xxy4!3Xe|j7wMhn0^Tqe~rJv)QwDKrNLsW_ZAc9`QBLtRP2bcSX za^9{hkms$Zt=hp^>uHgcZ{~@sR4rXnAHZz@59HN9k;V-vT-FExKg(NNj}WBnP#|Ap z8kaSJm%sPqU^GS44X1G#4#NpP!l%(ba$}Xb3`aIIIN`FU(pkGXiOV)LO;+js6JMzm zkv*4vUn!zbo_wV(0Pb8x`z~8G6F zYOm26)paSHH~%QTc~9z&7}>1JU^8brv(}K}tTLx{llZ;^iPP+}TQ%v`#Ozk*Nu{~} zCzgI!2di@Il1+Eo`$|Ysy~h6TqVXABwgIen_a&8fKu2*0%!in$4`PFc-)TEw`X7tO zj=kqA1eRO}zNa7t03c%kJ7` z!anU{u=VjR0%_A#6kq;ffhdrKEEDl zFkA2FbW4F2J?&IF+a|rlZSp8#3VffU;K5`oHRwygw@bM;Yhoynu6h{P0q zNoZ-ctV_MzH+{|LyNte6#{G&z6r^+ajP;^fldLc5Vp(6jbQ!HKj3a3->==L0*W5cd zTXgReQ74yG`;MSSrML+2K?MO31K_a*xq{TzwUn@Jv8}7b71$@XpC+!@A{i$ANnG($ z$s*P2?DGBI)(1)jH(>#RfRVg_pi+9dUh~q?G!c|wszx?vbhG( z4mbV%I4%cLu8^noF75w%jj#Fe42DYkqAUS!v8&dvUms=DVvXGxv7I+3EV5^TA&Zhl z3T4!I!(Vr)*{_mZ-4`HIlE>63A{ZkGF%A|(*Zwfb1lFpp`S2NTXs$+ym6;ByfGt&H(C}{#_&d!N>*vVY_bV& zB$PH|$q+mcK$W4ALSJ$qK)uXdT-*S`@jsN*FKL0j|C7AbLrDgKCAXLcB6VoiW<4tv zbrUD&3AYMTtGOVxn9Q}}RyQ2N3;%THj|~@3Hp9@3EuL(KJN3tMXsb^X8y73mX$+j9 zN5Fd?!FyU@OZU*snlx&yY5UVuNjSZ%wO%wzbR3qDl2Y?skCH-0*1}R$_iYDJkTW z#g>Ui!nyd0samz&*^ZcW-Z0lFn&KV}bR7eavFK*OP1wP{SA#;I2LSwcc#Ma!6L9Qf zn$pL{XA=#4f*YvxN$u1o372LKg{H;KxK3P*DS5+^xt}O_EM}H(cuifD&2^l8OkpB z!+0qcfd)h`eWY%DpJ1!R%l6=P8r? z9$7MOjXl=$q_T%-LL-KyWewQ(b42m)D|MED^GiQ8jv)ePmO(?X=$|kQBkgd4NAw`O z*~0c3mf1GQE*6eebCPaT_jk2T?P$HJ+0=ms7L)2Kn_3=;Z-oMX&p^oR3&)ksfXf#%8QbvU^q6AlH|dj?z3 z46Hn##Sl`MaDl*t{|flSSr^jq7cPnAMI`)j?)V}2 zdz1}J>@7AuGso*v+ICbI5R@fT`vicClMe|u!!kmMCExIdB$h9Z3so!W zV*h>BO@ysnR)ax}En3zf#m7_XWXupU@KVBglw+37x|Swvp^psP0qZD^<=7^-ckQTQ zF#q%y6DuDNHWH% zFl3ggm_Gl6^)ZRpw_J+YAye?&NyR{vmb+P6X#{-Qf~X?#)^gZAfBjafOv8h(EV{p7 z_w>vf&uY@(gj-3whcEB?dv{!3lFA*fa<%WUlv26FZQ|KtJ0Pu|7^JbopjI{?d(p4T zOt5KhV)-Y-55ErF3G3JekKORtgCb@%%~!Aqh`kXHGoX<6A`9iFwRrgg?!j7qd;)KD zK;@v=2m1s&QtxYfyvMor)4U`%!HA87MdLbrale}>7oi6P2n+%QIG2S6!Dqn1=FA+7 zD8zjhVw$-`qJz$4PJ|?LqDeAmWwVxbKF-i9`Y@czobba`A4a(aPxsuL;%9!nh50L` z!k0>VLiROEcs&Hz{0s0}1vcn-?1q!t&Iv6qlsI6~lZcMg?eH25AUX_hJz|iHNRodS zKiHAqg>S$m?Si-F#67c-!m)XTOTb+48^@yVC*Z=Pny&71N>;%!5?Ap$r=7OVx!-kf ztff!5f6+Fn6NFj)8d2$dZWasZUNpGju4xZVDLb59-gCs01f^f2bMs0Cwx^GDPd(D= z_)(7Rm88aT5;RMeFdFx2C|+85EpCg@Yzgr}Xe^cgKxh&Sk9k|FXV2_aA_1EE2NyDE zv}mclF4j_kilKxjL@fmk?Q|y%f|fdEAoX7;abRBIn#=qguJ*jr#w|$xa&N(aWp3vX zJ0JR1K-#I*4N_q0(*WHWctiqI7eX;yiPA8mh``im4N`sXfhJu+VCr);F^t_hux|&# za^4`ne*;yZR(Z(yj)cpH_wu-;IC7VuOpiIBaCg(Y0ssiOA ztoIT;4&nI_abJLfd&Kt5I?(zzU6d|Jpajl!nTGrFKqo8$jbL0s+`pz3EW=?q!BOnD z;ww8=o^Hh@33gQz-FW!1RDGJ%a0Y`L!x9qdhn97B zK!6-1Mt(@8%beFh{hWhM4ozHOa>bQ5gY{m7mH!47%xW~sg53zXuLjumZ&)h$__%;z z-5sFBg_2kEUMg_O#3sB;!~I&|mrGcr03J0^UQ{I?@T&mdg!no!wl7ON=^lwd(?0mm zN#&CmUz}r6^RnBIAEi0Uj+pkR-{`+y*$T!*VvzRV@VJi~g%qFtKw$Q(nQcR`5DO1U z2mC^B#pSj0V9TS&*1yi!ObEQD6>Q4` zft2jQ!`Wl+M83{{cb7?GAI>&*`&;$eOQ|t7u+Jm_@g}fTJ=`}>3xQh<*yVa7#H0x&tO`d{Y!tFoc~ic? zt%#AmAP)y)fz}o@?S;c|f+{UEd;C}6k2fS`v9oo`84+oW=58w(h&FxaK_fO6MH>-zF^%tNDP}1WVI(+0!8C!)c;p9X4U`%?+h9!*hZq@ zdlCgXdN>6&-37QsdA(vGYc$;39fVm>_z(`P!zl<6QAH zar#~|=Z}(Eo}7fL0lCg*IK0E}do%xK$^Q-HV~zaQ!3(jbFYMLiY236ge!JpSqXFX2I7tWllR~|4XVYoITT!*pr9itX#-KaWs_+U^OC#smFgt zU`xNmw;a8!TB@u8i$_}hCB9Ppytpm!=PiQ-EdFAx98Sj~k2jJkglRd{Wys>{bRW&3 zi9A5`$A%_`!=@R0*nd(feThh2S|dbzd|SlAWrx6aOqaAR)MVqxY;BI6HT}F?t%cCD z4{r!+Ct91-!W`tT;sh*65FSe zE5mw~c$8*#pFSo{ZC!Y5KD~T(3-2=xCO&ZQr{#I12rZ>b!QF1(+ z*D=|F`y5%~gu79L*PFW|IU!Y>NTpAhT~`p^vm87y-O+fTPcuVt7*4PZOxP=a8pfjA zLnpm_GToW-R4`#Pz$WK48rWPJjih7C2yEaBk3ukNDr#za!*dCOiSXMXZoA@KnP?Dr z!19L!>WW}2KSFSj68kYvFqme;Zv#05IF~04g3JIxQ5X;ik3|T*w&(hoYxAu^7d4*lrGD@V%MF0#`T)=+;L}1x)wcAc zQ|@#LE54+a%%3%>cdFlw=6u~l-IHBA=dcpzr(Cs_AgOT?ey|)p60Svh0^1p2v%Q=2 zsbZO@aTZ-kkX&bWep}OR&9Y$KT-eY?d#hb;mLM4Cv*jzB#ouVl$}?b=tVW8bgd^$j zRz~hbPl@yIce(c~A~jJzsF5RNf0bXFm#V|6K z!?{oPB1ez{c!-h?^Eg*FdDWo+$RO}xl!I|5cx*&KzSxJr$#ZylX|HA{arlG_-v3rS z=_eJ=vyTvWY6+;;6YK)mtdND{HJMS)Euk!RYG-`=?t}BA?>#&fCtuL`CQhzqA3A)W+}EYg%K48+e;a9u0U3Dh5KRc)z%Y>+ zs<-H?W2Om{D{$5`xT#oatvO<~nK@_G1ZPk*i3 zm)Z6z?g5_h!_)K*-j*EO$=IIp#}tvNMXwM!XXI|LVkGkM(<&z)-@zmYw$G`hJ=)h@ ztmKs)EO7O&AirlexD$lc?R&sEB|x65ncyxi3hpx?;(rkZcL}G?+4_B%ug#&>yArec zz>)Pgc!d!zQUZ-+XRE(Z2C*%ybWzXp3GZ8DViN}0d5W|(#(iwbe?i4hXiFB3WU@E% z?DkEUUNnfc{B>Onn^b6=FeEJU)f;S_ux)pJ^iJAlqmR_=ja)K~y*@FpQvUmob}_^G zRZY=wR_ZV=7#f|k*j7L21VkyR#TD{u^5e-Z$|eG9^KxX@QX;$NO9t)_kzGqOc2%Rw z4m+w0QVVAFa$_NYre$B^j|J_G3xGdI%@jfbQ#@@zqzYG_4pdQtyXg#uA%E++Mye!N zW~zVG;39YSUmsNfe)3XaQsELN6K977vp@8*Ed`dNXDKV(=hm_wo>T%Q_Uoi^lWKp@ zGGO)&29lNmH%~RZV!cE2PqASzL0Nc|Gin(78wjKF(#x-+S>a6yC$1nE$-ze3ThS{Z zPb<<4n*$&i(c^6bFw7_z?MjxB>v+Uu*>s})`B=-J+pnGL)7weui=JfrX5OC7p!D{SO_L9cdBLBPC3XhEKPBWyY#)7G7oP|ECa0T7fafWU;NQglnp# zMKp5C%9QI+2wIZv<|>nnlLXtJx+}35#L5+3QYhDe+C=vRervoQiZq_y!{(|^ zlPNU-f;s5>gvTqi?6=ydhsck`VK@PL$3 zsf*mWh~gevBG_s5P&8F;9eY0K0+a3;%vztuQOHLP;N=bB(FnPM1VLj#94-cUmd>*_ z*2P(y&>(0E?|lIvH-k^j<#B-KVhhn+`~|+hNHiCJbsl$TV?T-a8Rd=4sQLtr%sxT6kjKnSpZ>HH>Vn%fXef zDuqh7fmQ%^Yk0JQM_b%4>uCC81K#%lrw<0}v>kG~Lyool*#E%@FBprnd_|c@%297G z>{HB9mog|Y^SuMbk(|=cwhCIjuWc2yEI|8?G|!NiWbyq@lD|9&I!OkwYIb%fpkV|c zG%u+2&Pb6Iek6+EFr45o_>{&^Zmcr@nPP|f`C9hN$5~_F(_xZleqP!jQ2rWu& z`SOabw7mFAyTY2gA*x92F1CYe@c3mds{!3zU`L#=2ZaGW;fg<9-z998Z7}DK2sgJJ zA#H+n9>9P-NjH`pNseb8=ihg%!l!gc4JV_Wu&h1s@W6nK3^bO)VK~7ac>H0I8>`Gx zII@?~2}}JUC7^^+{IZQZvG$EstyNWbT^CCuIQnIm%*O)+f@OA!Mn9LlfT}&U9X9`oEQB~vZ09=H*X%Qkbsq@h<$M%Lm9)l8r(uuwgmoMNGb0c+Mt0hP z^Qd%88%T@Rv3kY1`?tZ_JjHDzt3ycB-Xi9OwUsK*DqJiR-!&=W~jROp-R@avoibiYG{xO$MH@fB=56S zvOxi?FH_m%x9pp7XliKd3`X4s%NO_K+Uw2jltSG>&;QA22P7Qzg&uMb#=Xy^uStZK4yUx z?PV3F>@yw|A}e78+Tl1$Z90RZDKB~K#3HA7wwXa=(411u@|h$C<(mA*cra%Hb@u@( zGQy)C;^PG+=c1Vqsn*EPHYB7j;A1xJs%s8FkO^My1&>g488@W)25}fpfa{oRlpCwe z+Bp1YXYS^D60zoyh}C>C>p^yN-i&|Fui9pEZ5Dqx!0YM7DfSdmb(bcYaTrdJ1s=c1 zkCn&5Qwa<6NmwXWxzR`O9*I~;VuHR>NkSuE!~*!V&`6GA@`dp1rp2w{*^2~?gA*>M zu`Dtw22-JMTtbInsnIs|z{krL0-SXj4T4|c|hid_Eu`c#1 zr}x8e<}sdb6Fyy_rqcIM1~ckAd|!)_%;sVxSwbc?+`02MekAjrrD?)4R`;*&C1l?^ zYO{_7K7O1~?sPUQVDGo@R2xr;fON?ao2u zqh0^)NvP_ac(hY0o#n-$TTH!Udnm!yb{iWjHdbugS+Q-~wr$(CZQHhO+sVm(_I|JH zeCa<>-PJW~-eXKyt~M~YdeE|W`pW?Gjn%)REvV0;=ZWM~!w+BnYk?P{CfeOxBLi_@ z!xK09!_ZJEk?55WHxkDGL(Nd-#i8W5+gAh@Sr+o9zgIMiNMrH|oKL|+362(Efk+8^V!sJ$X58RU87ovIcqykWdw(KeebT3&2;lFdyBt% zN9oqE7gZab)|#9Y+h&NKj0psupC?;8Pc0 zfDZ5cYuCZjrsg8hVl8mf`fow#&sU$=s1lnCtKD^y;+=>HEj%iWyqvQACwGX+^{O3F`op;7L-6S;; zRNF-;a!y(i6gS?g?((a5_*|v2pZCE}at>u};uOn7HoyAT+H(Ig*|FW?mvwI&@+@UN z+xnxR_@wxRv!QDZwT)}ae|f|@_Zto9*sB9? zi)C}BjqJT8%`_^-W6w6v(UmZ8Oj@)9E@*rv{j$&Zk60^nRSPNJRdx1u_I|AWeIRGp;EHjSq-sr(7hx-=V(!U&I=^@aU3$j@aC=`3{ZSBGX#wr8 z-7UCf1B*EjZmWh7-}~lcxKyhDL$TO~C%gh7SC@A7Z;k?lfk{q705H?k|ayuUPz z%#@3)h`1O+yF}-y`5f+qgSzJ0khFquaU$*9gj0<<^)m9)P`vC9-60hf?21b?1^^S% zOQs{N)3#dWy2lujgP*;I5^*wf8K%pEbQNscG~!uvGDvk{*;Ks60Tu1X$vMt02?;u-QSu|agf%LGQ@J!E=1 z_d0T&Y^zD^;=Ym8>}@|m`Zb24g!v+<^gWVq?KsDV-|5|fmU6WX! zUteyXybNlp>4>X*g675dd&|wCC*DAEk_k{RH7F?~e^2-P9!onw>8g+xoca6lJzT{Ulah`~57vC`9Z2Pn*0eb7C@ zEs)UGw;$DLCSXHWb$%YdHVMJjx&ib43%3F)X>2KueR!3Rd~tG6jZZ=jcx_(@>Azqa zosI1eS(HgMXdXT+FN~=@Uom-EcWdgT-KDqj*05hN6H;Bvo)!SSF2>gOEZs)iR?|u2 zdrqQMCu+b2RlT+ZL<})t1fMfUE)>xx_9tvvrd^nrENNP>pvq0q8waOx{Sp#FNji6I z8+ZD9I1NhwA(V{t!><2JD23foQTgxgL?qJG-2MCZ&B-iLRo3rDrV{JyOO95I>$Utb z=cvyT?;*jnEJA5ZeSCLJC`c9( z5f5V_I?(4)e_Tk6g0?hd1d9!U-S8G^44Dtt`=(Kf{fH_2h>+2@GV69Ao}SPL?@T9? ztbX*`CLG!OFpso5Ct;jlVCA8*D4ckG-rJe49j#VF7hnpH1O}^9>RG`4fGzE-!@^`# zerD8JA2*y0cra%;&1t&PNk_{v1l<~bhMS)I+t1_Zdbsm&DG;JGHV*jKEvrinrQ1DG zz@B)Gx)ZAIN_d$}t^d;8Qi%2UdNeAtuT)8|p9-GO1P75|xm(8@?)U6c#oQsTiPV74d!lY3F+?aq)$xZ>)=SOV4AjL&A+^V9 z<5};rS5D9JYOi(c+7eXNUJD!ebL#s^$)Jyb)!Ec-1E+2r4!qakQ-<)WLe-&ox^ z36F7C>r0EV9FLjqO2dQpI-(In^fc0^$a|0UPg4_W%#Tk8Z|ChY-9m+0vf@kreIYK( zgz|*v|4a~&8LJ1YLFL7znzPRBvCj7^_mg@Vq!Sw1InUxVIW>4^|GioY}@=5YO(7d-69m=dQ!Re+F<{|Kh* z=3qocM?_h22UoshRp^H1PP(WUjxDHODv)dqj?qm>!lG3?O+sm#qp?@_Rg%dzW zjP2PN;I|fw?X|leatPM!w9qD7B})NeCR)+Yt>TwYVKgvJjO{4bb;_-0!%~T~59HS2 z!Ux6~u$x%sBq-N|2Y<_s^niBTnQ?-@&rlLVZQ+7(3c~6_wPBozYp;{mJq^r_3fvSd zSlZUP{l8w6ZVQ|yLSiJZm=`cBaryf+g3Y%r%O(jR2krYXRFwJ%jb5x+FW64Ko1an)IXG$85IVI zp0I2?Oy21WzJZ9LEQR_!bgvoTCynY2lzD1??Q5I&f%jH?%7bIsg8h}2 zaHj$`&E=Ru`j-3wm^r0orc$+>9~y9;6kH%1E9{hk_wnya>2Z8mxZ;XD?uAqv2eV$2 zsLObSKw0;AVF~%2UoKj3;~`M{0t9SSenIId39k8fxz?nb6h}`ds6)N5BarSeXOby! zNTQt?8dQ(hfGlp#)0hiG@7Bgj^-vU8Krcei>t_>Zl@ zoQ|nY5z`cV(-2aVZ5T75~ZsA zyf0!G9WNE!6qV~~oOZW+d=@GxajG86Cd7Z*3I!+?p)iC^j(C>TPjhA&s=^x;-A$pg zE*1!Ri8!tZd6-!lDipOi;Sf=Y;Sl5xSww@p?rX_XBAKsdax0nb@C4|E1*8xDJN2|H z(6ly}1{$A@fUaP$--)#m!V;^@{nQ`%coaJ)#N~(?j%38@e(sXsEWP5cR6;Bm;up!m zzx8F$?t(D20d#Mucdypdqg21WeKdWetgo3@K-LV6UlzSr znM7@hM*!>*H-3jRxqnMjMILsZmkNY25_9N7Zd>*OkNKu%&50#&WEBf`IZ3FNZDB1Z zu7!nMRr`^^T0UEU6y^jB{J^E#*O)$tUf{{skDi5j`A_T^J@r`0T5PtcJ`~~Tc-50S zXF-!8a@D~Ea+$mF>uFPqJ6RkLM*+rcCf5`%Lhny);E21AAu@0Qh5VH8rejg8)v(1rlrl z<>`F1P2hUDwm&W2MB#deyf&&u6DCb@7f1v~uZ`kpqLit?C3>baK9c-)?yY>iQGPDx ztbhZLOaAhrcW1zVa1Imz5%e@`T_*zZh>Mw0^7W5=qzVmWNIf&>+%UY$W4urn7do5E zwi?7uZ-R==67BSdS3Wlt7ZB9}2U9sgL6@^)SSP+MPeX^E{39wbem4rP-EI>KB=_3s zwyu2iq6Q!4vr)lAH)6m>k=m#6#R1@7irKJ{T{{P+#GIPLi@{PrVgJ|y&2HQ+O`NbR zWM9{_n0_J*(V?FWl0e*Ab!7~2VNyLWuI*{Qz%WBn{L>F?_j>|Q)Re2uqGPDVrPQ_`3pRU@-tbZFTh#rWB>A``^tYNSRF_Qq##HH~Q*SB<0ipP`HIfd~>S-0F5i# z?<6z!R%hjqFb(Rkh`9a~{$Wy|Z$|(~qdxs`ch}%E4|s>02N4Bz^-=%;S@4!1kRmA| zG|N_xk5d{?>$fH^3!G0(h}ipY+@5!{Q#p3qg4GGDF|W0T{pDxy)#oTfVH4q&3_Rw( zm6>uOy=xD*Uv-Vj_X~>6nIQ--Ajk>_mQ@nit*nV`cO?AYl5bU;#Ajp78!Ve)fvOb~ zQ9zM^+()}Gc;sXqt<3J)e>~1DFmILMC?f&lHeUt&P#LUWk$-u)gapADD7UtpH)j`( zn;q*-1u$jl(<%xs^TGs^hE&|FYl*z0IG(wRb<$i!?BvVDK$YXh%_2uq4q*y5Vp4B| zRN*qltq5G^qN=CQ&1Vw&EEk}>Z|+#`pDi~G4LHb3pa?bf;2LP)R#;W7Uh=YN=29Bu zU>Wsgdb23Sb_DbZRNh%!*zNuMHg+Tj#22%=x(l!v(_+z|WdjR;F83-{)<#mxficlp zsEGmSRfOdL6y(0064AHy=D;o5E%7&M7wJ_iLhfwdx5^a4$^=Kp;tWxSTu0$yc9E)R z3Kd*{XsA1k)B(0zYt)uv44VwqQctg_YEN9->4KgArx6fT`5t@jK(Z9DfArvlXJO~= z>NR;w153!kixZBwB?CROpzqpfTYaSYi)QQ(<^p`jbD`Lur@Hb-PI^fc|iRI;-nr z+>;0S|CKN@uZud?mFM@?utp+1BTDI+>970w5eK-oa;Lo%qg!EuF{;x1qb}g2z0%w8 zkS11=9M2C4SF1HqLBEirre?p%-|Iml_t}0B5zuyG;?TLSH6pP;3$%l7?Nl?TN!RY= z_SEKoX6Gq=%ed^rnPdGp%$osp(PI5!QO8UO41hVlS@o|CZR`%h<hbH#$q(J&Lo(Y`XrLioL&ri`XnJ!fm_T!xFSsRX;ztJE_KX zfr!Hz@+2oFlLq#Q@f|UBjpUD+BfG!)MBX*d%I<}>UbdW32A)X7zUpF6nx zjShNI=WV0tz|d=Lrw??#>1Q=RSv}bP57}=8(PnFS*hsgwNG4}?!=x0Ag8psLdk5n} zGISR8`(cx>iZ|NR6aq?y1?gyfa8`_REvUaRJhw2my5h=I&?G+PUH<7_R;PbRKH;T8 zOp!-2i$%t-`qRQ_A%COTL+YQDnpXyv?9*(M*N{#eoyk^DKY@^sE)$SBV#SMM%8U{1 zchp=!Z#pjpUfGhDHD@9&($-wq0C!_L$VsIAIa4)@p2IzOAn;$fUP0}r2^fa~t5*ij z!x)x>wPXS2-*3)wGDxQ3w>O!@dZws*yFG1hvn+a~x}G4L#L%i*Ghu=#S5!vBY~xeb zx(PQg&e>nGBX>7lBIryDu)J5r?vw>G#0l$huwEB^Nd*lG))~{ICJ}Oa4XCPhX~IuF zr<8~|`;C~_9EZ52YMImTe5mSVdM)|7Mss%l3=|5ucN+rmnF4PvOLz_#~ zzww-{4~XB~QTe%;pQ_UOI*VC~9=Ikzq$o2vhENgwOaQ9)9RQZz_vw!t*p>mRw>>uK zPsgd82cnn!N&%ubE^o>{I)*D$#c~4E*Wy2?s>gJ)M)7*BOpac`%F)%bz#yd}k@Dju zs(%=d;={$V!&5Zb7NS-)h|9oH|A}qct($n{EL0Xo*n*WQI^`CR)JkjChUNUzeca*> z=5S!cxKX6DO-zpAINqLlz%bHAm$`ZDN(ldD9H#okgb*QM;{!#E7y;&`|Lt#|Cr(ak zld!uua@+UKgrrx1oJG%v1j;^O&JiZTHcNo=()Nz3Rp)cVCr9xO*x{2KgO36pSGbR5 zfl&(nlTb5vp5wc)Cd zahY31n?&%IeK0;{9ie#$4FQ5!E4@Fth;Ya+K z3?(@wJg~9WIR0gPUl#L5R5)7>7hVvLvmg`Eyp1%nK}#0jqia7sXTZM1w=Mxw@c}F# zazr?ZN{f=-U)$#<+o%5>T|xG$sB)M#jOxFjx?qOg*Z2_)c+s++f{-=1PKb57s|?Rp zj{K436PU4>VX0Ho)0^Llq}M#ll&awciAkp2$3v50OR;J@p4JKt2Z4lctjm3B;Eqyx zCWdyCYB>yVCGI5b#*1$p#?F=C`{DU$2tZo$qG(DQflwY@ zv30WO+}gK!mAem+(dU@PmU4SI=e+5*i|cusf?Wyq$Gw*6FKao3u{J<}t4zT>;MgL1 zVNle?VCjLG(em6+Z=i^2qO_H@oqQ>dqk9L2Hu;HqOBT8D#YkOhPZRkCwU`Z_b6n8< z+hJ@W~r(O+x04f z6Pp>(rz3=Q(%J2&=FV)_BuH?vb{*|Brhgsnm3Fh4a&pt4Gf`j%oA*i_soo@pL@c9k zWC`UT4$XX3ymK0v*$7a{3?gM9%?oMMmLt~CIWkBTPp6Ic!AJ5yD#QsIK>6^~#Dz9ij=Zw=Q+BWZL4wo@Dj&n6X;`{^?szgkIeP9ow&|3QuD{ zhJBqPs2`4!7)8kbSHx1*tg0Pj*$Xy&8Dc0p&$*U~ED39MK6qT-{vurCNe<2;5>4FO ztqK=@ds?1Tu(wdO;n#+FQA6s>hiOj6V8@yTtwL-m6TAhGUU&CI6|h4OhulUYb!4!CH7>#8k&e_ z7h(ioo3W8{R{A{|oA;yFT(MHuzLv~XxN1Y|Ezh+h`W28=Hu}d9ADxi28J%Hk^=?Qn z;cSOerG0&M3U0Im)5{kWepK36Go9001~1bD5*H1?44-$Ox_$J#E116jN-30qSRmaa z$Mf2JTS%U_RZm)7LQ&<6AbIXbKsbVYt!bev${=%Ca+7srI1Be`qwq6X`wSYA>y~O; zD<1D7L&tMqLB^2wY_K?f2Y(suY5K_J2aiJ+Z|MECgbnLRBI>DE*59@s$;CA)Bkw|K zI4zp!x9L^cV8Vzb8S`J4j;G=J$WEc0O(ry*>Qo>y!{OVYp?j+6CAA?slM2Vtf)BN8 z9Vi+`G45(U^#mbu1B|)M#Yw6VpLZsO#M@-bK#*s7sQ^QxhWD)W#vGSxJLw_3n=RJ- zhb}9JBzwom^%xpciHypNzv;1zs%gWvLLx2rSKlFH}QmHY;ol zo@wHtAXpWdhCUVz)?ub69tt`L-d{higNhOkh7Sktf{Lgnu@33MgpLs<)7+6P z?40P5&P~6!{&BnbHv#48vZiV6@S0WrH`Yh02V`vfap@-$6v@CD(pi|h7@5|wQq%eF z>8x)@cAU0e*-pgsmMoBDYd=J+m&a&n5OqD$C2H3Jw|oxBMNj3G0E_AVeEZ-hkJij|fMQg;}%Xo`5r1@W0Hst^~UBz-%$ z!HoeUz_FA|wf{6!KIBF34Pt;!M?T*`{9Ktbg~LKQ;2sZGQrhkN<87;vn5=z({tA!+ z{+b}gfZHJX)Lc*0EX1t0$om8b+oTup*??(pb_e>{A_A!l@b}<?1C2h0W z>WC+4MQxF=yy=e$uc#&_(yI@q7`UWyIqEu~7K;Zc`t?hW$}0lhBSifn$+F)aAy;20 zmyS{NCDpN`mYj7;`10@G0R<%9>J;VrNGCgJNB@*hUYu>vg`#37wm!w0{f))bMPh3| zRq3^hMPrV;rF-jCmfyy3?QXpkFU-56QfZ3TajL+Z2{YTgn!t{KWuA=)ZAInsL=|9m zC3Qv5ph|cSS_|lRr;)5`AuRTcL;Qua4sdm-p9tP=B1Ne|jY6Tc6aO?5gJ=`KO1fi` z-=6jCvnSZAwT|l>fa~JFG6NqvrT^qEfHX&>ey=CSJ#b?NeAqT7=?D$BHIQ#qV*a2u zv@r5cAdsM<=%AyX9S`K+3JbC~a@=+(28l}z>iW1Ao=k(fM9M1{9pm{2)e5x^4XN2o zQ2uih*qiG7_?Vv&V|ziZqAWh90OXPOccb$xH;8?(99?M!8rJwJ22$Wo2sGN&bTQR0 zj~--p5z{Y!({CP(0ad-Pwt$A%1a`(fA9y+58C``n%K-Xao4wnzGXCF|TyZJ9V(X2i z!Y6fD2za6ty~$O!vH;CT`V9)c4U`2Z(JS-+&rN%_Y-058^DAjjg%=qT;1j2^4&O_Z zx)YW4BlNUn6$0j4FnE*dqcP42MQf1RI~o{@^fQqbcr9m<{6DIE1jJ>i*Txmpx=8N! zHK;p+q<5Vrx#9iQ8%59qTJ_9fo#tJro%%JTF@lB3NaC=A%xpL&Iircbx;qu#ezZWL zjj5t3{wf56wDFmQEY+`Q=&C|cECP%2kwmsdpz7{eOuPq5MNAi}wt9{JOZ@u-8$IO4 z;_s7UN?dx-ZHk>~QZ=fa9N2{b##9G~7h=9~ytVg*C3pm2C};`G83+)!$d< zP6bul@iS(cY0ST>O$h;|{Qo*Xb&DM)OQq$JYTamJGVO>m9$?3@h%KLuvr|*PJgalO zd`8ErRz3jJNN6TJND0QdtlS$=3M5saWhOZpZKK7R_IAZxbLHEXv?2060lDD(@V))c zEl`LVY9jE5W%xkpwb`^-;ilhdnYFyJ<0M0U#OkxqW=^&V#?nOZVn{d|k!R&`u&E{h zsX1={bpL}U=Pc5d1}mUecZZNNA?>ZKF>9~xD7^SCz_F}~GSD%xL^Z#~G1=?VGJ`bk zw5v?6YMfuvC~Fh|Xh{d5dR+FAroby;cS&luoNL0z-w0Dts4A%MJcOLk8nta~sxg>A zRVv4B)^74K5wdfuRi`#c;8fgE2E8bW7b;&`JNoEK0zK+mN9!fIQ4Eoi29HqTIw52Z zk_eP2!98gjc{>cNBfrmD2DwW{u+Mh8g2{p#+`xKsbwj~f&ovlZ$)bHthrclly2&?L zOS<*q-4oZH-p}h>Nbg?fXwihP3$%Il+wQ~NU|DTx%3(B(JBGtps`B;J(eNOCYr`%) z)?lL>>^s02pD9RGm?PhdkGbsGs{Z$P1UzKU z%z}dY{xLJ+$V}I+D0drvf2|G}IrGjUzaShnppI~#qAbLE%~41L^Z&uL5^WoBK{N#%AmB*;X3jDowYN8u?Z@zxIz;C z0cPNq%B!02u;ivrzV032%uJftAB*Al<0Gs4heR>&7%GgGVcZKh27dgLT9(ud11mb0 z7H%857a|Ix{7V{Bx|TTB)CGdrA7`gjM==qT=x{&I{GaO{|LxPM3vDZ|xo=PZw%D8X zHl8jdxQHN3s{hh^+FH|n`qVGmNh3oQ%yY8VnX%P3h^Vu^IM~jDt!+mTW=NCm{DpNp zjQ5$S1VgQb#CGeSmQ=B6(Tiy${;u6(yta<&(G@htJhG%rNq&EydiU1%!#K z*E3+2_PFhrIq^rulmsNORDhIVhG2t+{DXx>k9W5~gNfNH|AS*4b#1j|PK=-37yqV8 zom#cXt(FatR@k1?wFYGWQGoPnkPnx>#RT0PDry~E;(LC)h2mAs$F*YfSf@Oi=nFk| z=jlTY&_sh%dql5?LYd{5#gwj(Jqi{ z7)8-~CaytP%_d#+>di9A#za+f0-A`cTQl|f8ZN!eZZ}zWO_nVg@*h9E&_~lFFT#XZ z6FMFTTht)NHES>_!ZuS;t(b)E7Nd!L#G{N{F!v+7w$)EMc_|OeZ+-Ogvp0D}n62bOGG}+q`edq2es21v%8OlOlsW z(=WU65MC_)M6bU!tT$&5B%$DANK$6d8**YpDpg92+)yf|0fh)F1C%259N3>)R-$u& z1n^091s+hek}`&5+7CVQi5XO?hsc2hwQkx{s2g z)YL+pXcXAU4QpnV`cK~IhwI4OA8<#hS;Mcknae^xX_VppqEqUm|HaWgGgIBH?h{?}Vg}St^Q8YuyQui-v zewhr%I24mvez9B*#bW`HFyFXz1j$@DHl4maJogJ#sYp@1C)0uVg=gj~^2C8>?BFCu zs4P=a zRm~{=un(xcl3e*5UuG`W+lwDl5s(1);E{6o1vBdT6&+3%)|&&V@S)NSEcl-SYUA(l zYC43|!+k-M&3!KK1rg+*-;0D9lP_)7%^v0b%zFtMs~YqR05oV=1mGSH_-Y4}NUhohd2kn1YZ{Yq5zPxRWHh7-(*HECu@e2G- zxD}@C6Kw7nO_9W*4`xyKCIML27GAr7?)$n*u*4_5gxs{0i!T)UH*;0^p4PFdIh!~FA`1htQep^%xt3L zCD=J9Nin5&I8b;^$!M)`!uQC3Nlx@!ub)eCG@Jh5+F}L^EQM%T*RKT zULa`X%jJiTY@zw-P@411Z?yETGuLO3^`m8}(>q*sC1e9739FAc*biIgE!TRCqW=gu zw+c;1cSF`6;>eJ3G7eg=5MQy}__(%Dy}ChzD=`1j9(!R)HX^3&h zqaIJ;NPN>tr|18TtBXMUCGe4mti2ZdwV>4I3-72O-dSn>81C?DB$?}bPKh|~U_>e$ z3vq&VvzUv~ge08vGeA1+(OKh>jdet=tcKwCnn znLI>{G|!^m82CI{&U-FB^gZWYtQvwEp6Bu@3O4i9AQtkirSKjI-&KYbFz< zYXwjeY>jCrPf$s;>r}1u8d?=*L3qSS#oYv6n4Yov#CvY0@n55dwA8LKQ#)@1L?4OR z^5uk-gxB^Pt9wE61Owv_>j;)^Hw+^p=UAej^ZWB4-bDy!cR$zBNnj>`SiQ(}dvdhs z)pm&rHgW5QV*Y^(+j z7J7=Mx1^8V))}n^JYB?cV!yYE`*e#%IA_XKXSS&0k|QoxdPe(x8FU(7km0~ps53jc z!)3h+KcLXSjoB#yFr$<^-v-v=hD2|uHoC%7hvO}FnT;`kV+T{MDfew#&DU*x$kte3 zN_}Z&ZWJfiYf*d^jn|sik3gCcXJkwCHX@$P`9$j#2ia`TJcmfupr}smWw`A5NF+8Q zHmukVMg@W4uA8K>lc9^InH0ivQ3KyIGhqNiJY?H(M$%L^P4VBE9}pW=s}4qj{W%~8(};wm8u!?TrRaS`VpzokB7WPAf&hy>OqPPFlEi30f+u z5{lSn6TJ4^hft=HEbNIk5>BVE`)@v054f?7da-q7LSXSRRoF<4uReld$_y! zT}z$U;M0)|*tqEAyuZ9?xU;ElM8FYAO?tg~hS#Jh#@>uZ9Qb-t>d4M^k+sQ*;5i-{4Z#mLdx)x(ON6<2TtcR=S*JV^K7CA-*ZrUtg$H|DI*%#lZOrx~%3* zM&9mUmje}AHjLP2bSO2Rf?zw$&OOI^SsReAG5bLa4=ytp+ZUa>NIZ;klwXfiSKfxr zMfyG%SJNF@M6W!(lbokG_PY`Cn>Y<*=o_D7Ok`)*cOVN5#WKOJ*+B7n`iAh)w#{i5 ztOr$FLQx{w#JhCu@AO*-^KgnN$gnFCiYQ7MZ$;5keF$5_yZlt@_6Hqd{iv7L5;7c; z=qB4^t#8TquJF+C{-i2k!v}!s1cK`L#yH&SiFh=@gZ6%d=6-7Akfmla62GuVKSc|P z^+6}i>#MfS$;7d$wjuD=mj{iDfq`?GXx#N>OzQ{H7Kf2?`(hzHA<$!Y?4z(&qlabP z(PIPr{rx}Wm*+4Cdm|fiQS>?Qm2OehJ#^Sw4L{eh&W-%3Twq_G^t4b-eF|({eCS(_ zdEaz|x_k$;c_VQCy7*<)D>$9KAXSbfEU|Bf3H4TfoGY^QyPZ^BX!*|oa(los-(X)| z;guhFf=O8vWT`qN=H@kY6R1zZ>ZEjC++!{4HB=PLxn5fWy&|Fz_!L*Lre0Z7ss2$s z2V%VZTh4m|>z>52CF}B&`u$K}0eGwjG&BkhFLA_krSZZzto(&e&0?l%P-IU8BwnVp z`#J9s#47KS>xENE_({4)cCJn_Jqefx%BoeH*C!{Sy1t*A%QOb;BdD=i;9tpC{10aB?|U!Q;uJhamI)4;lXe8ko)B=Y4=B-lP1PGw{qUG9-I9!botHZt5J+?y^o^YKS{%rd@rs0nN5VUe%+Db0Mi=Rz zCLV#rD-D`-=Hn2GoEZwk8LUdDo*<^$X}_L^l<(@(=?za5_3wW78h1(uM?HZ?)!l>I zHgUx58ypK=jy0(v{L79fE+PQ20z071z}u{&71Q%N5r%`4=}OO~xuN`&?gV2CWs5P| zSY0x@cE`9EqI|C|20gjne2_e%J*?w*vBM2gp!--zBOtv3?b2yGegu#einqSC$9&Q@C-w@(-iY;vpfi+wR)jZM%0lU z1g+tvQi=$x`T~_mUzGkrRdksP-R%miEBJ2ZDfm#;NFpzp32{b0qiJsKzC~&8uT+k$ zM4O#<|8=b@zMlP>Mbg(Lzf4N}qZp)M=)kCR&Js6nRA&jCBB+hjZNW|lJKgSA0~UgV zKca%=Oy(WO(erw{>lJav0aXJ-8$f#XRoDJ9ZBj`TE5Olx`wvfT3Mnf^unUiFjnOwp{#>;_##=}Ieros1+`(*m;l3U0E9&d$9>iZN<>@WNi!LWwi4APJ8_ zZ|Wy)hIby)kmnCDO2^)P{axUIz=*iJa{13R38J@G>PlN=8DUKjN=+$7HdN>U1K1-( z4SN47TNhCKd}K!dxUU3dLC*Fivkh7Tq4M@~Riz008l4(^tHXQU7omeOEeQ=KY+I7K z6*<(eY58HW84k|L+8Wx+6EfT5F6ao&AkBa9CO*9gbB6bOsuvA6Pu?>Y;(}&5xl4aZ zT2_U9s5)<#TKQ^F#L@e^eBIQrdE9}gmhrzN9Nlrn}Zg(A0RTK$;el**qT&aCfLDTTTb6=;Pz)tZ4E8BPUs7v-DO1m z%FI?LSVW>I((%JEdOqLe_75Mm`TucMB=j|sFOo11wtH;rw3pT2!>50i&Aou5O4dL8NfVIX}Y!^)-C_v1H7+B@aFyP>CaIl+lSJZji0&!zlk%UsdkxqAg-C*bW$IfW;kp(G9e0pv_s9}at*?vWX~0b8;0adjGAg;wCCSB3os57{tQm zRi)I|?*g!fGAX4wO!;mgV*w8@OdDvm*dXJVXV)RY@IEjPh-zAq=U7qXpZ7urP6i)w zS<%zi74&8_84q!3pEPT}hjJ+9!oshMX}h?K|oo!9ASFzFqEEY#3b zdrq$x5*)4%oTvc_TjpQ0fYNaDH9MLN_1jpm^ zRxd4-*e?Ya<4KKBK}T_mAyQev`?`6U%tmxLRE9YYoTO5G-(7O&f8HBs-l<6PfACz} z?NlpKE6RQUAQ6SLAl{8x^1F6E=E8se>&3Y+S$3wY+U|0}GJhphmO!jLdUTE9NVATh zRC49A^DYJeTPD?C)!gUJpEgqBm<#cWhIAFG=Zi3nqcI1puw{jNkYRDG=Lpr$hpX$; zHN-dh(B}?N_|8Tb|J;Fm84VmzTO9Ih^(7`?1wXMTQe@p4n)SYhu6bWlSlNE)Cr$n* z?~ZMgSRw|gsZNMc1#fIlm$8xmx<_Wl!sQh@BfJ{r*`d@hhT3>eOwe8R{*k|tId@## z-|Y>}=?UY_5lzwk`<5RVu=PT|iZMQn$y#wg;-F1G#rtMz^L zLuoIWaFhw+ob>#O+}eK%T54WRXl;mp0SWE3!piVx=-8?}~_F_sM(kN47@O*swUDxAG8#RHC92 zv7l!iHpV;fw-?gYs&>4Pgv#ZDpu~h3{}#-|kuOB*makE-%0DMWtVi-WIROSp4oh-C?V5ZilH?*>A&bAeT07|XPm}>kUO89<~ddkkvpY0Qn0*~H&_zNaHvV5 zPHrr$igLTi*o zr)DzqvUp8RU7xM+QeBLe)H$5a^5z}zWi<3N{5{r-gmT7unfi1yd^>ae|@wFs%Yf8-n`i{laWPi1>{c@OuN&!n&sTz&%>@@0%S z)Wwd}tPZ6+*I+gn+A#nl%h^&y8r-&;r7*%%NgxdCH^~I7n^uF|oXfe7QGuG|Y~gyh zbwE5|21~E0{mYF|F^>*D&Ak%2qgkp*j1G71ENm)j#jgOWb0OXn(0$=p^C`y=RdB4? zMzoX+7e=xBf4NmJM0)z@&aIL*mu;lMW~?gnBISXHB{AP9u#TM2kKm-7%j%b2Aa-^jCUaeZct=Kd}waN8{u&Y!SKex)! z1PYb50c5#)=dkb7>n>=<+up7*Ai~qjm~=x=-qCbq{PUc+=j9*PiTA&=qgh*^RsdY~ zB|JJP8rqtT25xrC(s5sU{+zp`ZhmMg*4zNmpWO=vDVdck3I{5mqUtd^cdU1=1+mD9 zSNnPFGH#Mwy89|RQ0ASG@w?eV5e4>vFan2K5B21s{v@}4Y^^@|sq2N;U)4WU`U3>w zRMGOpfiQnOblQ29VeP;}hc?D^nD!RMkw>}k#j<*>XNnfn_WgsKkWGtKDr;nVewILT z_&nU}KqMW|JCwv6az$~n@AbaZ&-o=ucQy*o$))uT5XR@W{!a4j89jPe@q69MyYrIP z-U-Angev^g(7t*5=p-0j(%*#m#%LvmTD1WeG!Z{|BFkqB^ZBh}b6GtfU#JxNNOq;+gBHT?Y-aq+OfEPcOA<7IfL2I3Za zl~R{xFOV3LLB{MErT2rYq8Q11S?vDZss z0&vS~BI_x=(*%snq>Wgax>DsCcEp_~(h*7{6yF;c@k-~B*QrR@0@ zlj^8J&*b=IfXeWQ(MKwGTG(A$eB8T*3|AQ`&N`lIcPqcrRsG#&7%ZnxAb(s{ zS2fi?jq|uO{#5JwGjh4jOVqSTJm2L~Nb=FyIV&n`o5ZTyA*CL9@QAh7%4-c)R&$E% zqe)9QT2_bgZ3j-IWQ)fPc15*wSkw`phpX8b3sR&&0RqG6Tj|VrRt+j|SJlld|NjGe zK!v~KGjZ|G7e;VzMIK^(kGxuzIHL+V=7h9Ds*Q$4cBK=nn`H4IXulvBg8#ojBN+71 zW3o0sgKsR+cPiS8}Y>BWkC zo9u1*R#OYKY?5PeHf?YH6(e1)WNb_r=23PjKk&6%?C`{3&;tb$QjO~H?+4lqvEJ6h zpYB<<&d{o19i9U1x9hOMTS7@yiJit)%`7-0U%`iO7O(mh01o&2T2)V}|E{s^e%i6E zS&(>_Def-XO>^K~b@^?`*KZ;1HiWaQCkFTsPc`W_^pSL`@ivr>J$hH!*d5D{cB+9i z>{J(|oa(*}Sk+vkU94(u$9c1=xn*&M>cutr3Df42M@2g(w#9llcQ1cR&lZ+XHJ=FI zrjvjAAWM+clW6d49ML3VH{I$Yim}F_TEzX*w-u|{qfY+*i%?@E< zC0G3l9WB7$Ws9UQjCQr=6LT zo`0Wrg0%X5vQyt*A@Va%GNREXTOsXD-VSMNf$)^WR8&4p#o!z@kzs@VX@`j+qLj3M z)}AE``K>vUwZ*Hv-i8}twl~8E!e|ixho>YsK5krX zz`B);lM~}Gy(o;}#QYqd3qZG5)+l|Ypa8BbP_6v+*AWEk*a{CI@^~=PAS#4J1AGhz zKx%~OKdWzaY!jAPXqboC=xE{H2_t!T8Y#g4&A($nhtH@SP7MTaEea-p;5`KA(sl6k z&E(ab`>F4b>JT6d@Q7r^05|U5r(95%Wsco$&$^hxpLef_4tJARopf+>^yeMg;EOT2 z>;b|aGuw zp#8!1wnN7xr;Gcbw_$ls2J#qJGwvKOpuXB!A>A3T8@D}B{s_|li~nDnKYQdi+I1U$t77UFyJQIN-822<+zOeIZs zkz`6Ofa6+*<^fqKtc+J^F(f5Tw@5@a%w&B=8Cd)^JYiPt=Fd^WtU4RmwD<#e=oZGu zfjuHZ^|pzYoGadC<+%Rtb(gATrK9dSomw$-?Y)x+JY?tQ(D}k~yGz>Z)m!$#&(4Nv zvAeI&pr)w^*agq1UrCSa;@}e-vcU}wTbNV}^;e)fj+m16{z{gfaGv$~Dg@es?T-KAwPd%pU{1wr!m{&{=R`Z$ z9|qNTGmz^Jtfd&pJnz70&5!D_*HZ(!9}h2-+#^G$DS`e5ZIa|)YuK*CkxG=O0Ble> z+Lh1`zIz?Zn&6{6%JOwIS)LA0oI~d`jIt5E+2<)Ss@KBYXP+nq^>C~TG+ACqzy-bT z>0(((p!B>!aeDWQtgvL_`jXGw-)1Ti#y4h{EPlsR+r1uDj|j4uzJ{NaoWaTv&w(pZ z!DJUgMzE%{i`&AiW(J+f_gO~Lohj%6q2bjMG6%DiKSRR^EMT_1gj9F zA(+58!=+cT7!H6~ zB{i%(i=Zf_#mo028_l9{{X76w#t!ZM&Ltfa^;10)7Ei~)nJXa z;p2qvLJgk9$FNZxwr=Z+fo{#S1_jF^UA7M8ti5pKhgzi@2iX6!hKId8+A&DM!|tNR z!#+@mjE7wVmRc8RRSSMP9->$4?rEuLT-2j1HJ`FnZd?%JOw72zlLp4cwdBP7+hyb8 zI34;M`2bh>CakwU9-BH8*u`*(_3H+?8*M4T`fpKU8TBN=W(#v4^J;SdOm(Adq!#l2 z7ldpi0AF<5|CY1_ibhe4O3BX;pOu`p(nTdB*=6RZG&$kx;u+(OdxLz!bGZzd-7BIU zSCcEhSWnK3K3R_%c;?IH;4-cguFJber1bI2QfpR(-;A_quX8BIe`>1m#5omJ3}1O(gf73$P2sN@u<8eYru zZBM{!c?QOMrD1CvoDPh|eWRO{EL3$Kr0246v)TmggM%G$d^o(5wi%AWv#r5~{#ta? zc^IP8=SzR@+DO)Pyo7g&W3|;)ALeSl^qB$+HkK6pY3;(!;V`TS&Ck6oG^5pUTV+(Av1Od-JLH}wu^35GG#4Z2AfIaZ` zjLrtcM9rl88BR(`UQZdxe#A^6T!NoD`5uRsV zUw{h=uhy<5zy&!tTu`{h9^v})<`4jydU?}LN@?;PoS-i!F;e!0D^DLKz7 zUc>kv47ZnHt7TTh z`Fk;xTqx5~(yN7~LKz&Z6HRQrD9YbDQ`~wPZ?iI@2*pI%eBPupy#Iz{_g)#0w7ueT zRv?34Nc&7m$DFS%lrbV0CafjG`qsfmu~5CbZe4=;OLG1@kSp9|Vuj*eEV-+S2^ZNr zRtk(J?__klaKVgjXA0cCV_&i>(cbybrNefAZfmwb9t5R(l%@89T6LoKf(PS#ejmI4 zjo#K8%Wd%XEwGl>pz&Oa%nN@WM>DFNk1{K}I@D@QuOWVi5@R1GpxTI=^aoJK1Q{+* zN&=zh6e25NvyP3xTY;zyNq7zNVGNRLHp7ZVrd{XbuN;iH)e($Yx@c2lyAkItUpdSO+mE z-nYa$I|b`>_hg0U5mLWLwg4tfEA(^$Cd`4{6+0nYmpU^egj+Zoo6fMuFr3_Bz&krY zO>aecU{`hh@@(+vyi0#WdWq;-Ptt~b9>iXdGyFv}t;O;F3oEU;7y6jx25e0%oV#<+ zGl@P!3xhRl+yCF|V9mN~E$8NyiZxrY^3$iKB6~QDHfk>`(Fg>HJ6^(Gsl%$w=D%EXUP77qgYkAg5Zn0y#X3N!%JdTUuEW^) z3%GSq9&`7?!uc-Fo*9C_vkjQbbN4pw{;SwVbqn-T*~rA=aj%_u`}{dLJZmBo$Kpb1 z=bV=x>u*VeFoP`_Zu5H`_P96AVy!AYg(9&-eYtSOPK^T$ae?sDaNRk|C-)D`M%dtrd zEp02Fxn0dVz;NHEs{@Sw_xWV3HxW44kv+-ZB$h$3d3e7AL&4J#G%uov+y-G$Ka>nh z(pd_FB1nnwcj8zdWzXNq;f#3W9?loEa5t*PSp*F{>yf;HmdJX*w!6TF5K1cMgr0I6 zB1@~G121xPZl0rW2~)^bY+%47STgV!K4ydX`WSPHpHO0|nV_A9C`E{VpMYTevCK;h zhI|=tU0-#I2^y&VWZQOOk*se%k%OuIJqA;G%>pmJFsm|Gf1g}sKEBNHg8R1x*eli` zcV>Wphoc>@zre!deG@g|@i?7Qe$Vc^744eLoE~t)Svoo6(7+UPF{BCE7;SwqFbIpn zMBpkw3NU-MQ>Di>X!?````RjI)c&Jrv`?1V@j|6>Q896fj)}a)Q zUwpvZkFs{D*Mq0?KD|3M++a0TB}o|+?7kXAksW9;s>czLI6$K%L?koxM|D>xjyz=c zeO^{0zHhpWVanObS(^%jX%#8O2B+qeslV^4ax&qq(n?zUuy(Vs8`SAKCrw&2`|Mte zPMQr*9nFWN25f2ic}mLt!g21rmDHc7wcmYx>fhgQ$+|k2&4V{=8kNb=?sBKSS{1ue zaq3n3^vUqz&5FNSZ~Z@6->(zye|AF2}EeU4Gh32hKR%_Z2>q?fxl4KbzHD%qg5oWP?tQq|DJ}M$r1(`mPx=W6y4#Dn@C#*{wY{~J|Tx3EIA@O8q zxzUQ}Mk=l>+?ID38}_Z|;>ufBZsM2&9<;KMhB&Ba-zHJT@YX4=@PN487(3 zMh;g!60S3ms}|rs{QKaL*(Qe)yraBHr8cG-K z#!3w2onSA=K&oq?8O%Z&YTwVem;T8T`JUo!*+X5}2HW=@Y~)1vn1@>F5$$?Z7M>jy z%m)~*>vh*`J zrIe0fC&@~D6wFT=_j}eKCe5zLQk-IGj?$juNPO-o7SvT(sN+Z{hZ{qun(rQUKO^>8 z0Y&g|jZtIr93`ai!7ou8jbqa$>;?Z3e2hcksOciF_vCnq3;H8YF|TmMw)EpI*;z+~ z2{D19z?Lmz?cJYsb*Jfe@=y||xCrf0F6TUznVL`RO4qU=p9gtOKIaDcoV$(|UdwYf z#GPKDN^sN6)vLRM>VwpIe zW%w6h2}}&*X4-I6#xcPp89qsfeiYoYSI z<}ryT!$-q=7vlr)(|nZS)5+%dG?FlIdsnptXpiUat`z6$zu;r8)}#r$m7vGFVKbl>{&i)6ZXu`dGR?P zy|N@vkUe-XOHsZ)t`JL6rig8~Hii1ziak%ifE{hXUGBbq^=YkY@i81=KIrzBtUQar zOX!)$QReF61nDyLCsNcC!JT-aD?=ZeLNN(OOQS3Zbyp&v!YES>im>zsTPSIy8PZ_L z_+MJmNJ-)(O!JudEbgn*NoIy`H^zb=ZDIW`hs1`kewS^VCFd`3v2vCEmBKN{Jx}lB zkn;{O`X6_0@Y>b_4&S^Jl-^W^8;a|k3VS&XK9-_{QppE$x(aW&rOufprmGll(u7|Y zFk^PQa)h%cAcw@RuK zSq@wEl_i#(FL-ShML2g+e6C)tRnMzetFAxY%|fewZNUO}H4?Xkp*G4S(4p>9?v}%> zKl@dJ*t1Eq?~kRkuD0oxg0g0_XLZ9mvp3^`_Z1$vTbEq!SIET!gU&@e(vuT=&i9jg z$$y~DG&d#BpGw2wK~bt2pS|f$kb9eU#i87DzWyT0y{y5xz2qxwB_s0iCc^3hB07|1 zg+_ypl-PLPnS4x+qj(?6GSS=ixF$Qm*NU4^n&rhKS-ohoKo z&Y{`&N$_2?e0IT4NvNhY9wvQ(wN$bb!ZMtVRh;8Jt#h&5y=cYo)yVfHqVlp z*A~qk^G9N;+J;g7&*MawGui^a?ri<+Vz~J-_9WoW!E&6-3Yyp}kjny2WP-s;r`g*{0NpsSx5SU-oJ;Q|e@v z6RXLUwqj=f>g}*x6;1yuC4KW7IeqiFtUqE#fLr?Jx3F0S%xum`rOb3pD65K98+Tfp zGdAYGC%-0r>rBq=lbQ9ut=`z<75b!C*y7pd>|Tu3&?+{k1)|>eHc@L=vFJ?CrR06h zsn2jjd*qjKL$waqrukYPzZ930SbFQE;sNvc z{a}=gP`&7YE>UktWc)SM-NTP##nUwKOX3c&mg2OmQM+?$ufoChJq=mEhGv}fSAQ-N z8x?lC{_6hzo?Xb5*`v!S>95SljEf-0%3m%z|0#+h6}+{}?Cv@e)93 zoy%V4>kR2pDQlezcACy3L7B&Q57|5fy?-6-i|da$f4L*;g zk*~6O7|?I%NV)sBSzfyO`(!_Fxd&lAz zlB}>nx)8sAu}YHlG+9wanBf~pW_Vz?=ThoSaaALzOCvlg6|>Tat)1B5n$ifsiF zvTw`Y7XQ*pjBlP2H}0jyv?O5K&C#T3?+W7-&XSB}E2YEz$?x?MRkV|Z7NUW=5(QBxB0%_*bUc-Hb9 zm3ka%k&79u5}%Cr`vzJWeL#rI(Fi`C>OpdtZNK1)gXnpxnMcG zZVgi0CEMeQjWi8XblI@6REuyxVncM9C0Z#&AF4zfwB1$+X8;j!1V|7f>1?8j8|V8HnE{;n+phPVHO;{)G(;jcr*gqu z@Fz*$3VNqaUR1>^yo3&-oJljQ`PRO-S{|RKSFvR_E&gN<(V=P6GBxH4!QsG`@4euo zKo}VstFWYGv7@{|dcv_bXRidCV>odn97`1xW-vP(3Q5x!$%;#1V2HOHG3xlT3}dF) z+XALw=64wK1#=1xebh=f-$KU*yZWTf^oP@&^@DP^&HCSVy!n;r1sO$5{8!swwB&c- z-g?ge&mM<)gqQcLYVDc^zQ--H@9`=e1Av%!^F3}=V3AB9yA)vd4)ndd&h{=@*}Kv$ zD0{b1@)_wLbh$$`_MjHJ6}zf!GcfTC>WYAWu^2BFK0@@}2l^Vathl^yw^CQs{7Bc} z6R8<47nh+2H~HGAPDR#tUX`p|7oN5TT*IR7xQ4v{wtKdT#d)Z+7qq*n%%hAl52rE@ z_uCNf;7x$@LqcN(7-kypK$5GwZR_rR66|(tF{Mc2bIHoWDyW^5yh`j;e#=)GWfzQj zd=11OQ+Kld-`|s>Tbzu`X2~78so$qtD7@7%Z`t;97Viin z2f|*KEX^BI0w1Q^?y+>+nk$?|PRenrrTD@^8dZ~0a_B5Vaq~6G4H`@4mY9ph0#qeo>j$rsJ%bOBub&*3)8s3ch~ERvlpdfn{^6rW4p;@i_Tu& zStDC%hrDZ}krNdTV%m0w1FGtqh#ejF(rzl{g&f{Ta*Xiy63@gGS2r=CmD`u3r(W(B z$K(gnY8QsdUP`YP;qVq}8qgJT^R?_@xtld#!+e0fn7|K0ZGV`B25Kk<4}|dns-r-B zF^nJ(4@xYI?bL)oL__(Ig^c}L(qPM_!EkwpElF30I3!0r#rpRPmsbjjyN+D^{p-Yh z0ojueyt|y|wB!K2v!TCrDPqTQ|Bi;~rBu+~WqMb|Hz2PZgSXbFvx!aG*>GuRajaBJ zJ9|{@>@m`o4O4DnIlS_F;xk;>anfvf^RgTYV}scY6|^})nhggIwG zmq$|Ww!E1m(J#UKLuIRA;$Wm_8-@27N~_dbRWM;Chsw)aSFMJ|?_>sT!0U_u_fd0a%E_bSr8%LQ*XE$+PMjk|<9kHT}wCZ>WqGC+40acad}l)K(|rAmNPi*H4? z`T{_Sy?6TpSk?JKN)4}LHY<>A6DV(;7Ia}_YMoU#!wy&WX&W3dqD{23{OwPY*3KMf z^Q`k9d@tpRnr$5qq4G$iSGP_bAT@JWGXEC+`@Amtk~(?cblDteo!7Of!MZ(XD~$EL zYz|V!5zy!diC+cyv@G=+<{;;0R{<@!JPoW?U~HRX?j4c?yxA-Y)V;|5D#cQ?i+uU%nOIbD|dr3v?$RHzS_W4o33?-TexbotQ=ebR$W?lbF4 zFd7nN8_yczDM=z3jSr|gEt0_a|MNEW8D5taNlvEdK8;IYt;%;}&N;o^A^1jb&R=@5FBX^v^QtXO;p@zwH zOR7 zD)S(s`wxnCYz<>M)Qyj7a;Phbd5ru0DS{oEx##na*&+cDQom0R&+;nNXVQ;KU1n|C zkLo-eW5jhG!4I@A6_E`e!vTVLqJ`#Ja?`120!_j%4c4JaE-7ZtfxlKv^p9Vy@%VUF z^h&7?9qp;;DOM5^5gf_@sVp%A8Eqn40BTg+%WH{j4HIX0E^Fc6*KUf5h~Vg5r#7=| z^|ds!YxVE(e**w~WfYl{2ZxvLoroI3O+;`wEK^0lO1g|-)f)#6Vvf!W7E>R%?=pt~ z@HEUKnmls>D4BT&`S{xJ>k{uGkn7J1ij{;27iHHgc_Rq-a>*j`xY)>N?Q!o+MBQ; zn4Mgj*MIn0$YY(sVaRGqn~q~$wRmZ)SX)+(UcGUvl_MEFf}gCwxvH*ZN1Nfs)K7WK z*WJ-=6OFp<#oaba-XLD1;VbGhOy@CQ$$y z)daP$fuo~nU7h-9;1c^_CjD&QzYjCIuhAkP$jQjlb$JT7mYt1~-^IzjZYlYldx)M< zb{l_9`_{W9U$$3{MXz&m0>9a|^6xjDqH?TzD2Zss9ZTWTCgTQWSd4TD5iC+=v-;+ zdliRbq+~5&(C3D}wd6TWAM3}$vedjSE$EejfW@L+j4rR?*I?^WCGK0#7U10|v#O8F zLXO|GVu5W@BH2R0_iN4+d_kL@f{%-K#2PDj?o9U;j&w7LI%%9bw5iK3yCinGD5VPE zj@+^+Q8{n(ZpmJ~IdFF52gi&Z)!!%kj4vvBVRr?xbyU>lDNI@s?dVpgZNG>Vsh`IT zt*4Nzt@(DE-u&FjIlHgtia52lCMSLWtdJ+EJh|No{L3;PGmA6hacr{2cx+Z7`;s(K zIc(1)M~MqRx|2dJ;4S%1gn%#fT;l;B6v{oYPod`;v~N`~&j&qXdi)V>pb8}^MPGN0jTR~%VX?A5@FG6xB^1Vqz|H zZDPe;33wLO)&6EaAWFh22#VUcfZ7_!#wz-OsEB1zK?J0RgoH&Qfe=7(!-c9?7u={8 z1w~QnhJvUF1~;^d#cKOoTU)iiT6d|oRT1USdFPv(xik09oI9E1=XpBMmpb>%opaAQ z_bl%{Gj!~z{NrX;m)D+m;>=l9r4LL<;h){`zg7+Z%jr0DeqBvv)x2}^M_0|StF5Wd z=`yroNO5uh-u-(Q_wLuXZ%N<$(c^NukDXtgKdSt^{Gz`3MWus^1`g_5l3!d{T-?SX zc-W9O*L?ois&BTfJGRYJTi$%@oeTbYOuN0y=gpo`RbE+Do42RoUn{!gw3BFeYS*fw zrt-YT|BhdIG(YE8y5U#DO|$0Dp5wm>7kZ043peduS6f~^Z(2?5?8ZC0IMdsiN%{VD zk^gMGp^N`c_Xf>>NTu4icjV^gc52sp-i)eQvy^kirL}l9+j+HVK%7&djcHEdz?b?*r)z!|d@I0`s7(&m=*>`$Xbye-m zsbzKL)61Nj%POm;wIa?s7pzM+^=KE@W`}d|pyL`F3VcZMzN}T`Wx2ruXmdjx&E^XWi=JXhS z!s+8q8#B6WRC!$k&&p?c`3hDYR#jDAKCr5yf5Cu?f&B_f2NsqVRFn>=Dk!Neub9@a zs;IQ2ZwceU9R&k(5?;$9&02Pn*RnHBU>|ucyEu{=&!ZgzAxHZurA}!Dj-@p zIrFQ}sjgXA&DDTT?y|O^2J{wU*EwMgDA8y@A6WwmX#z#E1{6nXKndO3nWF(6zdmB} zb<>8HxEiqkt5T0s}SO;$w;vp22}*ML%*QD3_Bqf38C(>^>&gb>2G51`9H zNHJ4;FbtwRh%QH)j2%pO93vinD8?Sk(SVGk0Ym7o#o+Me8Hd(TSp#%4z}A6H5dZwfnG|EJF`Dgmbu~5?4iw$^YdiJp>+~wZ%;4QK70h-1}W_38lGGj@<`Sl zB|ZFP`YZR%)pL$%`*_Bo^>ZHUU~RjLX^b>kcnr&@%P6{xPASdxf~wlOs&oBg_Y=2` zfmVmzMwTG&6sYN5^BUranbT*~wQD_fe(i!t?{n$DULRG_@xDx#m08E+IiF+FRxq)x zu)bVN+ru>D~iU3`={O<8x*L z@q@c!S)a2o%IB;Px3t*l#|yJJQmJ~Hv1ia7aGxk-&zq>U8Je*eHi<50(q%FfjyV=1 zejy&-A7jr_nu{`d)uu@1qMZIZ<()CtEU&K3IJ7DvZPiq|tx~A`K)iGn$5u^C1uonWSF?^Le`r&F3!F60XIDRCIN5T`zEe`q>-m{Qh{*n0z79!#@Qk+;>pv+LX_Z; zj|M*$b0k`}lW-`7I}BQv_-4?0PR-2fI<60-yI;ptt{X(5nB$GKoEvnh%SxEUB;BCC z8aL>&l(eBtVSJE8_WUr#j(v*RBbT!@aD?wl)o_h^PdCDc_xt9I{pRap1YobPu=3H1v@9HMBXDMOe&Pg!QR_gDDmPv^Ma>0O?X}^N-PGW6EHUd|dDrR2E_OPjF^0=UbnYW)E7M zOhhOU4QZbGR4R~Y6=4A!q|L0S>O_Jc^SB}}gozV>yHnLJ2jmD6wS_KEr_MD<<*V)uy60 zbmE2-!E-5h%YR;FUOC}8v{Dp2;B~yfvt7v6Ac4D$E-$7GqLY`zFc4UZ+5b*C`e@~Ykn7BVQ(u~Eh*XZ&Gy1cF&tJLy0=)WT>`(rA^ z$(ra2Yu=w&#=r^99*yU^yK$ZNb^nJZf@C?8d&^S#IP6V%oogp!H|5PO-wI z$ze=fCT92+i;3F!N2i-!Cc5FJmWik{{Dm%mrOVq&CThn1O^7L|EQg}}oulUOqymMC zT^Bj8<>v zl!@c-_a3w}Mx6-BE2O}lPZ`9dpV1wt@PNu{ysbLW`dk*gFH(U(OJD*(>q}ujBC@f5 zcTYRnokaFubU|@x4PNvs&eA+6O%^et$Tx%5*F50>r~XZs|Ip>XDP>%$^&!8Zo4!qj z7{(ew>${Z6?h**$omUOtUEG*L`?^rXIfCoEARPg1)V?l;e;@X`YXq&`tk=~Axvct5 zvwnq?nB*hN)QdXe4Cu9?}EQ=+ZD8!&7V>b-QOIxb=MzanJ z#ckx;m^(GeiXHsU*7Oo^VK;)8YUi_!B^jkBB|XovyiN^h?PWzdpIAez=H4`ewqRpm zA+<7(;kj0b%x@I5@>sl%s%dh0t)9&VVm9qqvvH&Q=84gfLvC-mg8VdAp0)XQkhBP! zuSl7IR!2+aO?8sxhpGb58IL#B*>d7aUN}z0Gbbu;xo~_R%bhD_(vu9w`}@Q3_N}JY zgap?w51I18Ylqw~e3z5HipYF)SzNZK&r?&%Gh1)IaL~2umiKZ0_MB!B%PRiAHr?hr zK4^Fd4tEG?&Mw5Et`<84ms4SIbp+x9RQHvoDSm2;qr{Ene9eoZ`iKN2Qk4|x>!S5; zvcSI*G?=0q2U^`D4d#BtTg+g8`qjfyT5GMr#P9>GxYanyOlXbUQdg4m4m8=;GR;$F zJIHbq3_qb?`IbRi1BK3m1%VwYc9Wn(338`OlE($nGBM$dOrJC5x?N>&AuLA;R1_7_-Tk+e=+w0!7I zk3i|X5B(~%6p4mdTCgk?CyQd_Ni>Q#FRc(6wxSGQM0_ZwONojZ+QS1hqAy)QM8;@Pn49>vuw6&Ta>?<6L)L9(rO4dG z6T{gUESwE;mXdTf1_qpsh*W;oofNM;v6&8Q9Ye2tEL{L}poCU#UWOxWpGd|RDJp>n z2CX5Gw)S=9)eQ`)E32-lD+}3bQIAGt8dDl-sj_1ldAeQPb{ym>r)t)$nRDh7)UI?&=;q>byOObhswRNmcg)phB5b_a5v8pZ|)inI= z$@Jy`ll+{1jkHwxKh0PS0|DsIu9Px&sFf$=zeX7u;y{jy13-#IkpmQiJmP42nK3Fk z(2d0iuntrxd)YSc6r~+IRrZZevjX{3v1@|X*vK#eS<`X!@YCrs-cn|oVdl?}A5IxW z-05-5COAQPM9CtEol#opiPGCSx#Eknl^96NBxUa4OnI6l9Wch8X-?+&k9^3^FX$0x zS#DJOBNniXvk}Qj#wnI}BLb~*p4$UB3xF*ZG>xgs?3l)wJR)wZG%_YlgHh<-q{?#L za3DLFv}0`n8^g8$N+vjd=sB@s z=$JuUU z24)`l8Cn#ia~XN$zQsk;`c+jG7xb$vEGj4+ICWq_#k9)ef`P>q{R&G83;Xsh)o;uT zEZ3<-{i`eFXCX(W{9x5nPrfHyqrcX5d`wVo?mj=SSGE*k%R@2GEs3+zN)jAum?+mUNrg zk12tk%zjLL=W^_#Kr^?f4Y^hKNtH*JeNoJ|!7&dN{)XNe_{tUZ>vl`kfTF};EZ^MJLz(l$?R9th`Z?mDkT_u4`=pkXue}z2%Ma22d#T~ zv%ilX4lpLBu@*||&+lu-V%Tpj*V+zLj@2tT9({k9g1;@e_y9}6{gn~0gEI3vxl~6) zYG9icC}#*4426k@l$-a%^5(sc?xelQvw1%fx_RrW4P5v3J$eob2+i%@K1%NfAS&Q! zLm|xm;jW!A64?OKLNT7P407neygqBW1V&o={IPGO zd@A!fX;%ZS=as_nf-DSF&%sF|B4kC-9jmP?LIU{IGWpy_Muo<;mFJ!Y7(!~>;9fDJ1kXxUl&o(2vjFP zWjusZy-I%wTcy`6Z(#zo-Vm!4y)*q$c)5hpPSnc{^xEJyB6Sz5>5WL$LNrux`AYh>X;E8alA?I4Cwiv>DOCO z1Alo(GZw=@;4ko$?J%#GicOBS`5Q}6qN+Dd>%;JO%jFUP*Hgi&cQ{*- zTnVd|HeS$r*J$HqpVC1zh`12ggn8h(yK1OZeH^A%Q^h9! ziOJ$Nu_zjCe?JxWmomGF+FxC(@6Y6|ovaajX^*$}xncv$3uSRT%*mSc{(xvizWix`B!xL z+QfwY8v%tNa6ZQVmt(>%l*Hv5$yEidZ!K1C3^;0}p)r^5ELEjPV{OhCx9u{rHo9DE zsJCYTD*awqn*)-zHh~XJL>UkNJ2w}qbT_>-&IJ5Gmme*Ia@HOiL7&fY2wVmkuxy4D zULi@LZF_-0pp~{+Qb_D|&M^Q9eHCW!FR1An1H-5j0lY_RTUm_L+7Z;x+SnnRYQET1 zbL=><2BUKyjYsSl^+V)qMR^sh+slr#OGH*naa3b(Vscx$_(#bwXXMS{eodu#z`g${M3qyfA#9F!8!Z(CWex zFMq6%qt;*7R(Imo5wfqfpUpY@!j?zWAaMp~e|lICTTzJA zjm0ojG7q4!2bzpM2!_gbAAT!jIiDlT2iwxgDf(;oT5?3zWgJ?E%B*GjYjo_$DWf*G zzlOs#ICFFu)X6re0ix>B%XSIeZn4ii94@hKrR3*;))71t0Bj~8ZJ5iEwhExB(a=J% z0sPcx17HUX%B+@Fe2I-m(FH4V7kF8~5y3T*cK5cuNodgO!{cQkJsiiZoN0iU{)(<< zEQWyqPF=0Fl+|FZIYlwKILw^hC1{n{jFT8!eC5;+=11Tq95cIk`{2AMu3GWKtaQQW z&dH2=&q<82{F4}^f)z;a_qCPv<><3#{cLZ8JrL|~o16wheRqKE`cebc6M?xOB%6C$ z4&3Q6_eY1Cdw&gk`m~0BC$8J9T~wNlqk)5CxnSTC70DCMYaC;{FFYvWNTXQy0jFbS z=4WUI1-8WeArAAIp-KH7{QDt*BnTX@i5Z%paE&8oI5G2#Wl%H6aV;Qb?r_aEdo1=F z)Fn}69I71aF!eZ@sgxPTogStRvz=s7?2?AdtT6Y?t!Zl&VV;=&@hnBqA^~)3tej1> zBAg%;;rOIOZext~q-=qOT`Pzw*C z^Jj2~?NnmL2G>vo3&y%G*@7RZ8SP8~ei-?N(F!?MDCDT56*Abyg}Ko*?DY++!#Kwo z^=aEU;0MAtXjgH(SnP4ERrJ@>lSS^IPHP7g!+ow#sDPP()8l31a0ZP$Mc%k3*kK2X zbTAI>DnVt3rEI6F8u_}U>Sx;CuT%gbGMT69aIyj8`3248 zEEQ{GiP!ir@hTJU>y)O67sqG{Yz;GmK<8r}h)QA^1l|Evfu&Ja9dE!tk zj>ndhlvLOT&OHk*+ohzlp2WVCCWPBPu95pq($6ujk(>V!(DbfsP zNHYMfnacFv*|HHLSpv5_M(CVSBjh>>?4!%zFF1{w_(y6c>%S91!)L|Hw#M~ez^K_W zqX-v{9F&Jq)pjTX5eIfrajcY7U zlbaUz>G-jOz-YO4f)@x!bZ*j)Xdp$xMRFwd1u^41R7@e%oywu*ywG-oxt)ANe~~1> zF#7_w23i;M6dK4a95h`_)40UM99>EyF0)+%Ut{){b7sH9_MQv+Mlw#I^-JFDub_wH za4e4TeTNzN(HEMr71b{T5FrIl2wy`BRc0HMyRW4Y*V!(CAK{x^&yj0e(#mDF zS1Up52A*8s2(hFAIHTMev-jgUbXrLxKmhM?ldZ&pXyqEiZw`~|%Y}W!B0nL&xRuJdeu!mZLH%bcyCe0%Gy*H`;rfrdiRu-~+;!zA0f} zx};Q7ZhTN?{zEha!n=5w|FF%1QM*jqgMYztW57X)dG2K$&F&Gp0OQjG>i8(fn5>tK z2>?4k-j{{wfYt_{F?oz0zL73~DIJIzJd~YNl;&*Hw%fLC+qP}nwr%&cr>%S1wmI#d zwr$(y*U!86Z~i-1RyLBAl~t)!&YX4BRA%3gFtsH66T_5VtX|0k@fh$K!xSfFge67T zKe{@Qf`BorVhvb$Lx)q5?+T3rvIY`0vVB(XL>-!+a@)3OV~`A;lO}|Xh5z(Gia+7j9xnG zV1yNg&&8KOUbE3Db%R8*;ku}0lo?lPf-pf%o_N<`WkCAU?a8VBt=btRapLwb?9}H? zT6E&4NiEfj8xBOS123lrT-L4LR0brI^ji4377)Ea_Ucq>_S9;-gX?u zy2zw<+@=-b2314p2IGG+mUP@|_C1UwPgUS@PCcJT2>#oY>oC?4C^29{;HhqJj6C@O zaRYNg8K^HW>(h4}PyXPPRs#CbdhBQ3I`P4;d?NE=vAbk@w;EGNS*k1V5OLfh@LPvQ z)0ikNV{cBrm#L~8@-RrR*BKI=P~6!xPC?@(8AWfDWRVHzto*I^`XD+lu|h{bK6A4? z0O(e$fheW|1>++vFbE^IsSXu|3k4&n~^0W`W9(^e3q2ifp-T!0 zuM2FF=p1K;6dW`JYP5Hu8)X8-EWmg-bai{Q@o_%#Cg3}+aoeF3A%^dEzu$l?5@YJz z{@#ABQ)yV*t_NC0d>6xJ&8P{jYbl{)bAUsoaIwJXY?py5O^VH+K}_;66+7CiTVlmg zb(4#o@@6}ulxKr3VoD!uUOBXq^_qSO`Udc=q?TQ5uMVCrU9NsJncvv1Iu3d%kSyLR zk^oBqNdaT^j%yS^H^qbgC}(Lih3alE_3L4T3|a%z36lZ=a8LK9tO2WO**DS?k&S_pem@v5ywjA7DUG{AJNsuO-L0f~ z*77FT2dd;!jWzr`gE{3wXGEiK;j)sw`DTB=)7*JCUXnGaQ>_Vfmdn@=_|} zQ6sM8n1m}s8%@!%wNfgT-Z#}dmU+mCSrAOI8p|Fc`hp5;&g>GmudvZc#>Qe(5!l&E zqSTNBD;t!T!J7~1O*WKEP%{d<*=|fI03b3I%lBVB-CIe6C8+vA+C5+#g{&ic z^htJj7x|-MK}!OBvCH8E@xyXfjc%#cBG@+n>FhiF9dlb4VpKNt1QFM`7PmbZv5WMR zf|Yr*x$1}zMlZg%Pm`;SXNVk^RX(13AZucO2*+^Nff!HO+WWU8O`jDjhiS-#hdrO9 zd}U<-K0-W2MQ%nqciL9mH(CkPE=p?$10;J@K7L{rB)O=8B90+a2*Fy|YU6}BHp55r zS`X18%ca?Mi2+dsHSAPBd3$1KrwL`N%n@E@01;X>hEROPjcPokJ&0>LcGFvBC3AQt8UZ`xOX;Bf^yhh#8%;Hu(StvQT6nM)Hx^>K;$^0Se}oMgggmq zPZ`XEil@1O5QbS{Cg2NSR8#RZlp`9_4D?p$Hy#UH4^D2t18i@9li&NYeqOo|AVT~# zE$XI^!=PNV{Y?AyB7;cc^&9zSagaiBUaEGvL)PdOYVBI&Du0)Sb$E!>WK)L=Ywh@+ zg5Rld69Vw|k#{03vh<6ifw!q+zHX4;-pysY?S@2xAf4otJPj)@2$SMxI<-?8$6o#J z2I74j2rrn0OGl*P;n66p^26knq%Y>>qce%Nh}nKpA6EsgUVPdR6&%X=0{^Kw%){Pv z#olZK4MGVvA5G;Rvo(Pe2dte~rawaAuA$t{MLnB%(>!RzJ+&Quz)n?799Af&KAvAd999LVJep z>D^r*9mXWF{qcuURt#mGcKHG%7ZWZ;E*4Gku~0qT~Q^_|O@i_EZIe)}5_ zh*PmgWzzifA_aBVYUJ>=G{$5mZSjNCPyZn7l5S)9q0Zivt$mEz6AeF?4;QwB;a1;Q?21(D7ss0 z%Weh0lY#tdH-bfLDaZ1Eu4yV!(dDb2gZdi1iZbJ zyI2yHFK?^wPGGP=iQSeXffB2`C(bc=Z!dfHdglsqLuFB=6_;*>z=@0Y9GTSNh?btDo zFiXjmuqA>EMT#@)?aqs|XwYhRNl=fk|COIBDx+eSWc_9eiKiXe;p8A>y0o=Nix=0y zHmaDhL+*a(%FJ>;i@lsKg!t=3&Q-t3GEEy?W*-7T@E zlV0UUt=k|81g2$vhE=_v3&>x3PU)4dI_>G~@on1a;6HSN{jS1ch$7^y{IA{%dP?^# zPR-D*#)mL#rKsBTiymd2*!|7$iNz3Dp#I*C9K35np~L=BhF0}gxDVR;=^qTXJD;YE z2#CC1rGg00o`52Tr%2LXJ%MvAY_;6Gv(~WoCXvF5-SM8fsC@M%3DF)7b!6QCyS0PHd^Y!9^5m5xeEk-Ai8aW(7 zQ6yxL!LcVU^)6M(va#f=5F%=L@1TpaUeu;zkX$7nDursY^E%FAxH02lo(*iq*F}Rb z`!t@sk)NS}rMAdVcYH4EO{Wj!YBofLN(F&4o`qScrdlz|6lQA=NQKuf(ip_JZ9y`f zbXxx-@`eKCjfi7!w9E8A0*wr9b1`RF#1)!OT?m+sr*qGy97C)9r*o_Q5)(&9MNHY6 zFxoxbr()hWon*VwcgGQKOQBM4<}0Hty0{|HIJkmD#W;~K{FiVBR;{9w-_F# zm7}L&IP= zi^<`@c~6=$u&!}-#%20cdB^ok%Pw?qm@D43Y?CPEAV2VBp62~O%$l-8D2CI_nw$RA zVc&v&$1KJ*R|-Do?BI}H7rbxToSb8NKai}_>eY@dbUIh}zk0RpECRtNPFqbS6LQ{} zI^s6?=R~f$Z4mPIs`ypZ`+ex*pAb*ihRk(t3Dd+8W_-7en(1XU&& zrP|kf9Ee{@gH|>htSf(Q3+hiN2WxcaXc8-NeiMshpG?x+L;&7w4Zx~_OKdFR{!%J6 zx6b`?l*KiYX9i)6iKrQ<(^R~@Zk;`mA>tT1t`I)w?8>l7$vA=8>bVTn3}_fNt`}xE z4cW2}keN90C|qsZnel3j-ga25DlH`Fp~OIOS9eatK;Pu`f^C;S;MUA4QVSvM_o#djxXH3ZBa| z$&Hns=GtQpb#i2XX>b#-w3)va~B4OS$BD;dt7lREvzkk$YP zw6ak;!BUmF={?p&bbR(XC&BaZ9tMOVR7lwYV-r6#!_oZHq<4aP_6)`ABsh+6asy{U z=w|7+AsTh}EFJ5zF@Mol!=%$nlZ3&$U^F3Y)RY76tyJf)IA@1Q(wb?-yBXP#!gCt! z!f#Vy*@o+lr1Zy8i~??4R7DtN=U3zaO!B$eyU6C>pQ6s+o6KG%@!@y=;#iN)f;2&# z`dln#w7Bf153&1Tm{>7Gh9(=`DSLBZtMh!g89!|lF%Cyx_gbO`F#?{qpIn+`|LcxI z0-wXgSfL88QX;10zK>J~aO;(`ftGts#wIF7y*pA(V%={6>LR!8u;M1N6>|Z;_s?KO z=KNT?CHQ|B=N1;R?xEVN*YZ_`&Q@mOc?8{?Z-}_ZK{SXtOiJxslUF+~?mRn-(S^=b z75014y5USQibFABHy>dM!_a#r)L)?WK+$h-^?zod1F!5Gm3l={GL3gE5;hShLA|tuAj!`nlo}4EK51~p%_np*%{oL86gmgbB)mP3 zwAd~>a4&F3`MQGzhcwMd`x~CI_IiezAcd=33FfyIIXjXSdcRxFRgkXs=ZJBy19eJZ%q+ zArB22iZ$$-6IZiKTe5`43s&AodzpJ2r^vP3OXvG(9H*Rf2RQ^945$^I{>@3jb1<+P z^*$AnFx&~POX}WR_aiCo)t4IVFCy=MnP;dW>a3huxb}5P@I1Sbif%qZtW6jkBAtz9 zrd<9J#@X!a@zsRk{hqb|9zHYGu}knXf=d?= z%AtjKgBp-_Y_3ZeX0oOHGwU){!yv|aoP)X`i>bF82>fWaBy6)6N6*V(R5( zmi6Vl=t%sJ6StwO=Hnaa|MfsCoFe^oyaWYGD~A97_ds(ov$1oTu$t3zurZs^vzc*n z(;IWL8`GPzu$yymaWb)*ak2jAfxhs!)IyVQe1gD2!$75qfkq{vFp8$Ris6psCWWDj z2?~NnJ)*M!Z_FUIko(#GD#KDjR#uzH0QJ*n=LK!NpaYG3=HE^jc;vgB+Sue@TwL5- zRL9qOII-J3I={wdtB<{ zI5kzCq)OT;5s!f20vXG|4^Q)ib?!L3>RJ$99U5NkkE)waf#3qmi_6O!Gga4;tn=}; zazvP3n5|kmO(E#V@mgQ$EIqw5OWMWX-4$xaeV~X40&1$TWghn!0pTS-F?5>_cal<{ zz?byEM*13uk6(rj4eB37O%YrprG%0RMtTaFaPxFOj{^$|`ZTU0d@Eab`)Ebt#~0~6 zE+ayIAi)wQC4qF(IBR~8o`jt8HzON>CPoVnJVZeyN=aqDQD8ZJb-Br>^K>@bXlrRY z#!5yu>S;v)Gu|K#V1hu8@O}4%d_}m2y2ZN^mVCOodzuv$PGZ?+XPPIN6hX|Wj6^9u zHnMeu?G5%(ns@NdNglUL1~^vVW2Ho6v=;`QXl6naD5 zis9<%GojG%sQEOTC>R@AcH){piEm~$ruJfDA|?fSEVx@o8w+-Fc8}#=v{^NZrZ!qZ zt;`H7JlgY%fQ%f?-L)$0F&theds zc!%}H(84_iLD?dgIxjOSVc`gEsEC9KGa%MbrsEDqi;Wo;3EZ(elw3wIyE}~e_rZu~ zI2c<&p|OVmn87%x;EH3(;102*8MBzU>RgD71E60T=*Rt2Oi&@*M~FO~4wArmYv@LU zA$*ZbO`VX?7iM`y^iYW#g!bA-4=tSCB5O;V(g(J|!z}`*o+-I96God+1;NqGC?-d5_~e#1vx)exj4;j*KN8DcTcI7eWcIcRsgb837Ag$+O zY&-gBZjPRpP9Km1JpvdG;QJpd1{?}H8wOlN+v2GP5(*Lur@9%Co_HYdohlws zhNXjiaM^71ApKg90ajd7MjA+%{EIFtpab>D)dJq((MEu-#iMY9sAv-$T{vwrs2DV_vr!_3QCt1Oa}y1~&ikug6dY(@S0hLRTwuHMVz1 zda|<)mk&y%K@~<9>yUiq5)n z4hvSTnx6-)#q(wQv90C#7^ST|Yo*ILk0~9r(1rOS6}{hn7Nyl8B~_CNkET`R7S0P; zIt;15mmeh&<0pFy3x>NGX`6vZ=f0*>Pl3!41>LW9_&}P|2($KqW-2gwr+k6X?AJz3 zpY=fWV-P&9iO6TB^aU0R{GiRe_hR;+2*7;G(viFo0pXd?Z^v-tNm?F7kyvooybzwa}%>>Ai)gZd6*s=Vt|r6 z_q(TR=q97{PDOPY)G})|nL4&o5xm9qubg)`@?agjpIiTakoNQWw=*#kwhcyOjl66e z2OCVm-9Z>wA0?E6YQd-dm?DHAohz&EN}?2rv3rgAihQzoS5pJo2(@6|wi~fsH1H?l zdMYn)J5z{1FZ`?GF;l2!;CgTssOf7c2y2`N49DESdu~n+q!@w9c?=jJxuidWPa{k; zQpo9e;U!Nrlx(9oc>#^aYcsm#V*&zylh)BHO{$bD`lyf(&Qf)0f>!#qsis`dkel}9 zB?E9s`7$%G2f5(qEo;mLmM*T-5&D#-P})>+Fz;w4Q__fBN9{Pn`}=mkRlc)>q{=U?NJP*M35gx20<;`9__h% z^XNCb{T*(Y+I>iR+J)BfgLcW8TsxzSxs>Q+_89#L8vyE3jYzrSv_M2IkO|v@2{a@# zT$&fwWWquaVV;3>6)y=$-L!`4y2w0_%2T8eQ0jCq9-;={6!M4P4j2qpyo16K#~M@k z*N`2=mDDE+@j~SM0xcs21cO)EclIDTy zB`nbaL@^$IP7b{to!xEV`i2O@e$227ktI0TXhq&AAXNmWJw{dhflig-+J;iF0ASf! z8LmEygUm`V&ay-To^`L6*OURr`gbe_$vT_#-pYx?u1P8hK|FzdYjnvtg#j54<=~Xp zPb|tqe40%8vTv8w+Ui3%pP}iiPpmu-;`_Oa?HpU8U`?-zK(Rd*e;UjWR8xu*1U_3V z2l~YV`LY3>tMw}(Zn&$VU3^du$+@Ey-F)64Lr*d~!f16*TpvZDuwqI1Wx4PuFTTQ2Uhz?s;_AHs7>*6wl)-?c zuW^2tt-CklSE#nPee*Ru4bcmTl&y?KK?{%ceFS+UbdE z*UCnLxX2rtynotAY)0iYj*6+R^7eyfk201D#C%buM5MaX9R?P>dgO{q!r<8)np!oT zBx#tJ-hDN(hX>87u@}zL3V-L}$0rO=Eoq`=ADtkK^K3-0#&`Xwi+mx}Pn!0C3+a<% zoi|b1RbQHkanjQ?w>Q7%Auemk;AU3E6gb0Xu4PTAF5qfeQ|lTUDnSMfRw-)9#X52% z{Mn6gLK!#Sxja6`#hNg!Gcu2_Pef;ngh6GA+@sfkZ4oOa;-W{nxDzf^tF`$I5a_&D zBr^Cpz;j~*!KPUi*gUO^Wx0MiL z?VJ<#Yd%j{4J_~*{%BMm$s_)w=64U}hP;aGoy8~>$U&VF{HQbn3GLMz!_?a@86nUy zrZh0!5<>#)yp(%oV8^?*fM3U%S^7xmW+}Z;&g;=(bc5{_t4mMq#v4!fsJJ6Ca`*3N zxJB{5uJw+aXh|QhC~yCE|VRf(nsmn+@tDqCXIMX(Ak>Xh{t%F0mmT|3nXv`n`qEDW6pOT zupo3Ja!EVbcvD=FFE76mqPz-|H%m^TZK={N%TBy+@Qu;Pgg2(>usy}sEdKIYGh z<#a_BBso4!(4J>}^hk}2dL&HJYHK!i+BHFv5Q74`eKKQbuoGoQ+HQ~p-l0Gq3K+z` z_%T#MH%_UiMzvu<)<9ImLN>@1i5L{tW-)Ptn*r3K|D8DoHf_J$<8{AUSdO5g(guU2 zTIWelY4L!8k?x+~QU}_+J9ziFSNpIstIMNU`a2(sQbjz60Bqd{Q3EV5w&{_G zuWQ828VNw^U%k}y(KQlq-o>ybXnF6WEVX5;zm}QsOtO?E`;D`+f3Mc3yRl^_Jx}aK zJ!c_4yQX9iJyUD7vc>e8@6#-lcn;#JDOw<89Dz;ZpjpbEzMP}?=Hw#0wq1;2@SAjwq2qUkm!>)k_9P7tMi0+EOk0s3t?yljQAzX0R*&$Z z7r1-r`r!&LzW@ytMXG}?+?0cJzzcQ=BZ++r))zGcFY_qMVJ;Rx|F}|WhA9LBn~jQy zep_r!L&vHZsC2Pv8NZt4zeRLgJcMFZl!yT*EkNi-RShb8jxj2D7;nOyQsrA0>d{J7 z2NyW76TLz3#;mr6Wli8wv(Rwo)ZUY2Qt3&Ntf&=z_e}=W!@oG>)gI5xW+)LRmc^ zCSz!*dMKE?azs!sFf?9zqnk2Y-B$0Jvc&X0D)|W1s!!@F53wI<62czN;qmaN$~5&K zxX`L_@SH?G*)|#TROEX? zFrV{i2-N(p*Jv^LZ0dVTR5olC*U|JYv-deuC#c%b)don!nc!XTV|#ugs$UkW0{INL zohL9Wd~tl!G;f2=d@$HhehaET&IP58KY4gzNdq2aNl%M`_dP2y(H64L7Y;5wK$>5% zMHs2d8g;wjj0^tVYoaEgmgyu&Kd^-5V?9V+?g)9;jXJE;7wv-ubu~>&17tX;z7B@=_444O{yQ%ia zu5LYU#Z1ZtchAJJJp;9E(;2?3I zm{C^7_d%YtjrKW}zuVp%7sG{e8+}0Pevt4{>NYyIkCfqrsG*o~F06bA$>q3U$)`a) zw}$|}F;9E_Wq|>yFO{M0OCwY&S%wK&FB_v}d~cD47XDuP5!gDmFW6$i`b~pa5<1f{ z77E;4duQZ>Bidqq?~$%DH#Gl5MV=oQ@)C&17lPQ+ z5wEkv9UF@Qr-HKTt68a2S8OsGiMi8Zp5ZW1pp!D@nT(2<5TpCMF+Ix4T4gilno+`c zd>_+rH_3*#FycNi*WLKaQHJvJPC9L9UiDK@T#JAc^4;<}eybLe6)tcx#RSgR$X6PR#RK{ib zAPJwP!Pu)9ACsw#E~c~zCS!EEg#;6Lya>M2L#Jmk9s0~Q-tkkrrnit1+xB(2Z`240 zHcQT+y`8h3PLk>;r%Q70F$r6((d0qo0=WA}1mm;hgWKlYxi~+`WL)6exS^0`k^;sb zoPDrG2_%a;3ogKk!dpmr1?4-lk)ZW&|N#rQFg= zceP5AwMR1U1WpO74?S2qMAQ1>Ka${^_KM9dh7{GPtz18appfso>!p4EvQ)yqT=3{* zp>y7KE;sICJphVRpE2soq8i;wwO97M=^xb?jYk<8>nnCt%wd@+w211$5MM4$4=7!R zx=7c$R#%N@Cl8FLjxPJNfb7ZBr`Iddik^g}oj#+RSOT*X%S-Kj*xC9UHzFMO4+zYCb~X}rM3 z7na1|S|kjs9@jx2^|UU_J;Kq3pg|o}_+gcabD8W{BI=aY^kP^!u*$nr3Js*}?R{Kz z9j=!Vq^uZ^CTMx1+}n(JL^c$V?9kBnZj!*zQ2EK%HV+xS{F%UMmU%F6qZZXi*VkrT z!-O%T*3osJD3XZC(W{|PnuT~7+8n{AN*cNB8?(7Q9jXEP!dLwk^W<77-p~DVTCfcW zpNf=KJbV(=@_nWc>cW_7cFHD>bZl#XeXUN0K+-{2D0~D&b!+T*0vphfDvK!>xZWoI zDw>m3HOzLR9W{z}TzrtD>tE^qA>P-APeFGx=njJyIZp@!}WpR+-BbMQkE9o<-b+( zl#p3Vkc(x{;%e@?LC+#R4Bz@LXd#*kIvXI8CT|!fDhwrNY}Xd~o>B}*d7M%Zl0pX2 z?aCYn-`FY{!&O?n;7(Wo7%?^|_<{&sdSeP@Ej3~aC!-r#NASuy*4g5xY@_Npf@!Pj zq4JR6=;0m*h`>2)CyK+I&g$P=2)tO2venDEGBJOXGq7B?3;|i=<)%YCf+N0fUDP4Q z_QaMr{gq~F2NkePtw8h)BSbL7?Ze;oKRwj}KBvq;CR03r$&V+}$;Ca>0ecaF_R$+S9oE4SYMv>4Q|62#HG~s{f8}fsJ%w;n#9M!SLsE z%9z^a(3m8eWz_PPI}73XzAe&h*U@>_X=B43lH>>`S1%W&x>)u|?A*p5@xx5?*l>UD zDD?Sz@h=zbr$V1!Y^--!6R9IeFKb%NBMfW`%W5WkgW|083@&sRY6XAw<3uhWP}>eO zpv-n@K9yeBrK^S%rkACSStg8F)*78NkTX1JiZX@+x@bz^QRn*PF^_YNCxS|$t+2T& z0QXtiD+manD-=p(jG=nz>A;*gJ87ZXN=vJIB3{^uyrYy800@mZA`ER8Ew;!3k_p=y zCVVpjKR*F5t#}=&PY`0Xg+ryfL{Z?QW+^Q2KX#SEEEuuP-m+eE2Ij>$-0fn%I+v&s zxn0gM$7>Dr|BT!<8RW0azZSX#udJfIVI!AOXaYIszm@r-e zoc-1%m?V2Qsk&h)-uNO@1LS@=JkLx8aSUoHuNV`gg?3c%-tHQyZ447A^t#1d{08H7 zIp?=IeEZzXhr#M5>NMOo&>abYF{&rgHXX)+EaTMx8mz?%Mw;=?Abk;QA4V>;R${dt zftYa14*1wWsJ%(%k?FJ$s^65uETXnGVfj^}L z&s`>ntM3J(*CYFZ!vCYrtXEKbm;PT%*VkZtUW=4+9_f9BwlBC<~}4 z92}IcWg$5J43_ZahvXQD-pE-sEJa(jr)#=tA0f+_6Y1?UFX1<`CP2Ds3s)Hha_JA+ z#EYgsvh$sB3#u6BPEdo9N4v3i03|)`1j@} zmkzZcv{*h$rEON=G4tTx9X2q_I^rJ8rKo8U7&sGRCF6uIWi6ct1>>Npikg>AE@J$@ z+|CAsUR#vpxx3!RF)Nr7!{(TS;Y^!1uUY+V-$$IAb^2jI^Knx4G5_wFKTt1_O(zcd z)Q%c`4!_Em(~lmbn8(fF%X!%kY!a{(n+u+i;NwrmPw1CSLNV$Zy+uzC%I=(_+rxug z*!DNo!s3FK>1-I&HPgohE38Hq7Y7Gxi|lEvKp1ThFjlG=sgG5?2h>KmMz=!$P&-p z8jI}zY5T=C-5U_~$v*1?o(QIdh_GUEI;ZDyC#JdA1YNgW-z#mxToHGyH)O86qxCT= zPPzzS_BTkQVga=UT2s{Q7{a2-5|ee% z{xvaMZ(XzR@N00aMw@xOCbv2lKR^AMwe`rzy8a?I!!&Aaj=a;5_=*#1Vi1HOV1_N0 ztg=<ko<&uHfogP#jx`o{9@E?@<_LF0P@IDuToG zYxr7K8MEjsj&!#5OmNWC*7?h{ot&zfSy) zEskwDJMd&i*{dWrgxPhy;0s9agHLOkm16{H*2=Art1s+U9#dkb#)TRon$vqAbl*@y zWDNh7?}pRxx3p)`!OF-qwX0<|Md+QD|KrfH3yDo~ech< zdq-Bl0Zmv-vA02QGX9tz*C$J{6yDI1vE}|IcB#dr%u@HHeZCgs`|Yv7TTmQ8+hP z#<&fh5RGh@kNzsWo_dhaz=4i|KAE6yyOKE6q-W8zGcwv(RyNP6n)M^yHWj(sW;w5*qsZ~{U4w?7I z@)I#7qORuFhL zHKs-PMdi0Ey072Yd}I)tihsKqxEO;%`RLAy#x||UHnsbCtD!~7RZ=0K02?3;3uon~ zsN&PfffITrva*eI7rc6_ha0~z>iUPf-tda_Ih|V~<9*BkUc4f8*>j0JEvrPLTRyY+ ztn25J4l~Aq)$qX<{ZPxi12qR`Ya;R&Yo0i!MO~j-7ggsNzDxSqt}!kiinNt&YIoG( zL~Il{+1p$?BaZ%Ja@L#*6I1`1h2p@i&|mxR)=!mb(Y2>!I7>Iz>8Y|%fn`Y9*s-N2 z#~at7l@_)*TS^4vY6aOdv+R*^HU7TUnl(g!Q8bAed$5?d7Qp4~HG%JkerW9f{rV?> zuq3{0o;Y3@H@c(cMe!r_Z4f|@0hF!d+m~hHD^)bVzXfdK76w2C%dIE87-hv=kct)X zftxW8gjjdMB(`s_B&%KKyG}`-s?4r4!1Dx{1d+Q-o`Ju8bc)6h{E({A3&&{Te>ZI1uE-gX zM`|U-p+oipNmhvT4k%4Q=)pq2csYJ+nYpd)miH2}jt~m!{MxIR_kyuTJ$cEsV{01= z!FNP&;{BYpQ;)Jy0w=#5C8R+J~B=%> zRY5i{gi;d&EB~8wTS<^i$K2-i&TPC8e`~AUV~04Ph7?7SAmCF%e6{<7 z;9y~!E#Bt>X?}a6AS5{kcf%;$I>D31W`ehItT-@xQ2FVwSNu^E$!b9IeZ5U|jx)$0 zXK;*K{W%h+0mrJ&{IE^|#*~I@W_o!$TTLbt@Q1*yJ5iIM@63mpsy2X=gNccVYEX1@ zW6@HbrD6AS|DNT^dy=d9tgMhxLlg8uG;hsQMYK2~<91@%?(l8^7LiS3PErb|e>RDr zDXKgni4FPogBkhZPT+)L;d_i=@!R{)uT>Ao<41^>mzx$wD|NSb8fY+gn%;w)zQ43I z9nJ0bOnPl}qze84R|ks34x2s_u8Q;Ot>ddT(-+nG)#|M+-5$W?&+uKf0ao_VFyyKR{D#dpjY)1jh$zKeU%y#FqJZr#?zn)77p@0Ba-4`O=U}b^*1jjTopW=Xn9;h2o6r3-bzpFqY_{&{PXA z4@sAyA1w9dn^^nUSDQf6^@`ZnhDRv@@OAa&S9(9=0OQfrx12@l zf8|t*JX01-Q7Y0x>9QhpcB2!r$ZFuunqLleAvZFt7BU5>M znWe8xg4y`gO?JS+28ndH`Ycc?_1^YEXed%BRlnV@Z-I@PnWnX>;)66lWwP|1(SlHO zzxz>ONljOH_I1k^3gt+|fuHpWdEVR0;9*O=4WmflYU%hPrw$}FUyO{*Ut>*V* zal#0`YD=3?z!D`2U4VVJ$U;7OTnI%SW22mTiiUmOmX23fA}*C-a0C^egsj$l4>a@`ZF;CU!Jt)r+`ud|kjXgm=Q#h!iv0S~|b@k`y3wh>L5$ASy zGJzM2hnIEdliQYz^uQ5{%2f53QPB1&OGpqey}MmCT!`4>G5agrG1GGCI;-ikE@bWD z-?l3T8h71iZrZUPlo?JvMcErWi2j+O!~FvUSWL$9)quDzi2FpM+qTMER7k@U+}T!t zgpli=f@UUo&UZIQ*Sn4;NG|Tm^^8|P7){=Q4z}ey*%cw2kh=< zU9eZd{p3N?&fW^dOp6J0qElZqpC62c;q0;9_s2Ft2$7D9yqqnxJRu9g2h5V^85iYe zB?{O-LT~h?K(V@+bwF=f1wO{LtcnL?!AUo;XVPua7LMcFZuk7Hztwjk@=Q->%IJRm#Zcv9D!!Pc(GaaPt{ILlYLZMfs!Rs!)^+=)S zWZUR|;I+FQfG=Mea<>1cA|H+@poR89^OpiS=y5-8rE1y(&|dWuA|_!+Z9#ks}RuaTrK2G2KBUwm#Y18b{1NG!8XVEjwjcBG_AQaJ4iekoSya{+xb^9b|TOZXv zzsVCx*^On*A!B|twT#YM9zz`QmW)O===f`ZoeyeZgvCwS?I$j!yv=l6NP{oleB#(~ zMF20@>z#hCL`rS?zm}I_#PDBJwgkODg+RC;PWO-X(}jrd1TY2Nyh~jftW)buw?L4C z3qbf>`XNwG9?hGN7FG`w6Q)c+oC*4SY6(emv<~@=>Sa0Aa^a z9Y;N306A(rpTSFdnaLOGJ|Sf@@yuJHF9v?XGQT7K9N(jMGu2Q3!dlawt(5^dLsYN_ z(+u!Aj*KE}a>ZCn`LT>W@r?I5@&HF9QrTkD_)+WEvCz_*~6`h5;JECXK!<_CY-S(8778=Y=GfZ6;**N~Z{Ow-@%= zD>f83sY0Tg6tt@qdWpE?IEYTCy|bMgv+rsc9?ih$#A3l;^byQMIUC+ol*jv&0hRhd zGtrc8;Qh7CC|5^uMEV@8KOQa#w*t$&PG3O3Di&00G!>2r?6XX+YFja?3 zb^?iO9OdPDAIWHoxOe(e(!-wig-%`xGi*b%!Z@B>WouK@Yv{ZI5w7U4^TRheA$h#O z!S{1^XFY@NoXXc&2J6-(S8P&{QA3=MXh55KZ7zX~O6k5$<6BF*q~AkERgllD!=*6k#qwInsBR`h>u}5E zu~|+tYC)E=4woC51MjXxMs;#YYut5IMKYEjH(gnJ@HfH)nL}M<)SOD^Xy_~h4c~0_ z1Q`|gYZDE{Fi>=t8_CG1-w&tK&`}2J^hlD@#2b=QEt zOz89o8RawBUIXgV=zsw-Ds4g?jc+xr`{gb&s!BgS4GQbtszfuYx66x0+;SaSbm}oO zYH6kOjkw%s(tZHVsO}!aXahR!<;hY|J{AXPMlDjfX+USLm_J2EU9Mh31M0is@Iz#j zNWJkd7b3O46ihSJWb@C?T*!5CbQ@$;NY^9{sFU@G2goSDS;sV>f7BTY8TESO4h`sa zPEHass^+xW8qnl3n`5Kg^CM)G4_dGYRD*$xMIwtYa}}{vT38<% zs>wjHDM_u6QIC$fXh5<%6O)lqcivXkfSx{TMKh|4zOlx)t|rZ+88z=^=0+}sBQ3pY zMxDPDzmZ$6PiguzqyBE+y-|Z3n|9EQnzekjpN|jGl__QvT$47$3K>3{UlVZhS<@))9?2+kWovP zuNlQ9{@(E=kC0JUgT~R2gnMfY4VxZOzBiqQzf1*nqSOPLQ46r?5oi|!4cg|P zij2C5O^-l_7$~B5|EI{PY}CyNbc%tkM{dIZ|fKt~ps z(TrM&O^-l37^q3xnB~Z*qqdsBN%L`4q^^#_kH8#DxQ1XFx z&yZ1GYU6P(1e%HYoqDlUDmJ~mP_vP>J~P=ys2EXKS_~M zov`WUg{+ua${cKZd7&!KO=(8OVbjYCMSXqz5E=Cwn_gbX`p{&WQD-tc?4IITE{;lA zem^<2C1JwIm_;2T$Hg3p_L4j(eO?6 z4j$!WsaHE5(9j|VdY5?G2^sbD@hKWw#Xy$v?{6Wa?w8p>LrWOw?fq;CGOBz1B^uwl zchl__GHQ|0Xd2qeKtZ+7TOgw@XLh8a0}S*lbtug!QKB^son@e~4N{s>=gTG@_N-Ty zH1mZf6|6p_5i1xqa5$dr=g<^RJl{x7s#lU5H}}m=|@9h479#+mK+(CE^bCcD;OwjQpuahs1(O)G&GBW)*O`4jOy!NTH{+Y zc6Ganj9PB{;SfUm80cD=_B5js%U-9UOAOTQ`kM#HDC)~z8cJiJe#cXG^6xv`qEHVNi{U0&6yX-s0p9S)6g6SIve>d9U1j5I%zyY z6&c8~Y0Yk}SJi4xrN2BzL$6Dyp-#)KZIDrSyN1$GO(xZ&26e6@qb{CoPD5XrRITR0 z-aEpmGaoE8zSVg8;2X#&n}bisA>_|M)&JeBj*QwCu%3oaFwl;Zfi$Bk+VrO(8N-c+ z?$k$61f%?Z8Ie-kJGf{~ZL`Shf!17z0hfVOH{Wb{te1XOrEQ+p z>h7qAl3mGaAIbEXgiALoWT>C|Tzl};AcyhLI4BIBRk!)Beqv|A_%t}yyKPkEzv`#- zlzZMQ?XneAWO&4lKQ*OFs?Ra&y6<zrUNQBtF~zpS$E6?wBM)+BPVu~4C2>H~SRN=6rvx_a}0&y2t1P3ckVhPvhaQBXCXZ5aR2jl8Kjr9sCVe~8k5uUgIO z(U<>{7P7kTmV-`T6;%H}ev$hIlE_Bc9a<-DA;P-Bdq7g(7Gx^r@35po$pvqTC>($2 zvU2`+GU!^&uaI^wT|qr~F*xjX2GRMBkKDK;)cvV~s`WZ_uHRvj{MLIP%Q+c$i4IZI z_NKi(N$%?0VA_&_i9~DPb10~9v7N?*dCT$*lYRV1;WqbPLmFJa@lg_uxfZ6{f+ljJ%c2V!N$^`O^zjxNP^)?uj zls~N3^oXUZ#x1^pZ*I*z>+*2%brtn+evLGI^Re}w^CK2KA;ZkSvK@Q8IZArQU;As! zUH?)=8SGu$s?)BEivL?*QKa=1+-9TCX*T+tW+UM=8wsb`NI1<#!f7`8oMwYhC07|= z2!23@tjtg5>*9Z8D5$YjW-XuHFjGajjp4Ffl`$7J~w&^JO)`>?*Y7tVg+Ln@hgNG> zoj_kRj(N2SPE!2;vDhNlqu}`t*9y$|5a^ zG0HEL^l$A;Vy>?{`nK9MW=RbFbXe<>n2DATS2(}Ar5%8_xPQ^md=@0}te z)rGz0K@G-|&OT)5{Jq!85@_zalTOEv6Ugmhje}E85U7cMQ`uY@fefgzS9iZ4P|TL& zH>NZt9mCZl<#(UZBhbpQ$^Cu|B7@kRBj;|3{RlL&nb$gRF@ek{&+Y5GjzInA$C`!@ zCs0M}R$eak2oyeXd$^$?fnJqc(NJ#%`Ib%9kDC=%1p3_K=FH_3fhOdP^su@|p#6KA z5B91>phk<9jIC}@pqlenH1o}9$cFlc^rU9Q8JBI!usUGE+ zT?>jQ-^yINazQ14T3qgJf9D5*>JIYkbJ2*TdN!lXIzLbZdQIBisA64`;TwPHum_6? zRA+vTy~8{SG-Jox1@$))$ijGZiOJ{STc+KWrh^GIJU;Nq_lE>BIQz-wvWh^pDt}g$ znMt6pU&2a-wkOc_dOzOXN+M)*bMAE|aR!0h?i}!(*N#BjTURM@%@q9J%@zmDmTe5T42Le&%D+8v1FFJMCjsu=!!FAj_x^gLN z(qDbK9zl)2Mxfp~rD@B{oN&hS;(4CdVum)44w(*6UFQw^TLRQzzjEQvZ~~bq7tOA(Am3^}_2Q{9Fsyx9`6u+yA_Dbf zG^T_ZbB>z;^tsI(|F4+@8dj#}(UGwP>eBM|)@9EK8D1YI4Ve6nK#DzkvOC8S=tAvs zwf0scsUGZEdGPC70^Qg;`(e}<0=1m(IKp>5i0Jo511r8HP~?lV>xX+26|Cg++gFz) z5h!5TrFJi#6Ua8i{ap4&0=acJpS&kA1Zz)3jpEcpmmT3eU{%Szz<P zs5B^~OO^~O?MPHh{nOIx)q4`?!^@T{Q%Vr6({t~d)XUBUdg$hH`syG8#VlX*{#-Kx zmFjEUtZFj?Ex8y{Y3XVLWps1j-E|v*s!ZDX=d=rfI`}-9@EQ!qB`3bP-y(%T_hXHe zL!1cY8(!&sKXY(FUGf_6_$7fl{dP~=e275%*R*JLb~b@F)yTB|zUGyR`fd1jQn_OU z8a3X2NyerpZ$W1Ei5ur)ZuT>I+~m$Pz%f9 zM~0^msM!&P*R-Al+Wl+PO8XN8TG{?#2ZQefvL9V*^#~6F?LFP4)SjmV3OwPz{Q5lt zefF)hHD)>)TTMs1lpmA^i+x6aZQuzRhF|!)bLl<;%?llGosmJHqo-Chtp1xo*Mcf{ zt0W;5R(zT8csxMkyfE)Fo7D+q?A43f)Gl2`T_66oql=6{Q{UckPP8P@tuI$c?+GN(=jl{x z+j0atoY2wuVJiY1+WlqG#yA3bg->nL2O#s^U4Q=_N1*aM=FFJ?i9pZ#&XJ{*A&|kU zTDL1LB~Wzjo4xk`A<*UNUn@k~5U9?PQJp3XCs0lAd6My!$lRiP^+OHL*%QbiqG6Lq z{sfv@^Yh}w<^=LF8DrS3DS^%yuJ@EL1`6xVp3!eUfvQPbmUslv-*;=UQ&ay^j&l70UomG8K^dit0{cpa(y9qR4h~wy(3Vs^Hmy|coJxk`OG$nHwom~aA(vTn=}=*>-4v$ zrH2w|*NUqFWyNG-w9@}Y`q=>lYF)~Ge%25I^**sE@N6Y;0^L3ru-1n_o&F3TaC|R; zR!gor^>QOn^$VU+VGUDM)V*6SOJ_wB=uX-G%G3@7di3V{Sm`hVg`IUhu`q@}Z~Jy? zWipaL+h;!Xcy*mXc4rr_UwH~q4Nu+Y(mCy&%wr6`$UAJWe2S!>7de zk9f~dgEf7sE3f+4WD}@bQsDYI4+-R+zVJ(Xmb#xwM(JQdplUZSbe$eTAluci-j%OK zpbKf$+t2O_{?}#W^w&fa=zZGGum4~T=D4X{+UqHi1 zZ@D3mK&Gi`$a3!-nvBJZ_l3!45=eZ?YTE~>{0S7cz46;x08MqRYuju(IK?eIs!W|upz>Gr10Pf-(4e*(6$eTZ zDAMLRHGEx+g7VORH`TEQfv6*w^ju5`v~lw?qbk=3v^1fj*9=&o9kR)}W)1Ls-*69Y zH@fyo1@$ZHO^?qF3FP~&ZdUK11e*EFB&F_90zEptcIz8}TK#fp^K&ABIy5T1cvA?0 zrp=hy`zowtZ?3G}v6&u$M2lsI9Ztq7s8;t)=GTO!yGyILkjEJlsKMScC%S$iP?t*m zk9ou5;uVt|XGApww|$3S8@#;8WYcf+`S^ICP}woZ7FDuEp8dncX%gUX=gRFLE!X|U5jUfbNr`@Dkc?KbeS%$z{$pLcYc zLXlLDLQZ54pG%-d-%dOFEHk)}XU?c)f?B|W#4?50&l%6|=Nw<$`#Etl z$2evg+<$D&If`-3PEv2z&2^W`=e9|ohAQ#O73J2RA!@3FvjYuX9zMowcvGV3ZDdW8vlCz)C|>zG5q^bAw4QseJuRLfJ&DaA1&@&!A!NZV z_iot9u;4aMZEK=W5!bxuR`>k|9o4l$o@X_E{n1tEp(}9ztNP&XqMSJ3CMwEFWmDL* z4u%)=No9_<4*8BSSD%0|m3sohG@v1jdji6ANkJ!-&42{Mg`ZRw$DC9~Zvsp&_>=_A zlgbpjZQ|UM%J{@|CXkI%H4A>tmS_=EfdT{f%Bqj&E{ed&izF~}Sb-T`%!i-Z+SoWd z@<_~FuEfj(BIa`?WNi-q`+Hxm%*>)S~nQ*q{U<_AlXU?Ruv}gYDlq${rG!4T4Sw{ z!#yG-c{esh<{sDcOF`$gj=Qlzz^!|%Fpep5GbqV612!o1mA3hM4(?xpSK10}@NjXj z`b_hpl(dIP?RqQJv0NKi9)}e32x0H&pyjYbZOwNh(69-2mI&(b3wyw!jer}g^1Y*& zR0ST;wOOLBH{M2t=e}dG1>$bS202#90bNlLe;WknMLIr!C$?j-9pdi5o@RWJh8?(e zxkzWZ>%3kVk95Xcdhr_O-(syNLi3)-&eRTlHadGjFCHlG z7*7(HpLx2fwr{CiF>8=IGQM-2)2@toF|-*{OZ8QwE6sr$a7UbTU;b+T1^!E?@RH@p zEK^EKw4E)^lNOU<26*SPL~B}pamAFoB|2uWP&@Q7MtcOY>h~TgvTEh2lEbE3Ra7=N zgzF04^0SKfbZtEBo;?>Ek5OO5oQsWx-CNi(BsZ8QOs1LhEkUO3axWs?$5l~`P^krq zat~qLuU2Zc&mZ_srWYEYVQRtEVZBL;r+hc)5`R9dLZ0g2ySthHY%t9O##th?S0A2q z&mNABZFL)SI6BJtfudL6e1X*`w{elHtIs~S`VMld55yn4pFGtUt+V6G^l{#>i@HARSy9>@&4_@@au>~*D>)&xm9rtQXFS9D2u(>2_Xt6 z9t^)`>67UwZ87kamD)_^4AO?j)t@Z7v@I7p0NOh{F}D`WEo_~6S%k;2$RGS~~z9y77|pGeGiL2x29L zIKWv2DdKP*0=ZPgwC99WE%(&?^Y9etx?o@6Su-Vlmj4CKL0>xs7jgarAHM{@E=#nX z;?I*7lVJvU1A8^Bv3xfG@D8(>{EDt&wjx`tS0y@hI}?_KYw#;xqV*ntg6@A;$PzfJ z6W%G1sKG_Lue{D}pf@1qT5ex?lkO`8wkWvBTzyo2Q6@HaqM}S}Zm|=ajYa-)L$=`785-`PP7DoTg$rhk!M6Y5Oa9D#2XZ zj(KGW8-noE`5h481@iV1ZD-l@q{U>I0hZ&n*0lWfjVI_g*EIy~WLx$dL7D}9rDB;l zqWZcRCVy7&+)|LYx8Y&$LC&;(H)o_my5CB;M*(YB%Nc?+uT2ozgX{(77UAXtTOBta z?1MZrp$zXJc&}3%)<}z@ij|GIxL{gA^4f$WuOB$_ig|q$W+#Em7HF;HQ=vp6z}2vY zVe&Tx&rE|W62dC85SIacW;^9WMIH{cT?VA?e!pjjhh3#qEZ2lH2jQp}uo# z`3Dg36M|ef)Gu9w>~Dzs2ZE!*9G6Yxaw8?FY-cbG&~V&}{~*BoCbF^PIVQ{?nS-?jL2D*0nZgT8i#JwafEQS_ z!|#fu0y;dgRFvm>h`diqIzx$6&;TtZ(PSV+va>*eJ5qmA81?#)>=e$8;Fp245Eo-$ zGR&X^1SJcT)(}z{u^;b@G|Pl=%^3KUkeEpR`=pYUf~UxcN?Ri$43us`0y9l?3_$6B!ZlC@s-`zK1>ML(lrb7a3TV1M~qOPXr%|_7A zDk|w+hW)G>uM~#Vg8oU3&b~}*W=NT1Bd(-mdS!Ddr&q2Ftg0gA^vYGGx{TQLZ6_vi zVvXBQgbs_BfX{u3dmOTHa?W?vU%>=blQLz#{2w5QZ(yv>tpW=mq6W7LYDx>5eb#~m zmxUNR?BzXb3=B7oqsb_vdGSd;n}&$b3R1xOjM_jE-aAqUepzDs)4a%pCoLwc3(2e? zsHZh8KQl0;wN!|Lt-0x!=ah3n*4#v(8m};^4+J!jaxXt=C@tWOMkB3fH0CZ&XN`1SC=BbyJF2Sd@>Zfh~-!5ePZ&W`q$6#_#Eu?N`sn74cxHx{ZWcE7+HGgGg z`pvOlqf7Xfd!wLg1jOFLHxuVN?;f=Vj+p7tiGI_mytIRVl{5wQtj?pG_@;@Z^RV4L zo+zlN%i7UzQmdwIJ22?Jf~r{Mzzuv8p8dVwysdW>)J*pQ^qc7eW19R)x~`zEY?9o_ zlj^d9S{l9UI=)GWHLG^<##sf`?_3x9&D&pn``A6)ub>{k6J5`93MnaIbYxT_zBzY( z_3n|qx-{2Z)d_6SvGQ1Z4L6c9;*53egPK8OA)0}WH1CWYFX!3tNWFds^Rks{T+UNi zIT4FE3sG4)f%ojUIHy$R9_pI^^j2+Wc?#eg-J{uYdo(#P?7EoKquJ{mRNjoFTP1Vm zEpy~8Z^hh3BRG7=5dtS^Ar@shOPLmnx%gqFx(KP%CQzvt`D0-owPn(? zR)&H;xwfgMnxkDsxWifnnn?vLXovcTrR)N)C*tWbB%B^Y!s#(2`Fo5UE!PvpDYC31 zo8>}Mi$VL{;MNl9>@zeps>VzCDA>UL6MwtO}m^d7fAPHB5~zAN?Zbm;o~aO(M$mJ=feIpl>(g=W|` zgk2SfN)zTrCV&d;RgCFTxVTrl{oj~S3}b@sMfSSZ*x!Q= z;w}WP&|fr{a*t|ONOg=IsL3d$Oa^1Hn`yyzGj5oc|6;eaF;%XN8F<@8))G3MwnO(g zcfXOPpz~@~%wq@hEqb(An!L4#=GZ}`N{ueGC!ey+7+8Ix1seF^;5>Zkf3lc=KPkM@7`9uaDv%l)Irth{kFkh z7))InjrMy(qCSuNZ`Sdpw!c0qDC4Cbjk3+jn+`8$D`$NnZ*~XdNKDP(FyZ4{laeyY zo3ESy1X!DpH=*rUO+CGwypb%JUbob&3S)7O3Y%~?UD&(W*@Vr+swdGjOmdV{+cG8NR$ z?bo`_T=$hU_Wl|7rww_lpr*wxAJX$emV&a}RjRd<9_g1h4ov>k>h%`|b+=c$k`6H$ zq=S0>YeqX6d85Cs-NzoLaISC3I_rWv5k}q3`18?s8|kU!BhsH4{vaPUSdh~9^|Dk2 zwIZw5k2<|chS$8#{+qKSML}6v-<uH?tJBir{E&~CJy+G0qJolmzpL+XvY7uLECy{rRQFY5>`>poX6>!edJ8>yZx zG27Ox3ew9u!zUiWC%V9|u7%Odx3Xq*_Ld4VK49<+zBv59yqKd8YxYPj@|PQlc-=dFxl-2;zSf^> z-xyG!ePbXbNEKq=$fg%_#M#q_TdOo?^!XI4qAunb1QZR10BQ3;(S9yWv~jB)Zn_%+ zX+5*5rh%Pd#8S|Cd2`1@fin9Nl8!F2