diff --git a/allophones/README.txt b/allophones/README.txt index 6af2382..63ba2b0 100644 --- a/allophones/README.txt +++ b/allophones/README.txt @@ -1 +1,99 @@ -Allophones: rate 48k, 1 channel, 8 bits , signed integer \ No newline at end of file +This archive contains allophone generated by the SPO256-AL2. The files contains signed 8bit PCM samples. The PWM digital out pin has been captured, and PCM samples calculated from 4 properly aligned consecutives PCM pulses, DC offset has been removed. + +The original allophones were converted to raw files to be played at rate 48k, 1 channel, 8 bits , signed integer +For example, to play ar allophone with sox : "sox.exe -r 48k -c 1 -b 8 -e signed-integer -t raw ar.wav -d" + +The following table contains the length of each allophone as well as a "blocking" time. +The blocking time is the time that the allophone will take before a new allophone will be collected from the input buffer. +That is, when a allophone is written to the SPO, if a new allophone is written during the blocking time will cause the LRQn output to be high until the end of this blocking time. + +Example 1: single allophone write +t=0 : write /OY/ to SPO + -> SBY goes low, LRQn goes high +t=0+tLRQn : + -> /OY/ get processed by SPO, input buffer is free, LRQn goes low +t=2945+tLRQn : End of allophone + -> SBY goes high + + +Example 2: two consecutive allophone +t=0 : write /OY/ to SPO input buffer + -> SBY goes low, LRQn goes high +t=0+tLRQn : /OY/ allophone is beeig transfered and processed, start of /OY/ blocking delay + -> /OY/ get processed by SPO, input buffer is free, LRQn goes low +t=50 : write PA1 to SPO + -> LRQn goes high, input buffer in full +t=2117+tLRQn : End of blocking delay, input buffer is transfered + -> LRQn goes low +t=2945+tLRQn : End of /OY/, PA1 is getting processed, start of PA1 blocking delay +t=2945+64+tLRQn : End of PA1 + -> SBY goes high + +Note : When a allophone is played, its last part will play in loop unless it is followed by a pause. By looking at the allophone waveform, it seems that this could be emulated by looping the last 92 samples. + + +Dec Hex Lenght Blocking +0 00 PA1 65 0 +1 01 PA2 260 0 +2 02 PA3 455 0 +3 03 PA4 975 0 +4 04 PA5 2015 0 +5 05 /OY/ 2945 2117 +6 06 /AY/ 1750 1565 +7 07 /EH/ 554 0 +8 08 /KK3/ 780 455 +9 09 /PP/ 1495 1104 +10 0A /JH/ 997 628 +11 0B /NN1/ 1749 920 +12 0C /IH/ 461 0 +13 0D /TT2/ 975 780 +14 0E /RR1/ 1289 1105 +15 0F /AX/ 554 0 +16 10 /MM/ 1842 1473 +17 11 /TT1/ 780 454 +18 12 /DH1/ 1381 1104 +19 13 /IY/ 1749 1380 +20 14 /EY/ 2024 1841 +21 15 /DD1/ 462 278 +22 16 /UW1/ 645 462 +23 17 /AO/ 738 0 +24 18 /AA/ 645 461 +25 19 /YY2/ 1290 1196 +26 1A /AE/ 830 0 +27 1B /HH1/ 912 780 +28 1C /BB1/ 370 276 +29 1D /TH/ 1302 0 +30 1E /UH/ 738 0 +31 1F /UW2/ 1749 1104 +32 20 /AW/ 2578 1934 +33 21 /DD2/ 731 454 +34 22 /GG3/ 1121 844 +35 23 /VV/ 1290 1104 +36 24 /GG1/ 731 454 +37 25 /SH/ 2016 1756 +38 26 /ZH/ 1361 644 +39 27 /RR2/ 829 460 +40 28 /FF/ 1106 0 +41 29 /KK2/ 1365 1234 +42 2A /KK1/ 1170 584 +43 2B /ZZ/ 1507 1137 +44 2C /NG/ 2026 1289 +45 2D /LL/ 830 553 +46 2E /WW/ 1473 920 +47 2F /XR/ 2486 2210 +48 30 /WH/ 1474 1289 +49 31 /YY1/ 922 828 +50 32 /CH/ 1495 649 +51 33 /ER1/ 1106 1012 +52 34 /ER2/ 2117 1840 +53 35 /OW/ 1749 1197 +54 36 /DH2/ 1841 1564 +55 37 /SS/ 651 1 +56 38 /NN2/ 1382 1104 +57 39 /HH2/ 1279 910 +58 3A /OR/ 2394 2117 +59 3B /AR/ 2026 1748 +60 3C /YR/ 2485 2026 +61 3D /GG2/ 704 519 +62 3E /EL/ 1382 736 +63 3F /BB2/ 509 324 diff --git a/allophones/aa.raw b/allophones/aa.raw index 5373a25..003ecdd 100644 Binary files a/allophones/aa.raw and b/allophones/aa.raw differ diff --git a/allophones/ae.raw b/allophones/ae.raw index 2ba5831..27990e6 100644 Binary files a/allophones/ae.raw and b/allophones/ae.raw differ diff --git a/allophones/ao.raw b/allophones/ao.raw index 08f0ed8..0c165ec 100644 Binary files a/allophones/ao.raw and b/allophones/ao.raw differ diff --git a/allophones/ar.raw b/allophones/ar.raw index 46faa5c..5e0e070 100644 Binary files a/allophones/ar.raw and b/allophones/ar.raw differ diff --git a/allophones/aw.raw b/allophones/aw.raw index cac196b..cfdb7ef 100644 Binary files a/allophones/aw.raw and b/allophones/aw.raw differ diff --git a/allophones/ax.raw b/allophones/ax.raw index f1b1583..a35ba38 100644 Binary files a/allophones/ax.raw and b/allophones/ax.raw differ diff --git a/allophones/ay.raw b/allophones/ay.raw index 22dc391..25d646a 100644 Binary files a/allophones/ay.raw and b/allophones/ay.raw differ diff --git a/allophones/bb1.raw b/allophones/bb1.raw index 6a7da63..9b3b4a2 100644 Binary files a/allophones/bb1.raw and b/allophones/bb1.raw differ diff --git a/allophones/bb2.raw b/allophones/bb2.raw index 7a0eb50..4090be6 100644 Binary files a/allophones/bb2.raw and b/allophones/bb2.raw differ diff --git a/allophones/ch.raw b/allophones/ch.raw index 4ef212f..4416f30 100644 Binary files a/allophones/ch.raw and b/allophones/ch.raw differ diff --git a/allophones/dd1.raw b/allophones/dd1.raw index 3f401f7..f64cf31 100644 Binary files a/allophones/dd1.raw and b/allophones/dd1.raw differ diff --git a/allophones/dd2.raw b/allophones/dd2.raw index 709e586..c7b4cb4 100644 Binary files a/allophones/dd2.raw and b/allophones/dd2.raw differ diff --git a/allophones/dh1.raw b/allophones/dh1.raw index 95af68a..1762e53 100644 Binary files a/allophones/dh1.raw and b/allophones/dh1.raw differ diff --git a/allophones/dh2.raw b/allophones/dh2.raw index 4c7c42d..e5f7341 100644 Binary files a/allophones/dh2.raw and b/allophones/dh2.raw differ diff --git a/allophones/eh.raw b/allophones/eh.raw index 008c05c..f79a5dc 100644 Binary files a/allophones/eh.raw and b/allophones/eh.raw differ diff --git a/allophones/el.raw b/allophones/el.raw index c5abeb9..ad8d0b9 100644 Binary files a/allophones/el.raw and b/allophones/el.raw differ diff --git a/allophones/er1.raw b/allophones/er1.raw index 18693ec..966df74 100644 Binary files a/allophones/er1.raw and b/allophones/er1.raw differ diff --git a/allophones/er2.raw b/allophones/er2.raw index fa90b4a..c626fd7 100644 Binary files a/allophones/er2.raw and b/allophones/er2.raw differ diff --git a/allophones/ey.raw b/allophones/ey.raw index b314ebe..d7a362f 100644 Binary files a/allophones/ey.raw and b/allophones/ey.raw differ diff --git a/allophones/ff.raw b/allophones/ff.raw index cf6ddaf..8055f95 100644 Binary files a/allophones/ff.raw and b/allophones/ff.raw differ diff --git a/allophones/gg1.raw b/allophones/gg1.raw index 45fac77..f722bda 100644 Binary files a/allophones/gg1.raw and b/allophones/gg1.raw differ diff --git a/allophones/gg2.raw b/allophones/gg2.raw index 89ca64e..7502340 100644 Binary files a/allophones/gg2.raw and b/allophones/gg2.raw differ diff --git a/allophones/gg3.raw b/allophones/gg3.raw index eddf965..3b65896 100644 Binary files a/allophones/gg3.raw and b/allophones/gg3.raw differ diff --git a/allophones/hh1.raw b/allophones/hh1.raw index 7de99b4..eecca86 100644 Binary files a/allophones/hh1.raw and b/allophones/hh1.raw differ diff --git a/allophones/hh2.raw b/allophones/hh2.raw index 318a25d..a72d4d1 100644 Binary files a/allophones/hh2.raw and b/allophones/hh2.raw differ diff --git a/allophones/ih.raw b/allophones/ih.raw index cfa728d..c2b8dd7 100644 Binary files a/allophones/ih.raw and b/allophones/ih.raw differ diff --git a/allophones/iy.raw b/allophones/iy.raw index 4e4a2f8..2ca2ddb 100644 Binary files a/allophones/iy.raw and b/allophones/iy.raw differ diff --git a/allophones/jh.raw b/allophones/jh.raw index ea8123f..c775464 100644 Binary files a/allophones/jh.raw and b/allophones/jh.raw differ diff --git a/allophones/kk1.raw b/allophones/kk1.raw index 50c35c6..5706a40 100644 Binary files a/allophones/kk1.raw and b/allophones/kk1.raw differ diff --git a/allophones/kk2.raw b/allophones/kk2.raw index d986470..eaae42d 100644 Binary files a/allophones/kk2.raw and b/allophones/kk2.raw differ diff --git a/allophones/kk3.raw b/allophones/kk3.raw index 8805545..3851d0f 100644 Binary files a/allophones/kk3.raw and b/allophones/kk3.raw differ diff --git a/allophones/ll.raw b/allophones/ll.raw index e546d14..507b997 100644 Binary files a/allophones/ll.raw and b/allophones/ll.raw differ diff --git a/allophones/mm.raw b/allophones/mm.raw index 43da8b4..6e0a368 100644 Binary files a/allophones/mm.raw and b/allophones/mm.raw differ diff --git a/allophones/ng.raw b/allophones/ng.raw index 40bbe88..255f661 100644 Binary files a/allophones/ng.raw and b/allophones/ng.raw differ diff --git a/allophones/nn1.raw b/allophones/nn1.raw index 964bc98..212ed9b 100644 Binary files a/allophones/nn1.raw and b/allophones/nn1.raw differ diff --git a/allophones/nn2.raw b/allophones/nn2.raw index 328375d..78d8535 100644 Binary files a/allophones/nn2.raw and b/allophones/nn2.raw differ diff --git a/allophones/or.raw b/allophones/or.raw index 86f13cb..bfbef28 100644 Binary files a/allophones/or.raw and b/allophones/or.raw differ diff --git a/allophones/ow.raw b/allophones/ow.raw index 2d07cf5..f701190 100644 Binary files a/allophones/ow.raw and b/allophones/ow.raw differ diff --git a/allophones/oy.raw b/allophones/oy.raw index dea8065..45512be 100644 Binary files a/allophones/oy.raw and b/allophones/oy.raw differ diff --git a/allophones/pa1.raw b/allophones/pa1.raw index a28c99e..d5b60b8 100644 Binary files a/allophones/pa1.raw and b/allophones/pa1.raw differ diff --git a/allophones/pa2.raw b/allophones/pa2.raw index 8490f2b..a840f0d 100644 Binary files a/allophones/pa2.raw and b/allophones/pa2.raw differ diff --git a/allophones/pa3.raw b/allophones/pa3.raw index 662a119..72668bd 100644 Binary files a/allophones/pa3.raw and b/allophones/pa3.raw differ diff --git a/allophones/pa4.raw b/allophones/pa4.raw index 04d0746..e33b20a 100644 Binary files a/allophones/pa4.raw and b/allophones/pa4.raw differ diff --git a/allophones/pa5.raw b/allophones/pa5.raw index e3722de..9c84879 100644 Binary files a/allophones/pa5.raw and b/allophones/pa5.raw differ diff --git a/allophones/pp.raw b/allophones/pp.raw index a4da6f7..edece53 100644 Binary files a/allophones/pp.raw and b/allophones/pp.raw differ diff --git a/allophones/rr1.raw b/allophones/rr1.raw index c8eabee..4e8809e 100644 Binary files a/allophones/rr1.raw and b/allophones/rr1.raw differ diff --git a/allophones/rr2.raw b/allophones/rr2.raw index 68752fb..f3c39a7 100644 Binary files a/allophones/rr2.raw and b/allophones/rr2.raw differ diff --git a/allophones/sh.raw b/allophones/sh.raw index 4a072c8..cfea3d3 100644 Binary files a/allophones/sh.raw and b/allophones/sh.raw differ diff --git a/allophones/ss.raw b/allophones/ss.raw index 10dfb88..6b01764 100644 Binary files a/allophones/ss.raw and b/allophones/ss.raw differ diff --git a/allophones/th.raw b/allophones/th.raw index 0ae3239..39b342e 100644 Binary files a/allophones/th.raw and b/allophones/th.raw differ diff --git a/allophones/tt1.raw b/allophones/tt1.raw index 1ad85fd..7e9b0b7 100644 Binary files a/allophones/tt1.raw and b/allophones/tt1.raw differ diff --git a/allophones/tt2.raw b/allophones/tt2.raw index dcbccff..4057823 100644 Binary files a/allophones/tt2.raw and b/allophones/tt2.raw differ diff --git a/allophones/uh.raw b/allophones/uh.raw index 090feb6..c6ee6e6 100644 Binary files a/allophones/uh.raw and b/allophones/uh.raw differ diff --git a/allophones/uw1.raw b/allophones/uw1.raw index 5e11878..5cb9406 100644 Binary files a/allophones/uw1.raw and b/allophones/uw1.raw differ diff --git a/allophones/uw2.raw b/allophones/uw2.raw index 2b8f4d8..c24292a 100644 Binary files a/allophones/uw2.raw and b/allophones/uw2.raw differ diff --git a/allophones/vv.raw b/allophones/vv.raw index c0e2dcd..7db8fba 100644 Binary files a/allophones/vv.raw and b/allophones/vv.raw differ diff --git a/allophones/wh.raw b/allophones/wh.raw index 2e9b93e..b62800f 100644 Binary files a/allophones/wh.raw and b/allophones/wh.raw differ diff --git a/allophones/ww.raw b/allophones/ww.raw index ac2b63e..b42997c 100644 Binary files a/allophones/ww.raw and b/allophones/ww.raw differ diff --git a/allophones/xr.raw b/allophones/xr.raw index 3875a5a..c9a79c4 100644 Binary files a/allophones/xr.raw and b/allophones/xr.raw differ diff --git a/allophones/yr.raw b/allophones/yr.raw index 5174ba3..8dfb95b 100644 Binary files a/allophones/yr.raw and b/allophones/yr.raw differ diff --git a/allophones/yy1.raw b/allophones/yy1.raw index cf34da2..6aeff70 100644 Binary files a/allophones/yy1.raw and b/allophones/yy1.raw differ diff --git a/allophones/yy2.raw b/allophones/yy2.raw index b000d71..35922d7 100644 Binary files a/allophones/yy2.raw and b/allophones/yy2.raw differ diff --git a/allophones/zh.raw b/allophones/zh.raw index 6681d38..40294ac 100644 Binary files a/allophones/zh.raw and b/allophones/zh.raw differ diff --git a/allophones/zz.raw b/allophones/zz.raw index 9fbc699..abbb0a0 100644 Binary files a/allophones/zz.raw and b/allophones/zz.raw differ