cartreader/eagle/nes_adapter.sch
2019-10-29 19:50:32 +01:00

1929 lines
105 KiB
Plaintext

<?xml version="1.0" encoding="utf-8"?>
<!DOCTYPE eagle SYSTEM "eagle.dtd">
<eagle version="7.6.0">
<drawing>
<settings>
<setting alwaysvectorfont="no"/>
<setting verticaltext="up"/>
</settings>
<grid distance="0.1" unitdist="inch" unit="inch" style="lines" multiple="1" display="no" altdistance="0.01" altunitdist="inch" altunit="inch"/>
<layers>
<layer number="1" name="Top" color="4" fill="1" visible="no" active="no"/>
<layer number="2" name="Route2" color="1" fill="3" visible="no" active="no"/>
<layer number="3" name="Route3" color="4" fill="3" visible="no" active="no"/>
<layer number="4" name="Route4" color="1" fill="4" visible="no" active="no"/>
<layer number="5" name="Route5" color="4" fill="4" visible="no" active="no"/>
<layer number="6" name="Route6" color="1" fill="8" visible="no" active="no"/>
<layer number="7" name="Route7" color="4" fill="8" visible="no" active="no"/>
<layer number="8" name="Route8" color="1" fill="2" visible="no" active="no"/>
<layer number="9" name="Route9" color="4" fill="2" visible="no" active="no"/>
<layer number="10" name="Route10" color="1" fill="7" visible="no" active="no"/>
<layer number="11" name="Route11" color="4" fill="7" visible="no" active="no"/>
<layer number="12" name="Route12" color="1" fill="5" visible="no" active="no"/>
<layer number="13" name="Route13" color="4" fill="5" visible="no" active="no"/>
<layer number="14" name="Route14" color="1" fill="6" visible="no" active="no"/>
<layer number="15" name="Route15" color="4" fill="6" visible="no" active="no"/>
<layer number="16" name="Bottom" color="1" fill="1" visible="no" active="no"/>
<layer number="17" name="Pads" color="2" fill="1" visible="no" active="no"/>
<layer number="18" name="Vias" color="14" fill="1" visible="no" active="no"/>
<layer number="19" name="Unrouted" color="6" fill="1" visible="no" active="no"/>
<layer number="20" name="Dimension" color="15" fill="1" visible="no" active="no"/>
<layer number="21" name="tPlace" color="7" fill="1" visible="no" active="no"/>
<layer number="22" name="bPlace" color="7" fill="1" visible="no" active="no"/>
<layer number="23" name="tOrigins" color="15" fill="1" visible="no" active="no"/>
<layer number="24" name="bOrigins" color="15" fill="1" visible="no" active="no"/>
<layer number="25" name="tNames" color="7" fill="1" visible="no" active="no"/>
<layer number="26" name="bNames" color="7" fill="1" visible="no" active="no"/>
<layer number="27" name="tValues" color="7" fill="1" visible="no" active="no"/>
<layer number="28" name="bValues" color="7" fill="1" visible="no" active="no"/>
<layer number="29" name="tStop" color="2" fill="3" visible="no" active="no"/>
<layer number="30" name="bStop" color="5" fill="6" visible="no" active="no"/>
<layer number="31" name="tCream" color="7" fill="4" visible="no" active="no"/>
<layer number="32" name="bCream" color="7" fill="5" visible="no" active="no"/>
<layer number="33" name="tFinish" color="6" fill="3" visible="no" active="no"/>
<layer number="34" name="bFinish" color="6" fill="6" visible="no" active="no"/>
<layer number="35" name="tGlue" color="7" fill="4" visible="no" active="no"/>
<layer number="36" name="bGlue" color="7" fill="5" visible="no" active="no"/>
<layer number="37" name="tTest" color="7" fill="1" visible="no" active="no"/>
<layer number="38" name="bTest" color="7" fill="1" visible="no" active="no"/>
<layer number="39" name="tKeepout" color="4" fill="11" visible="no" active="no"/>
<layer number="40" name="bKeepout" color="1" fill="11" visible="no" active="no"/>
<layer number="41" name="tRestrict" color="4" fill="10" visible="no" active="no"/>
<layer number="42" name="bRestrict" color="1" fill="10" visible="no" active="no"/>
<layer number="43" name="vRestrict" color="2" fill="10" visible="no" active="no"/>
<layer number="44" name="Drills" color="7" fill="1" visible="no" active="no"/>
<layer number="45" name="Holes" color="7" fill="1" visible="no" active="no"/>
<layer number="46" name="Milling" color="3" fill="1" visible="no" active="no"/>
<layer number="47" name="Measures" color="7" fill="1" visible="no" active="no"/>
<layer number="48" name="Document" color="7" fill="1" visible="no" active="no"/>
<layer number="49" name="Reference" color="13" fill="1" visible="no" active="no"/>
<layer number="50" name="dxf" color="12" fill="1" visible="no" active="no"/>
<layer number="51" name="tDocu" color="7" fill="1" visible="no" active="no"/>
<layer number="52" name="bDocu" color="7" fill="1" visible="no" active="no"/>
<layer number="53" name="tGND_GNDA" color="7" fill="1" visible="no" active="no"/>
<layer number="54" name="bGND_GNDA" color="7" fill="1" visible="no" active="no"/>
<layer number="56" name="wert" color="7" fill="1" visible="no" active="no"/>
<layer number="57" name="tCAD" color="7" fill="1" visible="no" active="no"/>
<layer number="59" name="tCarbon" color="7" fill="1" visible="no" active="no"/>
<layer number="60" name="bCarbon" color="7" fill="1" visible="no" active="no"/>
<layer number="88" name="SimResults" color="9" fill="1" visible="no" active="yes"/>
<layer number="89" name="SimProbes" color="9" fill="1" visible="no" active="yes"/>
<layer number="90" name="Modules" color="5" fill="1" visible="yes" active="yes"/>
<layer number="91" name="Nets" color="2" fill="1" visible="yes" active="yes"/>
<layer number="92" name="Busses" color="1" fill="1" visible="yes" active="yes"/>
<layer number="93" name="Pins" color="2" fill="1" visible="no" active="yes"/>
<layer number="94" name="Symbols" color="4" fill="1" visible="yes" active="yes"/>
<layer number="95" name="Names" color="7" fill="1" visible="yes" active="yes"/>
<layer number="96" name="Values" color="7" fill="1" visible="yes" active="yes"/>
<layer number="97" name="Info" color="7" fill="1" visible="no" active="yes"/>
<layer number="98" name="Guide" color="6" fill="1" visible="no" active="yes"/>
<layer number="99" name="SpiceOrder" color="7" fill="1" visible="yes" active="yes"/>
<layer number="100" name="Muster" color="7" fill="1" visible="no" active="yes"/>
<layer number="101" name="Patch_Top" color="7" fill="1" visible="no" active="yes"/>
<layer number="102" name="Vscore" color="7" fill="1" visible="no" active="yes"/>
<layer number="103" name="tMap" color="7" fill="1" visible="yes" active="yes"/>
<layer number="104" name="Name" color="7" fill="1" visible="no" active="yes"/>
<layer number="105" name="tPlate" color="7" fill="1" visible="yes" active="yes"/>
<layer number="106" name="bPlate" color="7" fill="1" visible="yes" active="yes"/>
<layer number="107" name="Crop" color="7" fill="1" visible="yes" active="yes"/>
<layer number="108" name="tplace-old" color="10" fill="1" visible="no" active="yes"/>
<layer number="109" name="ref-old" color="11" fill="1" visible="no" active="yes"/>
<layer number="110" name="fp0" color="7" fill="1" visible="no" active="yes"/>
<layer number="111" name="LPC17xx" color="7" fill="1" visible="no" active="yes"/>
<layer number="112" name="tSilk" color="7" fill="1" visible="no" active="yes"/>
<layer number="113" name="IDFDebug" color="4" fill="1" visible="no" active="yes"/>
<layer number="114" name="Badge_Outline" color="7" fill="1" visible="no" active="no"/>
<layer number="115" name="ReferenceISLANDS" color="7" fill="1" visible="no" active="no"/>
<layer number="116" name="Patch_BOT" color="7" fill="1" visible="no" active="yes"/>
<layer number="117" name="PM_Ref" color="26" fill="1" visible="yes" active="yes"/>
<layer number="118" name="Rect_Pads" color="7" fill="1" visible="no" active="no"/>
<layer number="119" name="PF_Ref" color="31" fill="1" visible="yes" active="yes"/>
<layer number="120" name="WFL_Ref" color="25" fill="1" visible="yes" active="yes"/>
<layer number="121" name="_tsilk" color="7" fill="1" visible="no" active="yes"/>
<layer number="122" name="_bsilk" color="7" fill="1" visible="no" active="yes"/>
<layer number="123" name="tTestmark" color="7" fill="1" visible="no" active="yes"/>
<layer number="124" name="bTestmark" color="7" fill="1" visible="no" active="yes"/>
<layer number="125" name="_tNames" color="7" fill="1" visible="no" active="yes"/>
<layer number="126" name="_bNames" color="7" fill="1" visible="no" active="yes"/>
<layer number="127" name="_tValues" color="7" fill="1" visible="no" active="yes"/>
<layer number="128" name="_bValues" color="7" fill="1" visible="no" active="yes"/>
<layer number="129" name="Mask" color="7" fill="1" visible="yes" active="yes"/>
<layer number="131" name="tAdjust" color="7" fill="1" visible="no" active="yes"/>
<layer number="132" name="bAdjust" color="7" fill="1" visible="no" active="yes"/>
<layer number="144" name="Drill_legend" color="7" fill="1" visible="yes" active="yes"/>
<layer number="150" name="Notes" color="7" fill="1" visible="no" active="yes"/>
<layer number="151" name="HeatSink" color="7" fill="1" visible="no" active="yes"/>
<layer number="152" name="_bDocu" color="7" fill="1" visible="no" active="yes"/>
<layer number="153" name="FabDoc1" color="7" fill="1" visible="no" active="yes"/>
<layer number="154" name="FabDoc2" color="7" fill="1" visible="no" active="yes"/>
<layer number="155" name="FabDoc3" color="7" fill="1" visible="no" active="yes"/>
<layer number="199" name="Contour" color="7" fill="1" visible="no" active="yes"/>
<layer number="200" name="200bmp" color="1" fill="10" visible="no" active="no"/>
<layer number="201" name="201bmp" color="7" fill="1" visible="no" active="yes"/>
<layer number="202" name="202bmp" color="7" fill="1" visible="no" active="yes"/>
<layer number="203" name="203bmp" color="7" fill="1" visible="no" active="yes"/>
<layer number="204" name="204bmp" color="7" fill="1" visible="no" active="yes"/>
<layer number="205" name="205bmp" color="7" fill="1" visible="no" active="yes"/>
<layer number="206" name="206bmp" color="7" fill="1" visible="no" active="yes"/>
<layer number="207" name="207bmp" color="7" fill="1" visible="no" active="yes"/>
<layer number="208" name="208bmp" color="7" fill="1" visible="no" active="yes"/>
<layer number="209" name="209bmp" color="7" fill="1" visible="no" active="yes"/>
<layer number="210" name="210bmp" color="7" fill="1" visible="no" active="yes"/>
<layer number="211" name="211bmp" color="7" fill="1" visible="no" active="yes"/>
<layer number="212" name="212bmp" color="7" fill="1" visible="no" active="yes"/>
<layer number="213" name="213bmp" color="7" fill="1" visible="no" active="yes"/>
<layer number="214" name="214bmp" color="7" fill="1" visible="no" active="yes"/>
<layer number="215" name="215bmp" color="16" fill="1" visible="yes" active="yes"/>
<layer number="216" name="216bmp" color="17" fill="1" visible="yes" active="yes"/>
<layer number="217" name="217bmp" color="18" fill="1" visible="yes" active="yes"/>
<layer number="218" name="218bmp" color="19" fill="1" visible="yes" active="yes"/>
<layer number="219" name="219bmp" color="20" fill="1" visible="yes" active="yes"/>
<layer number="220" name="220bmp" color="21" fill="1" visible="yes" active="yes"/>
<layer number="221" name="221bmp" color="22" fill="1" visible="yes" active="yes"/>
<layer number="222" name="222bmp" color="23" fill="1" visible="yes" active="yes"/>
<layer number="223" name="223bmp" color="24" fill="1" visible="yes" active="yes"/>
<layer number="224" name="224bmp" color="25" fill="1" visible="yes" active="yes"/>
<layer number="225" name="225bmp" color="7" fill="1" visible="yes" active="yes"/>
<layer number="226" name="226bmp" color="7" fill="1" visible="yes" active="yes"/>
<layer number="227" name="227bmp" color="7" fill="1" visible="yes" active="yes"/>
<layer number="228" name="228bmp" color="7" fill="1" visible="yes" active="yes"/>
<layer number="229" name="229bmp" color="7" fill="1" visible="yes" active="yes"/>
<layer number="230" name="230bmp" color="7" fill="1" visible="yes" active="yes"/>
<layer number="231" name="231bmp" color="7" fill="1" visible="yes" active="yes"/>
<layer number="232" name="Eagle3D_PG2" color="7" fill="1" visible="no" active="no"/>
<layer number="233" name="Eagle3D_PG3" color="7" fill="1" visible="no" active="no"/>
<layer number="248" name="Housing" color="7" fill="1" visible="no" active="yes"/>
<layer number="249" name="Edge" color="7" fill="1" visible="no" active="yes"/>
<layer number="250" name="Descript" color="3" fill="1" visible="no" active="no"/>
<layer number="251" name="SMDround" color="12" fill="11" visible="no" active="no"/>
<layer number="254" name="cooling" color="7" fill="1" visible="no" active="yes"/>
<layer number="255" name="routoute" color="7" fill="1" visible="yes" active="yes"/>
</layers>
<schematic>
<libraries>
<library name="SparkFun-Aesthetics">
<description>&lt;h3&gt;SparkFun Electronics' preferred foot prints&lt;/h3&gt;
In this library you'll find non-functional items- supply symbols, logos, notations, frame blocks, etc.&lt;br&gt;&lt;br&gt;
We've spent an enormous amount of time creating and checking these footprints and parts, but it is the end user's responsibility to ensure correctness and suitablity for a given componet or application. If you enjoy using this library, please buy one of our products at www.sparkfun.com.
&lt;br&gt;&lt;br&gt;
&lt;b&gt;Licensing:&lt;/b&gt; Creative Commons ShareAlike 4.0 International - https://creativecommons.org/licenses/by-sa/4.0/
&lt;br&gt;&lt;br&gt;
You are welcome to use this library for commercial purposes. For attribution, we ask that when you begin to sell your device using our footprint, you email us with a link to the product being sold. We want bragging rights that we helped (in a very small part) to create your 8th world wonder. We would like the opportunity to feature your device on our homepage.</description>
<packages>
</packages>
<symbols>
<symbol name="FR-A4L">
<description>&lt;h3&gt;Schematic Frame - A4L - European Format&lt;/h3&gt;
&lt;p&gt;Standard A4 size frame in Landscape&lt;/p&gt;
&lt;p&gt;Devices using:
&lt;ul&gt;&lt;li&gt;FRAME-A4L&lt;/li&gt;&lt;/ul&gt;&lt;/p&gt;</description>
<rectangle x1="178.7652" y1="0" x2="179.3748" y2="20.32" layer="94"/>
<rectangle x1="225.7552" y1="-26.67" x2="226.3648" y2="67.31" layer="94" rot="R90"/>
<wire x1="225.29" y1="-0.1" x2="225.29" y2="5.08" width="0.1016" layer="94"/>
<wire x1="225.29" y1="5.08" x2="273.05" y2="5.08" width="0.1016" layer="94"/>
<wire x1="225.29" y1="5.08" x2="179.07" y2="5.08" width="0.1016" layer="94"/>
<wire x1="179.07" y1="10.16" x2="225.29" y2="10.16" width="0.1016" layer="94"/>
<wire x1="225.29" y1="10.16" x2="273.05" y2="10.16" width="0.1016" layer="94"/>
<wire x1="179.07" y1="15.24" x2="273.05" y2="15.24" width="0.1016" layer="94"/>
<wire x1="225.29" y1="5.08" x2="225.29" y2="10.16" width="0.1016" layer="94"/>
<wire x1="179.07" y1="19.05" x2="179.07" y2="20.32" width="0.6096" layer="94"/>
<wire x1="179.07" y1="20.32" x2="180.34" y2="20.32" width="0.6096" layer="94"/>
<text x="181.61" y="11.43" size="2.54" layer="94" font="vector">&gt;DRAWING_NAME</text>
<text x="181.61" y="6.35" size="2.286" layer="94" font="vector">&gt;LAST_DATE_TIME</text>
<text x="195.58" y="1.27" size="2.54" layer="94" font="vector">&gt;SHEET</text>
<text x="181.61" y="1.27" size="2.54" layer="94" font="vector">Sheet:</text>
<text x="181.61" y="16.51" size="2.54" layer="94" font="vector">&gt;CNAME</text>
<text x="226.16" y="1.27" size="2.54" layer="94" font="vector">Rev:</text>
<text x="226.26" y="6.35" size="2.54" layer="94" font="vector">&gt;DESIGNER</text>
<text x="234.92" y="1.17" size="2.54" layer="94" font="vector">&gt;CREVISION</text>
<frame x1="-3.81" y1="-3.81" x2="276.86" y2="182.88" columns="8" rows="5" layer="94"/>
</symbol>
</symbols>
<devicesets>
<deviceset name="FRAME-A4L" prefix="FRAME">
<description>&lt;h3&gt;Schematic Frame - A4L - European Format&lt;/h3&gt;
&lt;p&gt;Standard A4 size frame in Landscape&lt;/p&gt;</description>
<gates>
<gate name="G$1" symbol="FR-A4L" x="0" y="0"/>
</gates>
<devices>
<device name="">
<technologies>
<technology name="">
<attribute name="DESIGNER" value="" constant="no"/>
<attribute name="REV" value="" constant="no"/>
</technology>
</technologies>
</device>
</devices>
</deviceset>
</devicesets>
</library>
<library name="snes_cart_mod4">
<description>&lt;b&gt;SNES Cartridge Part Library&lt;/b&gt;&lt;p&gt;
Contains board outlines and common chips used in Super Nintendo game cartridges.
&lt;ul&gt;
&lt;li&gt;MaskROM
&lt;li&gt;SRAM
&lt;li&gt;Address Decoder
&lt;li&gt;Lockout chip
&lt;/ul&gt;
Also contains many chips used in reproduction cartridges.
&lt;ul&gt;
&lt;li&gt;Flash ROM
&lt;li&gt;SuperCIC lockout chip clone
&lt;/ul&gt;
&lt;author&gt;Created by qwertymodo&lt;/author&gt;&lt;p&gt;
Additional parts added by magno:
&lt;ul&gt;
&lt;li&gt;32Mbit UV EPROM
&lt;li&gt;Various 74XXX decoders
&lt;/ul&gt;
&lt;p&gt;
Email: qwertymodo@gmail.com</description>
<packages>
<package name="CART-EXP-PINS-LONG">
<smd name="4" x="35" y="-1.42" dx="1.75" dy="10" layer="16" rot="R180" stop="no" thermals="no" cream="no"/>
<smd name="3" x="37.5" y="-1.42" dx="1.75" dy="10" layer="16" rot="R180" stop="no" thermals="no" cream="no"/>
<smd name="2" x="40" y="-1.42" dx="1.75" dy="10" layer="16" rot="R180" stop="no" thermals="no" cream="no"/>
<smd name="1" x="42.5" y="-1.42" dx="1.75" dy="10" layer="16" rot="R180" stop="no" thermals="no" cream="no"/>
<smd name="28" x="-35" y="-1.42" dx="1.75" dy="10" layer="16" rot="R180" stop="no" thermals="no" cream="no"/>
<smd name="29" x="-37.5" y="-1.42" dx="1.75" dy="10" layer="16" rot="R180" stop="no" thermals="no" cream="no"/>
<smd name="30" x="-40" y="-1.42" dx="1.75" dy="10" layer="16" rot="R180" stop="no" thermals="no" cream="no"/>
<smd name="31" x="-42.5" y="-1.42" dx="1.75" dy="10" layer="16" rot="R180" stop="no" thermals="no" cream="no"/>
<smd name="35" x="35" y="-1.42" dx="1.75" dy="10" layer="1" rot="R180" stop="no" thermals="no" cream="no"/>
<smd name="34" x="37.5" y="-1.42" dx="1.75" dy="10" layer="1" rot="R180" stop="no" thermals="no" cream="no"/>
<smd name="33" x="40" y="-1.42" dx="1.75" dy="10" layer="1" rot="R180" stop="no" thermals="no" cream="no"/>
<smd name="32" x="42.5" y="-1.42" dx="1.75" dy="10" layer="1" rot="R180" stop="no" thermals="no" cream="no"/>
<smd name="62" x="-42.5" y="-1.42" dx="1.75" dy="10" layer="1" rot="R180" stop="no" thermals="no" cream="no"/>
<smd name="61" x="-40" y="-1.42" dx="1.75" dy="10" layer="1" rot="R180" stop="no" thermals="no" cream="no"/>
<smd name="60" x="-37.5" y="-1.42" dx="1.75" dy="10" layer="1" rot="R180" stop="no" thermals="no" cream="no"/>
<smd name="59" x="-35" y="-1.42" dx="1.75" dy="10" layer="1" rot="R180" stop="no" thermals="no" cream="no"/>
<smd name="5" x="27.5" y="-1.42" dx="1.75" dy="10" layer="16" stop="no" thermals="no" cream="no"/>
<smd name="6" x="25" y="-1.42" dx="1.75" dy="10" layer="16" stop="no" thermals="no" cream="no"/>
<smd name="7" x="22.5" y="-1.42" dx="1.75" dy="10" layer="16" stop="no" thermals="no" cream="no"/>
<smd name="8" x="20" y="-1.42" dx="1.75" dy="10" layer="16" stop="no" thermals="no" cream="no"/>
<smd name="9" x="17.5" y="-1.42" dx="1.75" dy="10" layer="16" stop="no" thermals="no" cream="no"/>
<smd name="10" x="15" y="-1.42" dx="1.75" dy="10" layer="16" stop="no" thermals="no" cream="no"/>
<smd name="11" x="12.5" y="-1.42" dx="1.75" dy="10" layer="16" stop="no" thermals="no" cream="no"/>
<smd name="12" x="10" y="-1.42" dx="1.75" dy="10" layer="16" stop="no" thermals="no" cream="no"/>
<smd name="13" x="7.5" y="-1.42" dx="1.75" dy="10" layer="16" stop="no" thermals="no" cream="no"/>
<smd name="14" x="5" y="-1.42" dx="1.75" dy="10" layer="16" stop="no" thermals="no" cream="no"/>
<smd name="15" x="2.5" y="-1.42" dx="1.75" dy="10" layer="16" stop="no" thermals="no" cream="no"/>
<smd name="16" x="0" y="-1.42" dx="1.75" dy="10" layer="16" stop="no" thermals="no" cream="no"/>
<smd name="17" x="-2.5" y="-1.42" dx="1.75" dy="10" layer="16" stop="no" thermals="no" cream="no"/>
<smd name="18" x="-5" y="-1.42" dx="1.75" dy="10" layer="16" stop="no" thermals="no" cream="no"/>
<smd name="19" x="-7.5" y="-1.42" dx="1.75" dy="10" layer="16" stop="no" thermals="no" cream="no"/>
<smd name="20" x="-10" y="-1.42" dx="1.75" dy="10" layer="16" stop="no" thermals="no" cream="no"/>
<smd name="21" x="-12.5" y="-1.42" dx="1.75" dy="10" layer="16" stop="no" thermals="no" cream="no"/>
<smd name="22" x="-15" y="-1.42" dx="1.75" dy="10" layer="16" stop="no" thermals="no" cream="no"/>
<smd name="23" x="-17.5" y="-1.42" dx="1.75" dy="10" layer="16" stop="no" thermals="no" cream="no"/>
<smd name="24" x="-20" y="-1.42" dx="1.75" dy="10" layer="16" stop="no" thermals="no" cream="no"/>
<smd name="25" x="-22.5" y="-1.42" dx="1.75" dy="10" layer="16" stop="no" thermals="no" cream="no"/>
<smd name="26" x="-25" y="-1.42" dx="1.75" dy="10" layer="16" stop="no" thermals="no" cream="no"/>
<smd name="27" x="-27.5" y="-1.42" dx="1.75" dy="10" layer="16" stop="no" thermals="no" cream="no"/>
<smd name="36" x="27.5" y="-1.42" dx="1.75" dy="10" layer="1" stop="no" thermals="no" cream="no"/>
<smd name="37" x="25" y="-1.42" dx="1.75" dy="10" layer="1" stop="no" thermals="no" cream="no"/>
<smd name="38" x="22.5" y="-1.42" dx="1.75" dy="10" layer="1" stop="no" thermals="no" cream="no"/>
<smd name="39" x="20" y="-1.42" dx="1.75" dy="10" layer="1" stop="no" thermals="no" cream="no"/>
<smd name="40" x="17.5" y="-1.42" dx="1.75" dy="10" layer="1" stop="no" thermals="no" cream="no"/>
<smd name="41" x="15" y="-1.42" dx="1.75" dy="10" layer="1" stop="no" thermals="no" cream="no"/>
<smd name="42" x="12.5" y="-1.42" dx="1.75" dy="10" layer="1" stop="no" thermals="no" cream="no"/>
<smd name="43" x="10" y="-1.42" dx="1.75" dy="10" layer="1" stop="no" thermals="no" cream="no"/>
<smd name="44" x="7.5" y="-1.42" dx="1.75" dy="10" layer="1" stop="no" thermals="no" cream="no"/>
<smd name="45" x="5" y="-1.42" dx="1.75" dy="10" layer="1" stop="no" thermals="no" cream="no"/>
<smd name="46" x="2.5" y="-1.42" dx="1.75" dy="10" layer="1" stop="no" thermals="no" cream="no"/>
<smd name="47" x="0" y="-1.42" dx="1.75" dy="10" layer="1" stop="no" thermals="no" cream="no"/>
<smd name="48" x="-2.5" y="-1.42" dx="1.75" dy="10" layer="1" stop="no" thermals="no" cream="no"/>
<smd name="49" x="-5" y="-1.42" dx="1.75" dy="10" layer="1" stop="no" thermals="no" cream="no"/>
<smd name="50" x="-7.5" y="-1.42" dx="1.75" dy="10" layer="1" stop="no" thermals="no" cream="no"/>
<smd name="51" x="-10" y="-1.42" dx="1.75" dy="10" layer="1" stop="no" thermals="no" cream="no"/>
<smd name="52" x="-12.5" y="-1.42" dx="1.75" dy="10" layer="1" stop="no" thermals="no" cream="no"/>
<smd name="53" x="-15" y="-1.42" dx="1.75" dy="10" layer="1" stop="no" thermals="no" cream="no"/>
<smd name="54" x="-17.5" y="-1.42" dx="1.75" dy="10" layer="1" stop="no" thermals="no" cream="no"/>
<smd name="55" x="-20" y="-1.42" dx="1.75" dy="10" layer="1" stop="no" thermals="no" cream="no"/>
<smd name="56" x="-22.5" y="-1.42" dx="1.75" dy="10" layer="1" stop="no" thermals="no" cream="no"/>
<smd name="57" x="-25" y="-1.42" dx="1.75" dy="10" layer="1" stop="no" thermals="no" cream="no"/>
<smd name="58" x="-27.5" y="-1.42" dx="1.75" dy="10" layer="1" stop="no" thermals="no" cream="no"/>
</package>
<package name="CART-EXP">
<description>&lt;b&gt;SNES expansion cart&lt;/b&gt;&lt;p&gt;
&lt;b&gt;NOTE:&lt;/b&gt; Front side of board faces the back of the SNES console</description>
<smd name="4" x="35" y="0" dx="1.75" dy="7.15" layer="16" rot="R180" stop="no" thermals="no" cream="no"/>
<smd name="3" x="37.5" y="0" dx="1.75" dy="7.15" layer="16" rot="R180" stop="no" thermals="no" cream="no"/>
<smd name="2" x="40" y="0" dx="1.75" dy="7.15" layer="16" rot="R180" stop="no" thermals="no" cream="no"/>
<smd name="1" x="42.5" y="0" dx="1.75" dy="7.15" layer="16" rot="R180" stop="no" thermals="no" cream="no"/>
<smd name="28" x="-35" y="0" dx="1.75" dy="7.15" layer="16" rot="R180" stop="no" thermals="no" cream="no"/>
<smd name="29" x="-37.5" y="0" dx="1.75" dy="7.15" layer="16" rot="R180" stop="no" thermals="no" cream="no"/>
<smd name="30" x="-40" y="0" dx="1.75" dy="7.15" layer="16" rot="R180" stop="no" thermals="no" cream="no"/>
<smd name="31" x="-42.5" y="0" dx="1.75" dy="7.15" layer="16" rot="R180" stop="no" thermals="no" cream="no"/>
<wire x1="45" y1="6.5" x2="45" y2="-3.25" width="0" layer="20"/>
<wire x1="45" y1="-3.25" x2="44.25" y2="-4" width="0" layer="20" curve="-90"/>
<wire x1="44.25" y1="-4" x2="33.25" y2="-4" width="0" layer="20"/>
<wire x1="33.25" y1="-4" x2="32.5" y2="-3.25" width="0" layer="20" curve="-90"/>
<wire x1="32.5" y1="-3.25" x2="32.5" y2="6.5" width="0" layer="20"/>
<wire x1="-32.5" y1="6.5" x2="-32.5" y2="-3.25" width="0" layer="20"/>
<wire x1="-32.5" y1="-3.25" x2="-33.25" y2="-4" width="0" layer="20" curve="-90"/>
<wire x1="-33.25" y1="-4" x2="-44.25" y2="-4" width="0" layer="20"/>
<wire x1="-44.25" y1="-4" x2="-45" y2="-3.25" width="0" layer="20" curve="-90"/>
<wire x1="-45" y1="-3.25" x2="-45" y2="6.5" width="0" layer="20"/>
<smd name="35" x="35" y="0" dx="1.75" dy="7.15" layer="1" rot="R180" stop="no" thermals="no" cream="no"/>
<smd name="34" x="37.5" y="0" dx="1.75" dy="7.15" layer="1" rot="R180" stop="no" thermals="no" cream="no"/>
<smd name="33" x="40" y="0" dx="1.75" dy="7.15" layer="1" rot="R180" stop="no" thermals="no" cream="no"/>
<smd name="32" x="42.5" y="0" dx="1.75" dy="7.15" layer="1" rot="R180" stop="no" thermals="no" cream="no"/>
<smd name="62" x="-42.5" y="0" dx="1.75" dy="7.15" layer="1" rot="R180" stop="no" thermals="no" cream="no"/>
<smd name="61" x="-40" y="0" dx="1.75" dy="7.15" layer="1" rot="R180" stop="no" thermals="no" cream="no"/>
<smd name="60" x="-37.5" y="0" dx="1.75" dy="7.15" layer="1" rot="R180" stop="no" thermals="no" cream="no"/>
<smd name="59" x="-35" y="0" dx="1.75" dy="7.15" layer="1" rot="R180" stop="no" thermals="no" cream="no"/>
<text x="43.125" y="4.375" size="1.524" layer="26" font="vector" ratio="15" rot="MR0">1</text>
<text x="35.625" y="4.375" size="1.524" layer="26" font="vector" ratio="15" rot="MR0">4</text>
<text x="-33.75" y="4.375" size="1.524" layer="26" font="vector" ratio="15" rot="MR0">28</text>
<text x="-41.25" y="4.375" size="1.524" layer="26" font="vector" ratio="15" rot="MR0">31</text>
<text x="-43.75" y="4.375" size="1.524" layer="25" font="vector" ratio="15">62</text>
<text x="-36.25" y="4.375" size="1.524" layer="25" font="vector" ratio="15">59</text>
<text x="33.75" y="4.375" size="1.524" layer="25" font="vector" ratio="15">35</text>
<text x="41.25" y="4.375" size="1.524" layer="25" font="vector" ratio="15">32</text>
<wire x1="45" y1="6.5" x2="50" y2="6.5" width="0" layer="20"/>
<wire x1="-45" y1="6.5" x2="-50" y2="6.5" width="0" layer="20"/>
<smd name="5" x="27.5" y="0" dx="1.75" dy="7.15" layer="16" stop="no" thermals="no" cream="no"/>
<smd name="6" x="25" y="0" dx="1.75" dy="7.15" layer="16" stop="no" thermals="no" cream="no"/>
<smd name="7" x="22.5" y="0" dx="1.75" dy="7.15" layer="16" stop="no" thermals="no" cream="no"/>
<smd name="8" x="20" y="0" dx="1.75" dy="7.15" layer="16" stop="no" thermals="no" cream="no"/>
<smd name="9" x="17.5" y="0" dx="1.75" dy="7.15" layer="16" stop="no" thermals="no" cream="no"/>
<smd name="10" x="15" y="0" dx="1.75" dy="7.15" layer="16" stop="no" thermals="no" cream="no"/>
<smd name="11" x="12.5" y="0" dx="1.75" dy="7.15" layer="16" stop="no" thermals="no" cream="no"/>
<smd name="12" x="10" y="0" dx="1.75" dy="7.15" layer="16" stop="no" thermals="no" cream="no"/>
<smd name="13" x="7.5" y="0" dx="1.75" dy="7.15" layer="16" stop="no" thermals="no" cream="no"/>
<smd name="14" x="5" y="0" dx="1.75" dy="7.15" layer="16" stop="no" thermals="no" cream="no"/>
<smd name="15" x="2.5" y="0" dx="1.75" dy="7.15" layer="16" stop="no" thermals="no" cream="no"/>
<smd name="16" x="0" y="0" dx="1.75" dy="7.15" layer="16" stop="no" thermals="no" cream="no"/>
<smd name="17" x="-2.5" y="0" dx="1.75" dy="7.15" layer="16" stop="no" thermals="no" cream="no"/>
<smd name="18" x="-5" y="0" dx="1.75" dy="7.15" layer="16" stop="no" thermals="no" cream="no"/>
<smd name="19" x="-7.5" y="0" dx="1.75" dy="7.15" layer="16" stop="no" thermals="no" cream="no"/>
<smd name="20" x="-10" y="0" dx="1.75" dy="7.15" layer="16" stop="no" thermals="no" cream="no"/>
<smd name="21" x="-12.5" y="0" dx="1.75" dy="7.15" layer="16" stop="no" thermals="no" cream="no"/>
<smd name="22" x="-15" y="0" dx="1.75" dy="7.15" layer="16" stop="no" thermals="no" cream="no"/>
<smd name="23" x="-17.5" y="0" dx="1.75" dy="7.15" layer="16" stop="no" thermals="no" cream="no"/>
<smd name="24" x="-20" y="0" dx="1.75" dy="7.15" layer="16" stop="no" thermals="no" cream="no"/>
<smd name="25" x="-22.5" y="0" dx="1.75" dy="7.15" layer="16" stop="no" thermals="no" cream="no"/>
<smd name="26" x="-25" y="0" dx="1.75" dy="7.15" layer="16" stop="no" thermals="no" cream="no"/>
<smd name="27" x="-27.5" y="0" dx="1.75" dy="7.15" layer="16" stop="no" thermals="no" cream="no"/>
<smd name="36" x="27.5" y="0" dx="1.75" dy="7.15" layer="1" stop="no" thermals="no" cream="no"/>
<smd name="37" x="25" y="0" dx="1.75" dy="7.15" layer="1" stop="no" thermals="no" cream="no"/>
<smd name="38" x="22.5" y="0" dx="1.75" dy="7.15" layer="1" stop="no" thermals="no" cream="no"/>
<smd name="39" x="20" y="0" dx="1.75" dy="7.15" layer="1" stop="no" thermals="no" cream="no"/>
<smd name="40" x="17.5" y="0" dx="1.75" dy="7.15" layer="1" stop="no" thermals="no" cream="no"/>
<smd name="41" x="15" y="0" dx="1.75" dy="7.15" layer="1" stop="no" thermals="no" cream="no"/>
<smd name="42" x="12.5" y="0" dx="1.75" dy="7.15" layer="1" stop="no" thermals="no" cream="no"/>
<smd name="43" x="10" y="0" dx="1.75" dy="7.15" layer="1" stop="no" thermals="no" cream="no"/>
<smd name="44" x="7.5" y="0" dx="1.75" dy="7.15" layer="1" stop="no" thermals="no" cream="no"/>
<smd name="45" x="5" y="0" dx="1.75" dy="7.15" layer="1" stop="no" thermals="no" cream="no"/>
<smd name="46" x="2.5" y="0" dx="1.75" dy="7.15" layer="1" stop="no" thermals="no" cream="no"/>
<smd name="47" x="0" y="0" dx="1.75" dy="7.15" layer="1" stop="no" thermals="no" cream="no"/>
<smd name="48" x="-2.5" y="0" dx="1.75" dy="7.15" layer="1" stop="no" thermals="no" cream="no"/>
<smd name="49" x="-5" y="0" dx="1.75" dy="7.15" layer="1" stop="no" thermals="no" cream="no"/>
<smd name="50" x="-7.5" y="0" dx="1.75" dy="7.15" layer="1" stop="no" thermals="no" cream="no"/>
<smd name="51" x="-10" y="0" dx="1.75" dy="7.15" layer="1" stop="no" thermals="no" cream="no"/>
<smd name="52" x="-12.5" y="0" dx="1.75" dy="7.15" layer="1" stop="no" thermals="no" cream="no"/>
<smd name="53" x="-15" y="0" dx="1.75" dy="7.15" layer="1" stop="no" thermals="no" cream="no"/>
<smd name="54" x="-17.5" y="0" dx="1.75" dy="7.15" layer="1" stop="no" thermals="no" cream="no"/>
<smd name="55" x="-20" y="0" dx="1.75" dy="7.15" layer="1" stop="no" thermals="no" cream="no"/>
<smd name="56" x="-22.5" y="0" dx="1.75" dy="7.15" layer="1" stop="no" thermals="no" cream="no"/>
<smd name="57" x="-25" y="0" dx="1.75" dy="7.15" layer="1" stop="no" thermals="no" cream="no"/>
<smd name="58" x="-27.5" y="0" dx="1.75" dy="7.15" layer="1" stop="no" thermals="no" cream="no"/>
<wire x1="-29" y1="-4" x2="29" y2="-4" width="0" layer="20"/>
<wire x1="29" y1="-4" x2="29.75" y2="-3.25" width="0" layer="20" curve="90"/>
<wire x1="29.75" y1="-3.25" x2="29.75" y2="6.5" width="0" layer="20"/>
<wire x1="-29.75" y1="6.5" x2="-29.75" y2="-3.25" width="0" layer="20"/>
<wire x1="-29.75" y1="-3.25" x2="-29" y2="-4" width="0" layer="20" curve="90"/>
<wire x1="-50" y1="6.5" x2="-50" y2="11.25" width="0" layer="20"/>
<wire x1="50" y1="6.5" x2="50" y2="11.25" width="0" layer="20"/>
<wire x1="-50" y1="11.25" x2="-49.25" y2="11.25" width="0" layer="20"/>
<wire x1="-49.25" y1="11.25" x2="-49.25" y2="16.25" width="0" layer="20"/>
<wire x1="-49.25" y1="16.25" x2="-46.75" y2="16.25" width="0" layer="20"/>
<wire x1="-46.75" y1="16.25" x2="-46.75" y2="18.25" width="0" layer="20"/>
<wire x1="-46.75" y1="18.25" x2="-50" y2="18.25" width="0" layer="20"/>
<wire x1="-50" y1="18.25" x2="-50" y2="69.25" width="0" layer="20"/>
<wire x1="50" y1="11.25" x2="46.75" y2="11.25" width="0" layer="20"/>
<wire x1="46.75" y1="11.25" x2="46.75" y2="13.25" width="0" layer="20"/>
<wire x1="46.75" y1="13.25" x2="50" y2="13.25" width="0" layer="20"/>
<wire x1="50" y1="13.25" x2="50" y2="69.25" width="0" layer="20"/>
<wire x1="50" y1="69.25" x2="46.75" y2="69.25" width="0" layer="20"/>
<wire x1="46.75" y1="69.25" x2="46.75" y2="71" width="0" layer="20"/>
<wire x1="46.75" y1="71" x2="-46.75" y2="71" width="0" layer="20"/>
<wire x1="-46.75" y1="71" x2="-46.75" y2="69.25" width="0" layer="20"/>
<wire x1="-46.75" y1="69.25" x2="-50" y2="69.25" width="0" layer="20"/>
<wire x1="32.5" y1="6.5" x2="29.75" y2="6.5" width="0" layer="20"/>
<wire x1="-29.75" y1="6.5" x2="-32.5" y2="6.5" width="0" layer="20"/>
<circle x="-7" y="44" radius="2" width="0.6096" layer="49"/>
<text x="-21.25" y="4.375" size="1.524" layer="25" font="vector" ratio="15">55</text>
<text x="-8.75" y="4.375" size="1.524" layer="25" font="vector" ratio="15">50</text>
<text x="3.75" y="4.375" size="1.524" layer="25" font="vector" ratio="15">45</text>
<text x="16.25" y="4.375" size="1.524" layer="25" font="vector" ratio="15">40</text>
<text x="28.125" y="4.375" size="1.524" layer="26" font="vector" ratio="15" rot="MR0">5</text>
<text x="16.25" y="4.375" size="1.524" layer="26" font="vector" ratio="15" rot="MR0">10</text>
<text x="3.75" y="4.375" size="1.524" layer="26" font="vector" ratio="15" rot="MR0">15</text>
<text x="-8.75" y="4.375" size="1.524" layer="26" font="vector" ratio="15" rot="MR0">20</text>
<text x="-21.25" y="4.375" size="1.524" layer="26" font="vector" ratio="15" rot="MR0">25</text>
<circle x="-7" y="44" radius="2" width="0" layer="40"/>
<circle x="-7" y="44" radius="2" width="0" layer="39"/>
<polygon width="0" layer="29">
<vertex x="-29.75" y="3"/>
<vertex x="29.75" y="3"/>
<vertex x="29.75" y="-3.25" curve="-90"/>
<vertex x="29" y="-4"/>
<vertex x="-29" y="-4" curve="-90"/>
<vertex x="-29.75" y="-3.25"/>
</polygon>
<polygon width="0" layer="30">
<vertex x="-29.75" y="3"/>
<vertex x="29.75" y="3"/>
<vertex x="29.75" y="-3.25" curve="-90"/>
<vertex x="29" y="-4"/>
<vertex x="-29" y="-4" curve="-90"/>
<vertex x="-29.75" y="-3.25"/>
</polygon>
<polygon width="0" layer="29">
<vertex x="-45" y="3"/>
<vertex x="-32.5" y="3"/>
<vertex x="-32.5" y="-3.25" curve="-90"/>
<vertex x="-33.25" y="-4"/>
<vertex x="-44.25" y="-4" curve="-90"/>
<vertex x="-45" y="-3.25"/>
</polygon>
<polygon width="0" layer="30">
<vertex x="-45" y="3"/>
<vertex x="-32.5" y="3"/>
<vertex x="-32.5" y="-3.25" curve="-90"/>
<vertex x="-33.25" y="-4"/>
<vertex x="-44.25" y="-4" curve="-90"/>
<vertex x="-45" y="-3.25"/>
</polygon>
<polygon width="0" layer="29">
<vertex x="32.5" y="3"/>
<vertex x="45" y="3"/>
<vertex x="45" y="-3.25" curve="-90"/>
<vertex x="44.25" y="-4"/>
<vertex x="33.25" y="-4" curve="-90"/>
<vertex x="32.5" y="-3.25"/>
</polygon>
<polygon width="0" layer="30">
<vertex x="32.5" y="3"/>
<vertex x="45" y="3"/>
<vertex x="45" y="-3.25" curve="-90"/>
<vertex x="44.25" y="-4"/>
<vertex x="33.25" y="-4" curve="-90"/>
<vertex x="32.5" y="-3.25"/>
</polygon>
</package>
<package name="CART-SLOT">
<description>&lt;b&gt;SNES Cartridge Slot&lt;/b&gt;&lt;p&gt;</description>
<wire x1="-58.42" y1="7.62" x2="58.42" y2="7.62" width="0.4064" layer="21"/>
<wire x1="76.2" y1="4.445" x2="76.2" y2="-4.445" width="0.4064" layer="21"/>
<wire x1="58.42" y1="-7.62" x2="-58.42" y2="-7.62" width="0.4064" layer="21"/>
<wire x1="-76.2" y1="-4.445" x2="-76.2" y2="4.445" width="0.4064" layer="21"/>
<wire x1="-76.2" y1="4.445" x2="-60.96" y2="4.445" width="0.4064" layer="21"/>
<wire x1="60.96" y1="4.445" x2="76.2" y2="4.445" width="0.4064" layer="21"/>
<wire x1="76.2" y1="-4.445" x2="60.96" y2="-4.445" width="0.4064" layer="21"/>
<wire x1="-60.96" y1="-4.445" x2="-76.2" y2="-4.445" width="0.4064" layer="21"/>
<wire x1="60.96" y1="4.445" x2="58.42" y2="7.62" width="0.4064" layer="21"/>
<wire x1="60.96" y1="-4.445" x2="58.42" y2="-7.62" width="0.4064" layer="21"/>
<wire x1="-60.96" y1="4.445" x2="-58.42" y2="7.62" width="0.4064" layer="21"/>
<wire x1="-60.96" y1="-4.445" x2="-58.42" y2="-7.62" width="0.4064" layer="21"/>
<hole x="-71.78675" y="0" drill="8.255"/>
<hole x="71.78675" y="0" drill="8.255"/>
<hole x="-47.3075" y="0" drill="3.81"/>
<hole x="47.3075" y="0" drill="3.81"/>
<pad name="1" x="-42.5" y="-3.5" drill="0.8" shape="octagon"/>
<pad name="2" x="-40" y="-3.5" drill="0.8" shape="octagon"/>
<pad name="3" x="-37.5" y="-3.5" drill="0.8" shape="octagon"/>
<pad name="4" x="-35" y="-3.5" drill="0.8" shape="octagon"/>
<pad name="5" x="-27.5" y="-3.5" drill="0.8" shape="octagon"/>
<pad name="6" x="-25" y="-3.5" drill="0.8" shape="octagon"/>
<pad name="7" x="-22.5" y="-3.5" drill="0.8" shape="octagon"/>
<pad name="8" x="-20" y="-3.5" drill="0.8" shape="octagon"/>
<pad name="9" x="-17.5" y="-3.5" drill="0.8" shape="octagon"/>
<pad name="10" x="-15" y="-3.5" drill="0.8" shape="octagon"/>
<pad name="11" x="-12.5" y="-3.5" drill="0.8" shape="octagon"/>
<pad name="12" x="-10" y="-3.5" drill="0.8" shape="octagon"/>
<pad name="13" x="-7.5" y="-3.5" drill="0.8" shape="octagon"/>
<pad name="14" x="-5" y="-3.5" drill="0.8" shape="octagon"/>
<pad name="15" x="-2.5" y="-3.5" drill="0.8" shape="octagon"/>
<pad name="16" x="0" y="-3.5" drill="0.8" shape="octagon"/>
<pad name="17" x="2.5" y="-3.5" drill="0.8" shape="octagon"/>
<pad name="18" x="5" y="-3.5" drill="0.8" shape="octagon"/>
<pad name="19" x="7.5" y="-3.5" drill="0.8" shape="octagon"/>
<pad name="20" x="10" y="-3.5" drill="0.8" shape="octagon"/>
<pad name="21" x="12.5" y="-3.5" drill="0.8" shape="octagon"/>
<pad name="22" x="15" y="-3.5" drill="0.8" shape="octagon"/>
<pad name="23" x="17.5" y="-3.5" drill="0.8" shape="octagon"/>
<pad name="24" x="20" y="-3.5" drill="0.8" shape="octagon"/>
<pad name="25" x="22.5" y="-3.5" drill="0.8" shape="octagon"/>
<pad name="26" x="25" y="-3.5" drill="0.8" shape="octagon"/>
<pad name="27" x="27.5" y="-3.5" drill="0.8" shape="octagon"/>
<pad name="28" x="35" y="-3.5" drill="0.8" shape="octagon"/>
<pad name="29" x="37.5" y="-3.5" drill="0.8" shape="octagon"/>
<pad name="30" x="40" y="-3.5" drill="0.8" shape="octagon"/>
<pad name="31" x="42.5" y="-3.5" drill="0.8" shape="octagon"/>
<pad name="32" x="-42.5" y="3.5" drill="0.8" shape="octagon" rot="R180"/>
<pad name="33" x="-40" y="3.5" drill="0.8" shape="octagon" rot="R180"/>
<pad name="34" x="-37.5" y="3.5" drill="0.8" shape="octagon" rot="R180"/>
<pad name="35" x="-35" y="3.5" drill="0.8" shape="octagon" rot="R180"/>
<pad name="36" x="-27.5" y="3.5" drill="0.8" shape="octagon" rot="R180"/>
<pad name="37" x="-25" y="3.5" drill="0.8" shape="octagon" rot="R180"/>
<pad name="38" x="-22.5" y="3.5" drill="0.8" shape="octagon" rot="R180"/>
<pad name="39" x="-20" y="3.5" drill="0.8" shape="octagon" rot="R180"/>
<pad name="40" x="-17.5" y="3.5" drill="0.8" shape="octagon" rot="R180"/>
<pad name="41" x="-15" y="3.5" drill="0.8" shape="octagon" rot="R180"/>
<pad name="42" x="-12.5" y="3.5" drill="0.8" shape="octagon" rot="R180"/>
<pad name="43" x="-10" y="3.5" drill="0.8" shape="octagon" rot="R180"/>
<pad name="44" x="-7.5" y="3.5" drill="0.8" shape="octagon" rot="R180"/>
<pad name="45" x="-5" y="3.5" drill="0.8" shape="octagon" rot="R180"/>
<pad name="46" x="-2.5" y="3.5" drill="0.8" shape="octagon" rot="R180"/>
<pad name="47" x="0" y="3.5" drill="0.8" shape="octagon" rot="R180"/>
<pad name="48" x="2.5" y="3.5" drill="0.8" shape="octagon" rot="R180"/>
<pad name="49" x="5" y="3.5" drill="0.8" shape="octagon" rot="R180"/>
<pad name="50" x="7.5" y="3.5" drill="0.8" shape="octagon" rot="R180"/>
<pad name="51" x="10" y="3.5" drill="0.8" shape="octagon" rot="R180"/>
<pad name="52" x="12.5" y="3.5" drill="0.8" shape="octagon" rot="R180"/>
<pad name="53" x="15" y="3.5" drill="0.8" shape="octagon" rot="R180"/>
<pad name="54" x="17.5" y="3.5" drill="0.8" shape="octagon" rot="R180"/>
<pad name="55" x="20" y="3.5" drill="0.8" shape="octagon" rot="R180"/>
<pad name="56" x="22.5" y="3.5" drill="0.8" shape="octagon" rot="R180"/>
<pad name="57" x="25" y="3.5" drill="0.8" shape="octagon" rot="R180"/>
<pad name="58" x="27.5" y="3.5" drill="0.8" shape="octagon" rot="R180"/>
<pad name="59" x="35" y="3.5" drill="0.8" shape="octagon" rot="R180"/>
<pad name="60" x="37.5" y="3.5" drill="0.8" shape="octagon" rot="R180"/>
<pad name="61" x="40" y="3.5" drill="0.8" shape="octagon" rot="R180"/>
<pad name="62" x="42.5" y="3.5" drill="0.8" shape="octagon" rot="R180"/>
<smd name="P$3" x="48.895" y="5.635" dx="7.62" dy="3.81" layer="1"/>
<smd name="P$2" x="48.895" y="-5.635" dx="7.62" dy="3.81" layer="1"/>
<smd name="P$4" x="-48.895" y="5.635" dx="7.62" dy="3.81" layer="1"/>
<smd name="P$1" x="-48.895" y="-5.635" dx="7.62" dy="3.81" layer="1"/>
<polygon width="0.4064" layer="39">
<vertex x="-76.2" y="7.62"/>
<vertex x="76.2" y="7.62"/>
<vertex x="76.2" y="-7.62"/>
<vertex x="-76.2" y="-7.62"/>
</polygon>
<polygon width="0.4064" layer="40">
<vertex x="-76.2" y="7.62"/>
<vertex x="76.2" y="7.62"/>
<vertex x="76.2" y="-7.62"/>
<vertex x="-76.2" y="-7.62"/>
<vertex x="-76.2" y="6.35"/>
</polygon>
<text x="-43.125" y="-6.25" size="1.27" layer="25" ratio="20">1</text>
<text x="-28.125" y="-6.25" size="1.27" layer="25" ratio="20">5</text>
<text x="-15.9375" y="-6.25" size="1.27" layer="25" ratio="20">10</text>
<text x="-3.4375" y="-6.25" size="1.27" layer="25" ratio="20">15</text>
<text x="9.0625" y="-6.25" size="1.27" layer="25" ratio="20">20</text>
<text x="21.5625" y="-6.25" size="1.27" layer="25" ratio="20">25</text>
<text x="39.0625" y="-6.25" size="1.27" layer="25" ratio="20">30</text>
<text x="41.5625" y="-6.25" size="1.27" layer="25" ratio="20">31</text>
<text x="-43.75" y="5" size="1.27" layer="25" ratio="20">32</text>
<text x="-35.9375" y="5" size="1.27" layer="25" ratio="20">35</text>
<text x="-18.4375" y="5" size="1.27" layer="25" ratio="20">40</text>
<text x="-6.25" y="5" size="1.27" layer="25" ratio="20">45</text>
<text x="6.5625" y="5" size="1.27" layer="25" ratio="20">50</text>
<text x="19.0625" y="5" size="1.27" layer="25" ratio="20">55</text>
<text x="36.5625" y="5" size="1.27" layer="25" ratio="20">60</text>
<text x="41.5625" y="5" size="1.27" layer="25" ratio="20">62</text>
<text x="-41.5625" y="5" size="1.27" layer="26" ratio="20" rot="MR0">32</text>
<text x="-34.0625" y="5" size="1.27" layer="26" ratio="20" rot="MR0">35</text>
<text x="-16.5625" y="5" size="1.27" layer="26" ratio="20" rot="MR0">40</text>
<text x="-42.1875" y="-6.25" size="1.27" layer="26" ratio="20" rot="MR0">1</text>
<text x="-27.1875" y="-6.25" size="1.27" layer="26" ratio="20" rot="MR0">5</text>
<text x="-14.0625" y="-6.25" size="1.27" layer="26" ratio="20" rot="MR0">10</text>
<text x="-1.5625" y="-6.25" size="1.27" layer="26" ratio="20" rot="MR0">15</text>
<text x="-4.0625" y="5" size="1.27" layer="26" ratio="20" rot="MR0">45</text>
<text x="10.9375" y="-6.25" size="1.27" layer="26" ratio="20" rot="MR0">20</text>
<text x="8.4375" y="5" size="1.27" layer="26" ratio="20" rot="MR0">50</text>
<text x="20.9375" y="5" size="1.27" layer="26" ratio="20" rot="MR0">55</text>
<text x="23.4375" y="-6.25" size="1.27" layer="26" ratio="20" rot="MR0">25</text>
<text x="38.4375" y="5" size="1.27" layer="26" ratio="20" rot="MR0">60</text>
<text x="43.4375" y="5" size="1.27" layer="26" ratio="20" rot="MR0">62</text>
<text x="40.9375" y="-6.25" size="1.27" layer="26" ratio="20" rot="MR0">30</text>
<text x="43.4375" y="-6.25" size="1.27" layer="26" ratio="20" rot="MR0">31</text>
</package>
<package name="CART-SLOT-CUT">
<description>&lt;b&gt;SNES Cartridge Slot&lt;/b&gt;&lt;p&gt;
&lt;p&gt;Part cut to fit within EAGLE's 100mm size limitation</description>
<wire x1="-50" y1="7.62" x2="50" y2="7.62" width="0.4064" layer="21"/>
<wire x1="50" y1="7.62" x2="50" y2="-7.62" width="0.4064" layer="21"/>
<wire x1="50" y1="-7.62" x2="-50" y2="-7.62" width="0.4064" layer="21"/>
<wire x1="-50" y1="-7.62" x2="-50" y2="7.62" width="0.4064" layer="21"/>
<hole x="-47.3075" y="0" drill="3.81"/>
<hole x="47.3075" y="0" drill="3.81"/>
<smd name="P$3" x="46.99" y="5.635" dx="3.81" dy="3.81" layer="1"/>
<smd name="P$2" x="46.99" y="-5.635" dx="3.81" dy="3.81" layer="1"/>
<smd name="P$4" x="-46.99" y="5.635" dx="3.81" dy="3.81" layer="1"/>
<smd name="P$1" x="-46.99" y="-5.635" dx="3.81" dy="3.81" layer="1"/>
<pad name="1" x="-42.5" y="-3.5" drill="0.8" shape="octagon"/>
<pad name="2" x="-40" y="-3.5" drill="0.8" shape="octagon"/>
<pad name="3" x="-37.5" y="-3.5" drill="0.8" shape="octagon"/>
<pad name="4" x="-35" y="-3.5" drill="0.8" shape="octagon"/>
<pad name="5" x="-27.5" y="-3.5" drill="0.8" shape="octagon"/>
<pad name="6" x="-25" y="-3.5" drill="0.8" shape="octagon"/>
<pad name="7" x="-22.5" y="-3.5" drill="0.8" shape="octagon"/>
<pad name="8" x="-20" y="-3.5" drill="0.8" shape="octagon"/>
<pad name="9" x="-17.5" y="-3.5" drill="0.8" shape="octagon"/>
<pad name="10" x="-15" y="-3.5" drill="0.8" shape="octagon"/>
<pad name="11" x="-12.5" y="-3.5" drill="0.8" shape="octagon"/>
<pad name="12" x="-10" y="-3.5" drill="0.8" shape="octagon"/>
<pad name="13" x="-7.5" y="-3.5" drill="0.8" shape="octagon"/>
<pad name="14" x="-5" y="-3.5" drill="0.8" shape="octagon"/>
<pad name="15" x="-2.5" y="-3.5" drill="0.8" shape="octagon"/>
<pad name="16" x="0" y="-3.5" drill="0.8" shape="octagon"/>
<pad name="17" x="2.5" y="-3.5" drill="0.8" shape="octagon"/>
<pad name="18" x="5" y="-3.5" drill="0.8" shape="octagon"/>
<pad name="19" x="7.5" y="-3.5" drill="0.8" shape="octagon"/>
<pad name="20" x="10" y="-3.5" drill="0.8" shape="octagon"/>
<pad name="21" x="12.5" y="-3.5" drill="0.8" shape="octagon"/>
<pad name="22" x="15" y="-3.5" drill="0.8" shape="octagon"/>
<pad name="23" x="17.5" y="-3.5" drill="0.8" shape="octagon"/>
<pad name="24" x="20" y="-3.5" drill="0.8" shape="octagon"/>
<pad name="25" x="22.5" y="-3.5" drill="0.8" shape="octagon"/>
<pad name="26" x="25" y="-3.5" drill="0.8" shape="octagon"/>
<pad name="27" x="27.5" y="-3.5" drill="0.8" shape="octagon"/>
<pad name="28" x="35" y="-3.5" drill="0.8" shape="octagon"/>
<pad name="29" x="37.5" y="-3.5" drill="0.8" shape="octagon"/>
<pad name="30" x="40" y="-3.5" drill="0.8" shape="octagon"/>
<pad name="31" x="42.5" y="-3.5" drill="0.8" shape="octagon"/>
<pad name="32" x="-42.5" y="3.5" drill="0.8" shape="octagon" rot="R180"/>
<pad name="33" x="-40" y="3.5" drill="0.8" shape="octagon" rot="R180"/>
<pad name="34" x="-37.5" y="3.5" drill="0.8" shape="octagon" rot="R180"/>
<pad name="35" x="-35" y="3.5" drill="0.8" shape="octagon" rot="R180"/>
<pad name="36" x="-27.5" y="3.5" drill="0.8" shape="octagon" rot="R180"/>
<pad name="37" x="-25" y="3.5" drill="0.8" shape="octagon" rot="R180"/>
<pad name="38" x="-22.5" y="3.5" drill="0.8" shape="octagon" rot="R180"/>
<pad name="39" x="-20" y="3.5" drill="0.8" shape="octagon" rot="R180"/>
<pad name="40" x="-17.5" y="3.5" drill="0.8" shape="octagon" rot="R180"/>
<pad name="41" x="-15" y="3.5" drill="0.8" shape="octagon" rot="R180"/>
<pad name="42" x="-12.5" y="3.5" drill="0.8" shape="octagon" rot="R180"/>
<pad name="43" x="-10" y="3.5" drill="0.8" shape="octagon" rot="R180"/>
<pad name="44" x="-7.5" y="3.5" drill="0.8" shape="octagon" rot="R180"/>
<pad name="45" x="-5" y="3.5" drill="0.8" shape="octagon" rot="R180"/>
<pad name="46" x="-2.5" y="3.5" drill="0.8" shape="octagon" rot="R180"/>
<pad name="47" x="0" y="3.5" drill="0.8" shape="octagon" rot="R180"/>
<pad name="48" x="2.5" y="3.5" drill="0.8" shape="octagon" rot="R180"/>
<pad name="49" x="5" y="3.5" drill="0.8" shape="octagon" rot="R180"/>
<pad name="50" x="7.5" y="3.5" drill="0.8" shape="octagon" rot="R180"/>
<pad name="51" x="10" y="3.5" drill="0.8" shape="octagon" rot="R180"/>
<pad name="52" x="12.5" y="3.5" drill="0.8" shape="octagon" rot="R180"/>
<pad name="53" x="15" y="3.5" drill="0.8" shape="octagon" rot="R180"/>
<pad name="54" x="17.5" y="3.5" drill="0.8" shape="octagon" rot="R180"/>
<pad name="55" x="20" y="3.5" drill="0.8" shape="octagon" rot="R180"/>
<pad name="56" x="22.5" y="3.5" drill="0.8" shape="octagon" rot="R180"/>
<pad name="57" x="25" y="3.5" drill="0.8" shape="octagon" rot="R180"/>
<pad name="58" x="27.5" y="3.5" drill="0.8" shape="octagon" rot="R180"/>
<pad name="59" x="35" y="3.5" drill="0.8" shape="octagon" rot="R180"/>
<pad name="60" x="37.5" y="3.5" drill="0.8" shape="octagon" rot="R180"/>
<pad name="61" x="40" y="3.5" drill="0.8" shape="octagon" rot="R180"/>
<pad name="62" x="42.5" y="3.5" drill="0.8" shape="octagon" rot="R180"/>
<text x="-43.125" y="-6.25" size="1.27" layer="25" ratio="20">1</text>
<text x="-28.125" y="-6.25" size="1.27" layer="25" ratio="20">5</text>
<text x="-15.9375" y="-6.25" size="1.27" layer="25" ratio="20">10</text>
<text x="-3.4375" y="-6.25" size="1.27" layer="25" ratio="20">15</text>
<text x="9.0625" y="-6.25" size="1.27" layer="25" ratio="20">20</text>
<text x="21.5625" y="-6.25" size="1.27" layer="25" ratio="20">25</text>
<text x="39.0625" y="-6.25" size="1.27" layer="25" ratio="20">30</text>
<text x="41.5625" y="-6.25" size="1.27" layer="25" ratio="20">31</text>
<text x="-43.75" y="5" size="1.27" layer="25" ratio="20">32</text>
<text x="-35.9375" y="5" size="1.27" layer="25" ratio="20">35</text>
<text x="-18.4375" y="5" size="1.27" layer="25" ratio="20">40</text>
<text x="-6.25" y="5" size="1.27" layer="25" ratio="20">45</text>
<text x="6.5625" y="5" size="1.27" layer="25" ratio="20">50</text>
<text x="19.0625" y="5" size="1.27" layer="25" ratio="20">55</text>
<text x="36.5625" y="5" size="1.27" layer="25" ratio="20">60</text>
<text x="41.5625" y="5" size="1.27" layer="25" ratio="20">62</text>
<text x="-41.5625" y="5" size="1.27" layer="26" ratio="20" rot="MR0">32</text>
<text x="-34.0625" y="5" size="1.27" layer="26" ratio="20" rot="MR0">35</text>
<text x="-16.5625" y="5" size="1.27" layer="26" ratio="20" rot="MR0">40</text>
<text x="-42.1875" y="-6.25" size="1.27" layer="26" ratio="20" rot="MR0">1</text>
<text x="-27.1875" y="-6.25" size="1.27" layer="26" ratio="20" rot="MR0">5</text>
<text x="-14.0625" y="-6.25" size="1.27" layer="26" ratio="20" rot="MR0">10</text>
<text x="-1.5625" y="-6.25" size="1.27" layer="26" ratio="20" rot="MR0">15</text>
<text x="-4.0625" y="5" size="1.27" layer="26" ratio="20" rot="MR0">45</text>
<text x="10.9375" y="-6.25" size="1.27" layer="26" ratio="20" rot="MR0">20</text>
<text x="8.4375" y="5" size="1.27" layer="26" ratio="20" rot="MR0">50</text>
<text x="20.9375" y="5" size="1.27" layer="26" ratio="20" rot="MR0">55</text>
<text x="23.4375" y="-6.25" size="1.27" layer="26" ratio="20" rot="MR0">25</text>
<text x="38.4375" y="5" size="1.27" layer="26" ratio="20" rot="MR0">60</text>
<text x="43.4375" y="5" size="1.27" layer="26" ratio="20" rot="MR0">62</text>
<text x="40.9375" y="-6.25" size="1.27" layer="26" ratio="20" rot="MR0">30</text>
<text x="43.4375" y="-6.25" size="1.27" layer="26" ratio="20" rot="MR0">31</text>
</package>
</packages>
<symbols>
<symbol name="CART-EXP">
<description>62-pin SNES cart edge with expansion connectors</description>
<pin name="GND" x="-17.78" y="27.94" length="middle" direction="pwr"/>
<pin name="A11" x="-17.78" y="25.4" length="middle" direction="in"/>
<pin name="A10" x="-17.78" y="22.86" length="middle" direction="in"/>
<pin name="A9" x="-17.78" y="20.32" length="middle" direction="in"/>
<pin name="A8" x="-17.78" y="17.78" length="middle" direction="in"/>
<pin name="A7" x="-17.78" y="15.24" length="middle" direction="in"/>
<pin name="A6" x="-17.78" y="12.7" length="middle" direction="in"/>
<pin name="A5" x="-17.78" y="10.16" length="middle" direction="in"/>
<pin name="A4" x="-17.78" y="7.62" length="middle" direction="in"/>
<pin name="A3" x="-17.78" y="5.08" length="middle" direction="in"/>
<pin name="A2" x="-17.78" y="2.54" length="middle" direction="in"/>
<pin name="A1" x="-17.78" y="0" length="middle" direction="in"/>
<pin name="A0" x="-17.78" y="-2.54" length="middle" direction="in"/>
<pin name="!IRQ" x="-17.78" y="-5.08" length="middle" direction="out"/>
<pin name="D0" x="-17.78" y="-7.62" length="middle"/>
<pin name="D1" x="-17.78" y="-10.16" length="middle"/>
<pin name="D2" x="-17.78" y="-12.7" length="middle"/>
<pin name="D3" x="-17.78" y="-15.24" length="middle"/>
<pin name="!RD" x="-17.78" y="-17.78" length="middle" direction="in"/>
<pin name="CICOUT1" x="-17.78" y="-20.32" length="middle" direction="out"/>
<pin name="CICIN" x="-17.78" y="-22.86" length="middle" direction="in"/>
<pin name="!RESET" x="-17.78" y="-25.4" length="middle" direction="in"/>
<pin name="VCC" x="-17.78" y="-27.94" length="middle" direction="pwr"/>
<pin name="VCC@1" x="17.78" y="-27.94" length="middle" direction="pwr" rot="R180"/>
<pin name="CPUCLK" x="17.78" y="-25.4" length="middle" direction="in" rot="R180"/>
<pin name="CICCLK" x="17.78" y="-22.86" length="middle" direction="in" rot="R180"/>
<pin name="CICOUT2" x="17.78" y="-20.32" length="middle" direction="out" rot="R180"/>
<pin name="!WR" x="17.78" y="-17.78" length="middle" direction="in" rot="R180"/>
<pin name="D7" x="17.78" y="-15.24" length="middle" rot="R180"/>
<pin name="D6" x="17.78" y="-12.7" length="middle" rot="R180"/>
<pin name="D5" x="17.78" y="-10.16" length="middle" rot="R180"/>
<pin name="D4" x="17.78" y="-7.62" length="middle" rot="R180"/>
<pin name="!CART" x="17.78" y="-5.08" length="middle" direction="in" rot="R180"/>
<pin name="BA7" x="17.78" y="-2.54" length="middle" direction="in" rot="R180"/>
<pin name="BA6" x="17.78" y="0" length="middle" direction="in" rot="R180"/>
<pin name="BA5" x="17.78" y="2.54" length="middle" direction="in" rot="R180"/>
<pin name="BA4" x="17.78" y="5.08" length="middle" direction="in" rot="R180"/>
<pin name="BA3" x="17.78" y="7.62" length="middle" direction="in" rot="R180"/>
<pin name="BA2" x="17.78" y="10.16" length="middle" direction="in" rot="R180"/>
<pin name="BA1" x="17.78" y="12.7" length="middle" direction="in" rot="R180"/>
<pin name="BA0" x="17.78" y="15.24" length="middle" direction="in" rot="R180"/>
<pin name="A15" x="17.78" y="17.78" length="middle" direction="in" rot="R180"/>
<pin name="A14" x="17.78" y="20.32" length="middle" direction="in" rot="R180"/>
<pin name="A13" x="17.78" y="22.86" length="middle" direction="in" rot="R180"/>
<pin name="A12" x="17.78" y="25.4" length="middle" direction="in" rot="R180"/>
<pin name="GND@1" x="17.78" y="27.94" length="middle" direction="pwr" rot="R180"/>
<wire x1="-12.7" y1="30.48" x2="12.7" y2="30.48" width="0.254" layer="94"/>
<wire x1="12.7" y1="30.48" x2="12.7" y2="-30.48" width="0.254" layer="94"/>
<wire x1="12.7" y1="-30.48" x2="0" y2="-30.48" width="0.254" layer="94"/>
<wire x1="0" y1="-30.48" x2="-12.7" y2="-30.48" width="0.254" layer="94"/>
<wire x1="-12.7" y1="-30.48" x2="-12.7" y2="30.48" width="0.254" layer="94"/>
<pin name="!PARD" x="-17.78" y="33.02" length="middle" direction="in"/>
<pin name="PA6" x="-17.78" y="35.56" length="middle" direction="in"/>
<pin name="EXPAND" x="-17.78" y="38.1" length="middle" direction="in"/>
<pin name="EXCLK" x="-17.78" y="40.64" length="middle" direction="in"/>
<pin name="!PAWR" x="17.78" y="33.02" length="middle" direction="in" rot="R180"/>
<pin name="PA7" x="17.78" y="35.56" length="middle" direction="in" rot="R180"/>
<pin name="REFRESH" x="17.78" y="38.1" length="middle" direction="in" rot="R180"/>
<pin name="!WRAM" x="17.78" y="40.64" length="middle" direction="in" rot="R180"/>
<pin name="PA0" x="-17.78" y="-33.02" length="middle" direction="in"/>
<pin name="PA2" x="-17.78" y="-35.56" length="middle" direction="in"/>
<pin name="PA4" x="-17.78" y="-38.1" length="middle" direction="in"/>
<pin name="LAUDIO" x="-17.78" y="-40.64" length="middle" direction="in"/>
<pin name="PA1" x="17.78" y="-33.02" length="middle" direction="in" rot="R180"/>
<pin name="PA3" x="17.78" y="-35.56" length="middle" direction="in" rot="R180"/>
<pin name="PA5" x="17.78" y="-38.1" length="middle" direction="in" rot="R180"/>
<pin name="RAUDIO" x="17.78" y="-40.64" length="middle" direction="in" rot="R180"/>
<wire x1="-12.7" y1="30.48" x2="-12.7" y2="43.18" width="0.254" layer="94"/>
<wire x1="-12.7" y1="43.18" x2="0" y2="43.18" width="0.254" layer="94"/>
<wire x1="0" y1="43.18" x2="12.7" y2="43.18" width="0.254" layer="94"/>
<wire x1="12.7" y1="43.18" x2="12.7" y2="30.48" width="0.254" layer="94"/>
<wire x1="-12.7" y1="-30.48" x2="-12.7" y2="-43.18" width="0.254" layer="94"/>
<wire x1="-12.7" y1="-43.18" x2="0" y2="-43.18" width="0.254" layer="94"/>
<wire x1="0" y1="-43.18" x2="12.7" y2="-43.18" width="0.254" layer="94"/>
<wire x1="12.7" y1="-43.18" x2="12.7" y2="-33.02" width="0.254" layer="94"/>
<wire x1="12.7" y1="-33.02" x2="12.7" y2="-30.48" width="0.254" layer="94"/>
<wire x1="0" y1="43.18" x2="0" y2="-43.18" width="0.254" layer="94"/>
<text x="-12.7" y="-45.72" size="1.778" layer="96">&gt;VALUE</text>
</symbol>
</symbols>
<devicesets>
<deviceset name="CART-EXP">
<description>62-pin SNES cart edge with expansion connectors</description>
<gates>
<gate name="G$1" symbol="CART-EXP" x="0" y="0"/>
</gates>
<devices>
<device name="" package="CART-EXP">
<connects>
<connect gate="G$1" pin="!CART" pad="49"/>
<connect gate="G$1" pin="!IRQ" pad="18"/>
<connect gate="G$1" pin="!PARD" pad="4"/>
<connect gate="G$1" pin="!PAWR" pad="35"/>
<connect gate="G$1" pin="!RD" pad="23"/>
<connect gate="G$1" pin="!RESET" pad="26"/>
<connect gate="G$1" pin="!WR" pad="54"/>
<connect gate="G$1" pin="!WRAM" pad="32"/>
<connect gate="G$1" pin="A0" pad="17"/>
<connect gate="G$1" pin="A1" pad="16"/>
<connect gate="G$1" pin="A10" pad="7"/>
<connect gate="G$1" pin="A11" pad="6"/>
<connect gate="G$1" pin="A12" pad="37"/>
<connect gate="G$1" pin="A13" pad="38"/>
<connect gate="G$1" pin="A14" pad="39"/>
<connect gate="G$1" pin="A15" pad="40"/>
<connect gate="G$1" pin="A2" pad="15"/>
<connect gate="G$1" pin="A3" pad="14"/>
<connect gate="G$1" pin="A4" pad="13"/>
<connect gate="G$1" pin="A5" pad="12"/>
<connect gate="G$1" pin="A6" pad="11"/>
<connect gate="G$1" pin="A7" pad="10"/>
<connect gate="G$1" pin="A8" pad="9"/>
<connect gate="G$1" pin="A9" pad="8"/>
<connect gate="G$1" pin="BA0" pad="41"/>
<connect gate="G$1" pin="BA1" pad="42"/>
<connect gate="G$1" pin="BA2" pad="43"/>
<connect gate="G$1" pin="BA3" pad="44"/>
<connect gate="G$1" pin="BA4" pad="45"/>
<connect gate="G$1" pin="BA5" pad="46"/>
<connect gate="G$1" pin="BA6" pad="47"/>
<connect gate="G$1" pin="BA7" pad="48"/>
<connect gate="G$1" pin="CICCLK" pad="56"/>
<connect gate="G$1" pin="CICIN" pad="25"/>
<connect gate="G$1" pin="CICOUT1" pad="24"/>
<connect gate="G$1" pin="CICOUT2" pad="55"/>
<connect gate="G$1" pin="CPUCLK" pad="57"/>
<connect gate="G$1" pin="D0" pad="19"/>
<connect gate="G$1" pin="D1" pad="20"/>
<connect gate="G$1" pin="D2" pad="21"/>
<connect gate="G$1" pin="D3" pad="22"/>
<connect gate="G$1" pin="D4" pad="50"/>
<connect gate="G$1" pin="D5" pad="51"/>
<connect gate="G$1" pin="D6" pad="52"/>
<connect gate="G$1" pin="D7" pad="53"/>
<connect gate="G$1" pin="EXCLK" pad="1"/>
<connect gate="G$1" pin="EXPAND" pad="2"/>
<connect gate="G$1" pin="GND" pad="5"/>
<connect gate="G$1" pin="GND@1" pad="36"/>
<connect gate="G$1" pin="LAUDIO" pad="31"/>
<connect gate="G$1" pin="PA0" pad="28"/>
<connect gate="G$1" pin="PA1" pad="59"/>
<connect gate="G$1" pin="PA2" pad="29"/>
<connect gate="G$1" pin="PA3" pad="60"/>
<connect gate="G$1" pin="PA4" pad="30"/>
<connect gate="G$1" pin="PA5" pad="61"/>
<connect gate="G$1" pin="PA6" pad="3"/>
<connect gate="G$1" pin="PA7" pad="34"/>
<connect gate="G$1" pin="RAUDIO" pad="62"/>
<connect gate="G$1" pin="REFRESH" pad="33"/>
<connect gate="G$1" pin="VCC" pad="27"/>
<connect gate="G$1" pin="VCC@1" pad="58"/>
</connects>
<technologies>
<technology name=""/>
</technologies>
</device>
<device name="-SLOT" package="CART-SLOT">
<connects>
<connect gate="G$1" pin="!CART" pad="49"/>
<connect gate="G$1" pin="!IRQ" pad="18"/>
<connect gate="G$1" pin="!PARD" pad="4"/>
<connect gate="G$1" pin="!PAWR" pad="35"/>
<connect gate="G$1" pin="!RD" pad="23"/>
<connect gate="G$1" pin="!RESET" pad="26"/>
<connect gate="G$1" pin="!WR" pad="54"/>
<connect gate="G$1" pin="!WRAM" pad="32"/>
<connect gate="G$1" pin="A0" pad="17"/>
<connect gate="G$1" pin="A1" pad="16"/>
<connect gate="G$1" pin="A10" pad="7"/>
<connect gate="G$1" pin="A11" pad="6"/>
<connect gate="G$1" pin="A12" pad="37"/>
<connect gate="G$1" pin="A13" pad="38"/>
<connect gate="G$1" pin="A14" pad="39"/>
<connect gate="G$1" pin="A15" pad="40"/>
<connect gate="G$1" pin="A2" pad="15"/>
<connect gate="G$1" pin="A3" pad="14"/>
<connect gate="G$1" pin="A4" pad="13"/>
<connect gate="G$1" pin="A5" pad="12"/>
<connect gate="G$1" pin="A6" pad="11"/>
<connect gate="G$1" pin="A7" pad="10"/>
<connect gate="G$1" pin="A8" pad="9"/>
<connect gate="G$1" pin="A9" pad="8"/>
<connect gate="G$1" pin="BA0" pad="41"/>
<connect gate="G$1" pin="BA1" pad="42"/>
<connect gate="G$1" pin="BA2" pad="43"/>
<connect gate="G$1" pin="BA3" pad="44"/>
<connect gate="G$1" pin="BA4" pad="45"/>
<connect gate="G$1" pin="BA5" pad="46"/>
<connect gate="G$1" pin="BA6" pad="47"/>
<connect gate="G$1" pin="BA7" pad="48"/>
<connect gate="G$1" pin="CICCLK" pad="56"/>
<connect gate="G$1" pin="CICIN" pad="25"/>
<connect gate="G$1" pin="CICOUT1" pad="24"/>
<connect gate="G$1" pin="CICOUT2" pad="55"/>
<connect gate="G$1" pin="CPUCLK" pad="57"/>
<connect gate="G$1" pin="D0" pad="19"/>
<connect gate="G$1" pin="D1" pad="20"/>
<connect gate="G$1" pin="D2" pad="21"/>
<connect gate="G$1" pin="D3" pad="22"/>
<connect gate="G$1" pin="D4" pad="50"/>
<connect gate="G$1" pin="D5" pad="51"/>
<connect gate="G$1" pin="D6" pad="52"/>
<connect gate="G$1" pin="D7" pad="53"/>
<connect gate="G$1" pin="EXCLK" pad="1"/>
<connect gate="G$1" pin="EXPAND" pad="2"/>
<connect gate="G$1" pin="GND" pad="5 P$1 P$2"/>
<connect gate="G$1" pin="GND@1" pad="36 P$3 P$4"/>
<connect gate="G$1" pin="LAUDIO" pad="31"/>
<connect gate="G$1" pin="PA0" pad="28"/>
<connect gate="G$1" pin="PA1" pad="59"/>
<connect gate="G$1" pin="PA2" pad="29"/>
<connect gate="G$1" pin="PA3" pad="60"/>
<connect gate="G$1" pin="PA4" pad="30"/>
<connect gate="G$1" pin="PA5" pad="61"/>
<connect gate="G$1" pin="PA6" pad="3"/>
<connect gate="G$1" pin="PA7" pad="34"/>
<connect gate="G$1" pin="RAUDIO" pad="62"/>
<connect gate="G$1" pin="REFRESH" pad="33"/>
<connect gate="G$1" pin="VCC" pad="27"/>
<connect gate="G$1" pin="VCC@1" pad="58"/>
</connects>
<technologies>
<technology name=""/>
</technologies>
</device>
<device name="-SLOT_CUT" package="CART-SLOT-CUT">
<connects>
<connect gate="G$1" pin="!CART" pad="49"/>
<connect gate="G$1" pin="!IRQ" pad="18"/>
<connect gate="G$1" pin="!PARD" pad="4"/>
<connect gate="G$1" pin="!PAWR" pad="35"/>
<connect gate="G$1" pin="!RD" pad="23"/>
<connect gate="G$1" pin="!RESET" pad="26"/>
<connect gate="G$1" pin="!WR" pad="54"/>
<connect gate="G$1" pin="!WRAM" pad="32"/>
<connect gate="G$1" pin="A0" pad="17"/>
<connect gate="G$1" pin="A1" pad="16"/>
<connect gate="G$1" pin="A10" pad="7"/>
<connect gate="G$1" pin="A11" pad="6"/>
<connect gate="G$1" pin="A12" pad="37"/>
<connect gate="G$1" pin="A13" pad="38"/>
<connect gate="G$1" pin="A14" pad="39"/>
<connect gate="G$1" pin="A15" pad="40"/>
<connect gate="G$1" pin="A2" pad="15"/>
<connect gate="G$1" pin="A3" pad="14"/>
<connect gate="G$1" pin="A4" pad="13"/>
<connect gate="G$1" pin="A5" pad="12"/>
<connect gate="G$1" pin="A6" pad="11"/>
<connect gate="G$1" pin="A7" pad="10"/>
<connect gate="G$1" pin="A8" pad="9"/>
<connect gate="G$1" pin="A9" pad="8"/>
<connect gate="G$1" pin="BA0" pad="41"/>
<connect gate="G$1" pin="BA1" pad="42"/>
<connect gate="G$1" pin="BA2" pad="43"/>
<connect gate="G$1" pin="BA3" pad="44"/>
<connect gate="G$1" pin="BA4" pad="45"/>
<connect gate="G$1" pin="BA5" pad="46"/>
<connect gate="G$1" pin="BA6" pad="47"/>
<connect gate="G$1" pin="BA7" pad="48"/>
<connect gate="G$1" pin="CICCLK" pad="56"/>
<connect gate="G$1" pin="CICIN" pad="25"/>
<connect gate="G$1" pin="CICOUT1" pad="24"/>
<connect gate="G$1" pin="CICOUT2" pad="55"/>
<connect gate="G$1" pin="CPUCLK" pad="57"/>
<connect gate="G$1" pin="D0" pad="19"/>
<connect gate="G$1" pin="D1" pad="20"/>
<connect gate="G$1" pin="D2" pad="21"/>
<connect gate="G$1" pin="D3" pad="22"/>
<connect gate="G$1" pin="D4" pad="50"/>
<connect gate="G$1" pin="D5" pad="51"/>
<connect gate="G$1" pin="D6" pad="52"/>
<connect gate="G$1" pin="D7" pad="53"/>
<connect gate="G$1" pin="EXCLK" pad="1"/>
<connect gate="G$1" pin="EXPAND" pad="2"/>
<connect gate="G$1" pin="GND" pad="5 P$1 P$2"/>
<connect gate="G$1" pin="GND@1" pad="36 P$3 P$4"/>
<connect gate="G$1" pin="LAUDIO" pad="31"/>
<connect gate="G$1" pin="PA0" pad="28"/>
<connect gate="G$1" pin="PA1" pad="59"/>
<connect gate="G$1" pin="PA2" pad="29"/>
<connect gate="G$1" pin="PA3" pad="60"/>
<connect gate="G$1" pin="PA4" pad="30"/>
<connect gate="G$1" pin="PA5" pad="61"/>
<connect gate="G$1" pin="PA6" pad="3"/>
<connect gate="G$1" pin="PA7" pad="34"/>
<connect gate="G$1" pin="RAUDIO" pad="62"/>
<connect gate="G$1" pin="REFRESH" pad="33"/>
<connect gate="G$1" pin="VCC" pad="27"/>
<connect gate="G$1" pin="VCC@1" pad="58"/>
</connects>
<technologies>
<technology name=""/>
</technologies>
</device>
<device name="-EDGE" package="CART-EXP-PINS-LONG">
<connects>
<connect gate="G$1" pin="!CART" pad="49"/>
<connect gate="G$1" pin="!IRQ" pad="18"/>
<connect gate="G$1" pin="!PARD" pad="4"/>
<connect gate="G$1" pin="!PAWR" pad="35"/>
<connect gate="G$1" pin="!RD" pad="23"/>
<connect gate="G$1" pin="!RESET" pad="26"/>
<connect gate="G$1" pin="!WR" pad="54"/>
<connect gate="G$1" pin="!WRAM" pad="32"/>
<connect gate="G$1" pin="A0" pad="17"/>
<connect gate="G$1" pin="A1" pad="16"/>
<connect gate="G$1" pin="A10" pad="7"/>
<connect gate="G$1" pin="A11" pad="6"/>
<connect gate="G$1" pin="A12" pad="37"/>
<connect gate="G$1" pin="A13" pad="38"/>
<connect gate="G$1" pin="A14" pad="39"/>
<connect gate="G$1" pin="A15" pad="40"/>
<connect gate="G$1" pin="A2" pad="15"/>
<connect gate="G$1" pin="A3" pad="14"/>
<connect gate="G$1" pin="A4" pad="13"/>
<connect gate="G$1" pin="A5" pad="12"/>
<connect gate="G$1" pin="A6" pad="11"/>
<connect gate="G$1" pin="A7" pad="10"/>
<connect gate="G$1" pin="A8" pad="9"/>
<connect gate="G$1" pin="A9" pad="8"/>
<connect gate="G$1" pin="BA0" pad="41"/>
<connect gate="G$1" pin="BA1" pad="42"/>
<connect gate="G$1" pin="BA2" pad="43"/>
<connect gate="G$1" pin="BA3" pad="44"/>
<connect gate="G$1" pin="BA4" pad="45"/>
<connect gate="G$1" pin="BA5" pad="46"/>
<connect gate="G$1" pin="BA6" pad="47"/>
<connect gate="G$1" pin="BA7" pad="48"/>
<connect gate="G$1" pin="CICCLK" pad="56"/>
<connect gate="G$1" pin="CICIN" pad="25"/>
<connect gate="G$1" pin="CICOUT1" pad="24"/>
<connect gate="G$1" pin="CICOUT2" pad="55"/>
<connect gate="G$1" pin="CPUCLK" pad="57"/>
<connect gate="G$1" pin="D0" pad="19"/>
<connect gate="G$1" pin="D1" pad="20"/>
<connect gate="G$1" pin="D2" pad="21"/>
<connect gate="G$1" pin="D3" pad="22"/>
<connect gate="G$1" pin="D4" pad="50"/>
<connect gate="G$1" pin="D5" pad="51"/>
<connect gate="G$1" pin="D6" pad="52"/>
<connect gate="G$1" pin="D7" pad="53"/>
<connect gate="G$1" pin="EXCLK" pad="1"/>
<connect gate="G$1" pin="EXPAND" pad="2"/>
<connect gate="G$1" pin="GND" pad="5"/>
<connect gate="G$1" pin="GND@1" pad="36"/>
<connect gate="G$1" pin="LAUDIO" pad="31"/>
<connect gate="G$1" pin="PA0" pad="28"/>
<connect gate="G$1" pin="PA1" pad="59"/>
<connect gate="G$1" pin="PA2" pad="29"/>
<connect gate="G$1" pin="PA3" pad="60"/>
<connect gate="G$1" pin="PA4" pad="30"/>
<connect gate="G$1" pin="PA5" pad="61"/>
<connect gate="G$1" pin="PA6" pad="3"/>
<connect gate="G$1" pin="PA7" pad="34"/>
<connect gate="G$1" pin="RAUDIO" pad="62"/>
<connect gate="G$1" pin="REFRESH" pad="33"/>
<connect gate="G$1" pin="VCC" pad="27"/>
<connect gate="G$1" pin="VCC@1" pad="58"/>
</connects>
<technologies>
<technology name=""/>
</technologies>
</device>
</devices>
</deviceset>
</devicesets>
</library>
<library name="!sanni_mod4">
<packages>
<package name="NES_PINS">
<smd name="P40" x="36.25" y="-0.5" dx="7" dy="1.5" layer="16" rot="R90" stop="no" thermals="no" cream="no"/>
<smd name="P39" x="38.75" y="-0.5" dx="7" dy="1.5" layer="16" rot="R90" stop="no" thermals="no" cream="no"/>
<smd name="P41" x="33.75" y="-0.5" dx="7" dy="1.5" layer="16" rot="R90" stop="no" thermals="no" cream="no"/>
<smd name="P42" x="31.25" y="-0.5" dx="7" dy="1.5" layer="16" rot="R90" stop="no" thermals="no" cream="no"/>
<smd name="P43" x="28.75" y="-0.5" dx="7" dy="1.5" layer="16" rot="R90" stop="no" thermals="no" cream="no"/>
<smd name="P44" x="26.25" y="-0.5" dx="7" dy="1.5" layer="16" rot="R90" stop="no" thermals="no" cream="no"/>
<smd name="P45" x="23.75" y="-0.5" dx="7" dy="1.5" layer="16" rot="R90" stop="no" thermals="no" cream="no"/>
<smd name="P46" x="21.25" y="-0.5" dx="7" dy="1.5" layer="16" rot="R90" stop="no" thermals="no" cream="no"/>
<smd name="P47" x="18.75" y="-0.5" dx="7" dy="1.5" layer="16" rot="R90" stop="no" thermals="no" cream="no"/>
<smd name="P48" x="16.25" y="-0.5" dx="7" dy="1.5" layer="16" rot="R90" stop="no" thermals="no" cream="no"/>
<smd name="P49" x="13.75" y="-0.5" dx="7" dy="1.5" layer="16" rot="R90" stop="no" thermals="no" cream="no"/>
<smd name="P50" x="11.25" y="-0.5" dx="7" dy="1.5" layer="16" rot="R90" stop="no" thermals="no" cream="no"/>
<smd name="P51" x="8.75" y="-0.5" dx="7" dy="1.5" layer="16" rot="R90" stop="no" thermals="no" cream="no"/>
<smd name="P52" x="6.25" y="-0.5" dx="7" dy="1.5" layer="16" rot="R90" stop="no" thermals="no" cream="no"/>
<smd name="P53" x="3.75" y="-0.5" dx="7" dy="1.5" layer="16" rot="R90" stop="no" thermals="no" cream="no"/>
<smd name="P54" x="1.25" y="-0.5" dx="7" dy="1.5" layer="16" rot="R90" stop="no" thermals="no" cream="no"/>
<smd name="P55" x="-1.25" y="-0.5" dx="7" dy="1.5" layer="16" rot="R90" stop="no" thermals="no" cream="no"/>
<smd name="P56" x="-3.75" y="-0.5" dx="7" dy="1.5" layer="16" rot="R90" stop="no" thermals="no" cream="no"/>
<smd name="P57" x="-6.25" y="-0.5" dx="7" dy="1.5" layer="16" rot="R90" stop="no" thermals="no" cream="no"/>
<smd name="P58" x="-8.75" y="-0.5" dx="7" dy="1.5" layer="16" rot="R90" stop="no" thermals="no" cream="no"/>
<smd name="P59" x="-11.25" y="-0.5" dx="7" dy="1.5" layer="16" rot="R90" stop="no" thermals="no" cream="no"/>
<smd name="P3" x="38.75" y="-0.5" dx="7" dy="1.5" layer="1" rot="R90" stop="no" thermals="no" cream="no"/>
<smd name="P4" x="36.25" y="-0.5" dx="7" dy="1.5" layer="1" rot="R90" stop="no" thermals="no" cream="no"/>
<smd name="P5" x="33.75" y="-0.5" dx="7" dy="1.5" layer="1" rot="R90" stop="no" thermals="no" cream="no"/>
<smd name="P6" x="31.25" y="-0.5" dx="7" dy="1.5" layer="1" rot="R90" stop="no" thermals="no" cream="no"/>
<smd name="P7" x="28.75" y="-0.5" dx="7" dy="1.5" layer="1" rot="R90" stop="no" thermals="no" cream="no"/>
<smd name="P36" x="-43.75" y="-0.5" dx="7" dy="1.5" layer="1" rot="R90" stop="no" thermals="no" cream="no"/>
<smd name="P8" x="26.25" y="-0.5" dx="7" dy="1.5" layer="1" rot="R90" stop="no" thermals="no" cream="no"/>
<smd name="P9" x="23.75" y="-0.5" dx="7" dy="1.5" layer="1" rot="R90" stop="no" thermals="no" cream="no"/>
<smd name="P10" x="21.25" y="-0.5" dx="7" dy="1.5" layer="1" rot="R90" stop="no" thermals="no" cream="no"/>
<smd name="P11" x="18.75" y="-0.5" dx="7" dy="1.5" layer="1" rot="R90" stop="no" thermals="no" cream="no"/>
<smd name="P12" x="16.25" y="-0.5" dx="7" dy="1.5" layer="1" rot="R90" stop="no" thermals="no" cream="no"/>
<smd name="P13" x="13.75" y="-0.5" dx="7" dy="1.5" layer="1" rot="R90" stop="no" thermals="no" cream="no"/>
<smd name="P14" x="11.25" y="-0.5" dx="7" dy="1.5" layer="1" rot="R90" stop="no" thermals="no" cream="no"/>
<smd name="P15" x="8.75" y="-0.5" dx="7" dy="1.5" layer="1" rot="R90" stop="no" thermals="no" cream="no"/>
<smd name="P16" x="6.25" y="-0.5" dx="7" dy="1.5" layer="1" rot="R90" stop="no" thermals="no" cream="no"/>
<smd name="P17" x="3.75" y="-0.5" dx="7" dy="1.5" layer="1" rot="R90" stop="no" thermals="no" cream="no"/>
<smd name="P18" x="1.25" y="-0.5" dx="7" dy="1.5" layer="1" rot="R90" stop="no" thermals="no" cream="no"/>
<smd name="P19" x="-1.25" y="-0.5" dx="7" dy="1.5" layer="1" rot="R90" stop="no" thermals="no" cream="no"/>
<smd name="P20" x="-3.75" y="-0.5" dx="7" dy="1.5" layer="1" rot="R90" stop="no" thermals="no" cream="no"/>
<smd name="P21" x="-6.25" y="-0.5" dx="7" dy="1.5" layer="1" rot="R90" stop="no" thermals="no" cream="no"/>
<smd name="P22" x="-8.75" y="-0.5" dx="7" dy="1.5" layer="1" rot="R90" stop="no" thermals="no" cream="no"/>
<smd name="P38" x="41.25" y="-0.5" dx="7" dy="1.5" layer="16" rot="R90" stop="no" thermals="no" cream="no"/>
<smd name="P2" x="41.25" y="-0.5" dx="7" dy="1.5" layer="1" rot="R90" stop="no" thermals="no" cream="no"/>
<smd name="P37" x="43.75" y="-0.5" dx="7" dy="1.5" layer="16" rot="R90" stop="no" thermals="no" cream="no"/>
<smd name="P1" x="43.75" y="-0.5" dx="7" dy="1.5" layer="1" rot="R90" stop="no" thermals="no" cream="no"/>
<smd name="P60" x="-13.75" y="-0.5" dx="7" dy="1.5" layer="16" rot="R90" stop="no" thermals="no" cream="no"/>
<smd name="P61" x="-16.25" y="-0.5" dx="7" dy="1.5" layer="16" rot="R90" stop="no" thermals="no" cream="no"/>
<smd name="P23" x="-11.25" y="-0.5" dx="7" dy="1.5" layer="1" rot="R90" stop="no" thermals="no" cream="no"/>
<smd name="P24" x="-13.75" y="-0.5" dx="7" dy="1.5" layer="1" rot="R90" stop="no" thermals="no" cream="no"/>
<smd name="P62" x="-18.75" y="-0.5" dx="7" dy="1.5" layer="16" rot="R90" stop="no" thermals="no" cream="no"/>
<smd name="P63" x="-21.25" y="-0.5" dx="7" dy="1.5" layer="16" rot="R90" stop="no" thermals="no" cream="no"/>
<smd name="P64" x="-23.75" y="-0.5" dx="7" dy="1.5" layer="16" rot="R90" stop="no" thermals="no" cream="no"/>
<smd name="P65" x="-26.25" y="-0.5" dx="7" dy="1.5" layer="16" rot="R90" stop="no" thermals="no" cream="no"/>
<smd name="P66" x="-28.75" y="-0.5" dx="7" dy="1.5" layer="16" rot="R90" stop="no" thermals="no" cream="no"/>
<smd name="P67" x="-31.25" y="-0.5" dx="7" dy="1.5" layer="16" rot="R90" stop="no" thermals="no" cream="no"/>
<smd name="P68" x="-33.75" y="-0.5" dx="7" dy="1.5" layer="16" rot="R90" stop="no" thermals="no" cream="no"/>
<smd name="P69" x="-36.25" y="-0.5" dx="7" dy="1.5" layer="16" rot="R90" stop="no" thermals="no" cream="no"/>
<smd name="P70" x="-38.75" y="-0.5" dx="7" dy="1.5" layer="16" rot="R90" stop="no" thermals="no" cream="no"/>
<smd name="P25" x="-16.25" y="-0.5" dx="7" dy="1.5" layer="1" rot="R90" stop="no" thermals="no" cream="no"/>
<smd name="P26" x="-18.75" y="-0.5" dx="7" dy="1.5" layer="1" rot="R90" stop="no" thermals="no" cream="no"/>
<smd name="P27" x="-21.25" y="-0.5" dx="7" dy="1.5" layer="1" rot="R90" stop="no" thermals="no" cream="no"/>
<smd name="P28" x="-23.75" y="-0.5" dx="7" dy="1.5" layer="1" rot="R90" stop="no" thermals="no" cream="no"/>
<smd name="P29" x="-26.25" y="-0.5" dx="7" dy="1.5" layer="1" rot="R90" stop="no" thermals="no" cream="no"/>
<smd name="P30" x="-28.75" y="-0.5" dx="7" dy="1.5" layer="1" rot="R90" stop="no" thermals="no" cream="no"/>
<smd name="P31" x="-31.25" y="-0.5" dx="7" dy="1.5" layer="1" rot="R90" stop="no" thermals="no" cream="no"/>
<smd name="P32" x="-33.75" y="-0.5" dx="7" dy="1.5" layer="1" rot="R90" stop="no" thermals="no" cream="no"/>
<smd name="P33" x="-36.25" y="-0.5" dx="7" dy="1.5" layer="1" rot="R90" stop="no" thermals="no" cream="no"/>
<smd name="P71" x="-41.25" y="-0.5" dx="7" dy="1.5" layer="16" rot="R90" stop="no" thermals="no" cream="no"/>
<smd name="P72" x="-43.75" y="-0.5" dx="7" dy="1.5" layer="16" rot="R90" stop="no" thermals="no" cream="no"/>
<smd name="P34" x="-38.75" y="-0.5" dx="7" dy="1.5" layer="1" rot="R90" stop="no" thermals="no" cream="no"/>
<smd name="P35" x="-41.25" y="-0.5" dx="7" dy="1.5" layer="1" rot="R90" stop="no" thermals="no" cream="no"/>
</package>
</packages>
<symbols>
<symbol name="NES_PINS">
<wire x1="-25.4" y1="-2.54" x2="68.58" y2="-2.54" width="0.254" layer="94"/>
<wire x1="68.58" y1="-2.54" x2="68.58" y2="27.94" width="0.254" layer="94"/>
<wire x1="68.58" y1="27.94" x2="-25.4" y2="27.94" width="0.254" layer="94"/>
<wire x1="-25.4" y1="27.94" x2="-25.4" y2="-2.54" width="0.254" layer="94"/>
<text x="-20.32" y="10.16" size="1.778" layer="95">NES Pins</text>
<text x="15.24" y="7.62" size="1.778" layer="96" rot="R90">&gt;VALUE</text>
<pin name="VCC" x="-22.86" y="-7.62" length="middle" rot="R90"/>
<pin name="SECURITY4" x="-20.32" y="-7.62" length="middle" rot="R90"/>
<pin name="SECURITY3" x="-17.78" y="-7.62" length="middle" rot="R90"/>
<pin name="CHR_D3" x="-15.24" y="-7.62" length="middle" rot="R90"/>
<pin name="CHR_D2" x="-12.7" y="-7.62" length="middle" rot="R90"/>
<pin name="CHR_D1" x="-10.16" y="-7.62" length="middle" rot="R90"/>
<pin name="CHR_D0" x="-7.62" y="-7.62" length="middle" rot="R90"/>
<pin name="CHR_A0" x="-5.08" y="-7.62" length="middle" rot="R90"/>
<pin name="CHR_A1" x="-2.54" y="-7.62" length="middle" rot="R90"/>
<pin name="CHR_A2" x="0" y="-7.62" length="middle" rot="R90"/>
<pin name="CHR_A3" x="2.54" y="-7.62" length="middle" rot="R90"/>
<pin name="CHR_A4" x="5.08" y="-7.62" length="middle" rot="R90"/>
<pin name="CHR_A5" x="7.62" y="-7.62" length="middle" rot="R90"/>
<pin name="CHR_A6" x="10.16" y="-7.62" length="middle" rot="R90"/>
<pin name="CIRAM_A10" x="12.7" y="-7.62" length="middle" rot="R90"/>
<pin name="CHR_RD" x="15.24" y="-7.62" length="middle" rot="R90"/>
<pin name="EXP_4" x="17.78" y="-7.62" length="middle" rot="R90"/>
<pin name="EXP_3" x="20.32" y="-7.62" length="middle" rot="R90"/>
<pin name="EXP_2" x="22.86" y="-7.62" length="middle" rot="R90"/>
<pin name="EXP_1" x="25.4" y="-7.62" length="middle" rot="R90"/>
<pin name="EXP_0" x="27.94" y="-7.62" length="middle" rot="R90"/>
<pin name="IRQ" x="30.48" y="-7.62" length="middle" rot="R90"/>
<pin name="PRG_RW" x="33.02" y="-7.62" length="middle" rot="R90"/>
<pin name="PRG_A0" x="35.56" y="-7.62" length="middle" rot="R90"/>
<pin name="PRG_A1" x="38.1" y="-7.62" length="middle" rot="R90"/>
<pin name="PRG_A2" x="40.64" y="-7.62" length="middle" rot="R90"/>
<pin name="PRG_A3" x="43.18" y="-7.62" length="middle" rot="R90"/>
<pin name="PRG_A4" x="45.72" y="-7.62" length="middle" rot="R90"/>
<pin name="PRG_A5" x="48.26" y="-7.62" length="middle" rot="R90"/>
<pin name="PRG_A6" x="50.8" y="-7.62" length="middle" rot="R90"/>
<pin name="PRG_A7" x="53.34" y="-7.62" length="middle" rot="R90"/>
<pin name="PRG_A8" x="55.88" y="-7.62" length="middle" rot="R90"/>
<pin name="PRG_A9" x="58.42" y="-7.62" length="middle" rot="R90"/>
<pin name="PRG_A10" x="60.96" y="-7.62" length="middle" rot="R90"/>
<pin name="PRG_A11" x="63.5" y="-7.62" length="middle" rot="R90"/>
<pin name="GND2" x="66.04" y="-7.62" length="middle" rot="R90"/>
<pin name="CLK" x="66.04" y="33.02" length="middle" rot="R270"/>
<pin name="M2" x="63.5" y="33.02" length="middle" rot="R270"/>
<pin name="PRG_A12" x="60.96" y="33.02" length="middle" rot="R270"/>
<pin name="PRG_A13" x="58.42" y="33.02" length="middle" rot="R270"/>
<pin name="PRG_A14" x="55.88" y="33.02" length="middle" rot="R270"/>
<pin name="PRG_D7" x="53.34" y="33.02" length="middle" rot="R270"/>
<pin name="PRG_D6" x="50.8" y="33.02" length="middle" rot="R270"/>
<pin name="PRG_D5" x="48.26" y="33.02" length="middle" rot="R270"/>
<pin name="PRG_D4" x="45.72" y="33.02" length="middle" rot="R270"/>
<pin name="PRG_D3" x="43.18" y="33.02" length="middle" rot="R270"/>
<pin name="PRG_D2" x="40.64" y="33.02" length="middle" rot="R270"/>
<pin name="PRG_D1" x="38.1" y="33.02" length="middle" rot="R270"/>
<pin name="PRG_D0" x="35.56" y="33.02" length="middle" rot="R270"/>
<pin name="PRG_CE" x="33.02" y="33.02" length="middle" rot="R270"/>
<pin name="EXP_9" x="30.48" y="33.02" length="middle" rot="R270"/>
<pin name="EXP_8" x="27.94" y="33.02" length="middle" rot="R270"/>
<pin name="EXP_7" x="25.4" y="33.02" length="middle" rot="R270"/>
<pin name="EXP_6" x="22.86" y="33.02" length="middle" rot="R270"/>
<pin name="EXP_5" x="20.32" y="33.02" length="middle" rot="R270"/>
<pin name="CHR_WR" x="17.78" y="33.02" length="middle" rot="R270"/>
<pin name="CIRAM_CE" x="15.24" y="33.02" length="middle" rot="R270"/>
<pin name="CHR_A13" x="12.7" y="33.02" length="middle" rot="R270"/>
<pin name="CHR_A7" x="10.16" y="33.02" length="middle" rot="R270"/>
<pin name="CHR_A8" x="7.62" y="33.02" length="middle" rot="R270"/>
<pin name="CHR_A9" x="5.08" y="33.02" length="middle" rot="R270"/>
<pin name="CHR_A11" x="2.54" y="33.02" length="middle" rot="R270"/>
<pin name="CHR_A10" x="0" y="33.02" length="middle" rot="R270"/>
<pin name="CHR_A12" x="-2.54" y="33.02" length="middle" rot="R270"/>
<pin name="CHR_!A13" x="-5.08" y="33.02" length="middle" rot="R270"/>
<pin name="CHR_D7" x="-7.62" y="33.02" length="middle" rot="R270"/>
<pin name="CHR_D6" x="-10.16" y="33.02" length="middle" rot="R270"/>
<pin name="CHR_D5" x="-12.7" y="33.02" length="middle" rot="R270"/>
<pin name="CHR_D4" x="-15.24" y="33.02" length="middle" rot="R270"/>
<pin name="SECURITY1" x="-17.78" y="33.02" length="middle" rot="R270"/>
<pin name="SECURITY2" x="-20.32" y="33.02" length="middle" rot="R270"/>
<pin name="GND1" x="-22.86" y="33.02" length="middle" rot="R270"/>
</symbol>
</symbols>
<devicesets>
<deviceset name="NES-CART_PINS">
<gates>
<gate name="_SIGNAL" symbol="NES_PINS" x="0" y="0"/>
</gates>
<devices>
<device name="" package="NES_PINS">
<connects>
<connect gate="_SIGNAL" pin="CHR_!A13" pad="P65"/>
<connect gate="_SIGNAL" pin="CHR_A0" pad="P29"/>
<connect gate="_SIGNAL" pin="CHR_A1" pad="P28"/>
<connect gate="_SIGNAL" pin="CHR_A10" pad="P63"/>
<connect gate="_SIGNAL" pin="CHR_A11" pad="P62"/>
<connect gate="_SIGNAL" pin="CHR_A12" pad="P64"/>
<connect gate="_SIGNAL" pin="CHR_A13" pad="P58"/>
<connect gate="_SIGNAL" pin="CHR_A2" pad="P27"/>
<connect gate="_SIGNAL" pin="CHR_A3" pad="P26"/>
<connect gate="_SIGNAL" pin="CHR_A4" pad="P25"/>
<connect gate="_SIGNAL" pin="CHR_A5" pad="P24"/>
<connect gate="_SIGNAL" pin="CHR_A6" pad="P23"/>
<connect gate="_SIGNAL" pin="CHR_A7" pad="P59"/>
<connect gate="_SIGNAL" pin="CHR_A8" pad="P60"/>
<connect gate="_SIGNAL" pin="CHR_A9" pad="P61"/>
<connect gate="_SIGNAL" pin="CHR_D0" pad="P30"/>
<connect gate="_SIGNAL" pin="CHR_D1" pad="P31"/>
<connect gate="_SIGNAL" pin="CHR_D2" pad="P32"/>
<connect gate="_SIGNAL" pin="CHR_D3" pad="P33"/>
<connect gate="_SIGNAL" pin="CHR_D4" pad="P69"/>
<connect gate="_SIGNAL" pin="CHR_D5" pad="P68"/>
<connect gate="_SIGNAL" pin="CHR_D6" pad="P67"/>
<connect gate="_SIGNAL" pin="CHR_D7" pad="P66"/>
<connect gate="_SIGNAL" pin="CHR_RD" pad="P21"/>
<connect gate="_SIGNAL" pin="CHR_WR" pad="P56"/>
<connect gate="_SIGNAL" pin="CIRAM_A10" pad="P22"/>
<connect gate="_SIGNAL" pin="CIRAM_CE" pad="P57"/>
<connect gate="_SIGNAL" pin="CLK" pad="P37"/>
<connect gate="_SIGNAL" pin="EXP_0" pad="P16"/>
<connect gate="_SIGNAL" pin="EXP_1" pad="P17"/>
<connect gate="_SIGNAL" pin="EXP_2" pad="P18"/>
<connect gate="_SIGNAL" pin="EXP_3" pad="P19"/>
<connect gate="_SIGNAL" pin="EXP_4" pad="P20"/>
<connect gate="_SIGNAL" pin="EXP_5" pad="P55"/>
<connect gate="_SIGNAL" pin="EXP_6" pad="P54"/>
<connect gate="_SIGNAL" pin="EXP_7" pad="P53"/>
<connect gate="_SIGNAL" pin="EXP_8" pad="P52"/>
<connect gate="_SIGNAL" pin="EXP_9" pad="P51"/>
<connect gate="_SIGNAL" pin="GND1" pad="P72"/>
<connect gate="_SIGNAL" pin="GND2" pad="P1"/>
<connect gate="_SIGNAL" pin="IRQ" pad="P15"/>
<connect gate="_SIGNAL" pin="M2" pad="P38"/>
<connect gate="_SIGNAL" pin="PRG_A0" pad="P13"/>
<connect gate="_SIGNAL" pin="PRG_A1" pad="P12"/>
<connect gate="_SIGNAL" pin="PRG_A10" pad="P3"/>
<connect gate="_SIGNAL" pin="PRG_A11" pad="P2"/>
<connect gate="_SIGNAL" pin="PRG_A12" pad="P39"/>
<connect gate="_SIGNAL" pin="PRG_A13" pad="P40"/>
<connect gate="_SIGNAL" pin="PRG_A14" pad="P41"/>
<connect gate="_SIGNAL" pin="PRG_A2" pad="P11"/>
<connect gate="_SIGNAL" pin="PRG_A3" pad="P10"/>
<connect gate="_SIGNAL" pin="PRG_A4" pad="P9"/>
<connect gate="_SIGNAL" pin="PRG_A5" pad="P8"/>
<connect gate="_SIGNAL" pin="PRG_A6" pad="P7"/>
<connect gate="_SIGNAL" pin="PRG_A7" pad="P6"/>
<connect gate="_SIGNAL" pin="PRG_A8" pad="P5"/>
<connect gate="_SIGNAL" pin="PRG_A9" pad="P4"/>
<connect gate="_SIGNAL" pin="PRG_CE" pad="P50"/>
<connect gate="_SIGNAL" pin="PRG_D0" pad="P49"/>
<connect gate="_SIGNAL" pin="PRG_D1" pad="P48"/>
<connect gate="_SIGNAL" pin="PRG_D2" pad="P47"/>
<connect gate="_SIGNAL" pin="PRG_D3" pad="P46"/>
<connect gate="_SIGNAL" pin="PRG_D4" pad="P45"/>
<connect gate="_SIGNAL" pin="PRG_D5" pad="P44"/>
<connect gate="_SIGNAL" pin="PRG_D6" pad="P43"/>
<connect gate="_SIGNAL" pin="PRG_D7" pad="P42"/>
<connect gate="_SIGNAL" pin="PRG_RW" pad="P14"/>
<connect gate="_SIGNAL" pin="SECURITY1" pad="P70"/>
<connect gate="_SIGNAL" pin="SECURITY2" pad="P71"/>
<connect gate="_SIGNAL" pin="SECURITY3" pad="P34"/>
<connect gate="_SIGNAL" pin="SECURITY4" pad="P35"/>
<connect gate="_SIGNAL" pin="VCC" pad="P36"/>
</connects>
<technologies>
<technology name=""/>
</technologies>
</device>
</devices>
</deviceset>
</devicesets>
</library>
</libraries>
<attributes>
</attributes>
<variantdefs>
</variantdefs>
<classes>
<class number="0" name="default" width="0.254" drill="0.3048">
<clearance class="0" value="0.2032"/>
</class>
<class number="1" name="power" width="0.6096" drill="0.4064">
<clearance class="1" value="0.2032"/>
</class>
<class number="2" name="gnd" width="0.6096" drill="0.4064">
<clearance class="2" value="0.2032"/>
</class>
<class number="3" name="power usb" width="0.6096" drill="0.4064">
<clearance class="3" value="0.2032"/>
</class>
</classes>
<parts>
<part name="FRAME2" library="SparkFun-Aesthetics" deviceset="FRAME-A4L" device=""/>
<part name="U$1" library="snes_cart_mod4" deviceset="CART-EXP" device="-EDGE"/>
<part name="U$2" library="!sanni_mod4" deviceset="NES-CART_PINS" device=""/>
</parts>
<sheets>
<sheet>
<plain>
<text x="-185.42" y="167.64" size="5.08" layer="91">SNES CART TO DIP ADAPTER</text>
</plain>
<instances>
<instance part="FRAME2" gate="G$1" x="-276.86" y="5.08"/>
<instance part="U$1" gate="G$1" x="-198.12" y="109.22"/>
<instance part="U$2" gate="_SIGNAL" x="-104.14" y="99.06"/>
</instances>
<busses>
</busses>
<nets>
<net name="GND" class="2">
<segment>
<wire x1="-127" y1="132.08" x2="-127" y2="147.32" width="0.1524" layer="91"/>
<pinref part="U$2" gate="_SIGNAL" pin="GND1"/>
<label x="-127" y="142.24" size="1.778" layer="95" rot="R90"/>
</segment>
<segment>
<wire x1="-180.34" y1="137.16" x2="-170.18" y2="137.16" width="0.1524" layer="91"/>
<pinref part="U$1" gate="G$1" pin="GND@1"/>
<label x="-175.26" y="137.16" size="1.778" layer="95"/>
</segment>
<segment>
<wire x1="-226.06" y1="137.16" x2="-215.9" y2="137.16" width="0.1524" layer="91"/>
<pinref part="U$1" gate="G$1" pin="GND"/>
<label x="-226.06" y="137.16" size="1.778" layer="95"/>
</segment>
<segment>
<wire x1="-38.1" y1="78.74" x2="-38.1" y2="91.44" width="0.1524" layer="91"/>
<pinref part="U$2" gate="_SIGNAL" pin="GND2"/>
<label x="-38.1" y="78.74" size="1.778" layer="95" rot="R90"/>
</segment>
</net>
<net name="!CHR_A13" class="0">
<segment>
<wire x1="-226.06" y1="116.84" x2="-215.9" y2="116.84" width="0.1524" layer="91"/>
<pinref part="U$1" gate="G$1" pin="A4"/>
<label x="-226.06" y="116.84" size="1.778" layer="95"/>
</segment>
<segment>
<pinref part="U$2" gate="_SIGNAL" pin="CHR_A13"/>
<wire x1="-91.44" y1="132.08" x2="-91.44" y2="147.32" width="0.1524" layer="91"/>
<label x="-91.44" y="142.24" size="1.778" layer="95" rot="R90"/>
</segment>
</net>
<net name="CLK" class="0">
<segment>
<wire x1="-38.1" y1="132.08" x2="-38.1" y2="147.32" width="0.1524" layer="91"/>
<pinref part="U$2" gate="_SIGNAL" pin="CLK"/>
<label x="-38.1" y="142.24" size="1.778" layer="95" rot="R90"/>
</segment>
<segment>
<wire x1="-226.06" y1="149.86" x2="-215.9" y2="149.86" width="0.1524" layer="91"/>
<pinref part="U$1" gate="G$1" pin="EXCLK"/>
<label x="-226.06" y="149.86" size="1.778" layer="95"/>
</segment>
</net>
<net name="VCC" class="1">
<segment>
<wire x1="-127" y1="78.74" x2="-127" y2="91.44" width="0.1524" layer="91"/>
<pinref part="U$2" gate="_SIGNAL" pin="VCC"/>
<label x="-127" y="78.74" size="1.778" layer="95" rot="R90"/>
</segment>
<segment>
<wire x1="-226.06" y1="81.28" x2="-215.9" y2="81.28" width="0.1524" layer="91"/>
<pinref part="U$1" gate="G$1" pin="VCC"/>
<label x="-226.06" y="81.28" size="1.778" layer="95"/>
</segment>
<segment>
<wire x1="-180.34" y1="81.28" x2="-170.18" y2="81.28" width="0.1524" layer="91"/>
<pinref part="U$1" gate="G$1" pin="VCC@1"/>
<label x="-175.26" y="81.28" size="1.778" layer="95"/>
</segment>
</net>
<net name="CHR_RD" class="0">
<segment>
<wire x1="-88.9" y1="78.74" x2="-88.9" y2="91.44" width="0.1524" layer="91"/>
<pinref part="U$2" gate="_SIGNAL" pin="CHR_RD"/>
<label x="-88.9" y="78.74" size="1.778" layer="95" rot="R90"/>
</segment>
<segment>
<wire x1="-226.06" y1="119.38" x2="-215.9" y2="119.38" width="0.1524" layer="91"/>
<pinref part="U$1" gate="G$1" pin="A5"/>
<label x="-226.06" y="119.38" size="1.778" layer="95"/>
</segment>
</net>
<net name="A6" class="0">
<segment>
<wire x1="-180.34" y1="109.22" x2="-170.18" y2="109.22" width="0.1524" layer="91"/>
<pinref part="U$1" gate="G$1" pin="BA6"/>
<label x="-175.26" y="109.22" size="1.778" layer="95"/>
</segment>
<segment>
<wire x1="-53.34" y1="78.74" x2="-53.34" y2="91.44" width="0.1524" layer="91"/>
<pinref part="U$2" gate="_SIGNAL" pin="PRG_A6"/>
<label x="-53.34" y="78.74" size="1.778" layer="95" rot="R90"/>
</segment>
<segment>
<wire x1="-93.98" y1="78.74" x2="-93.98" y2="91.44" width="0.1524" layer="91"/>
<pinref part="U$2" gate="_SIGNAL" pin="CHR_A6"/>
<label x="-93.98" y="78.74" size="1.778" layer="95" rot="R90"/>
</segment>
</net>
<net name="A5" class="0">
<segment>
<wire x1="-180.34" y1="111.76" x2="-170.18" y2="111.76" width="0.1524" layer="91"/>
<pinref part="U$1" gate="G$1" pin="BA5"/>
<label x="-175.26" y="111.76" size="1.778" layer="95"/>
</segment>
<segment>
<wire x1="-55.88" y1="78.74" x2="-55.88" y2="91.44" width="0.1524" layer="91"/>
<pinref part="U$2" gate="_SIGNAL" pin="PRG_A5"/>
<label x="-55.88" y="78.74" size="1.778" layer="95" rot="R90"/>
</segment>
<segment>
<wire x1="-96.52" y1="78.74" x2="-96.52" y2="91.44" width="0.1524" layer="91"/>
<pinref part="U$2" gate="_SIGNAL" pin="CHR_A5"/>
<label x="-96.52" y="78.74" size="1.778" layer="95" rot="R90"/>
</segment>
</net>
<net name="A3" class="0">
<segment>
<wire x1="-180.34" y1="116.84" x2="-170.18" y2="116.84" width="0.1524" layer="91"/>
<pinref part="U$1" gate="G$1" pin="BA3"/>
<label x="-175.26" y="116.84" size="1.778" layer="95"/>
</segment>
<segment>
<wire x1="-60.96" y1="78.74" x2="-60.96" y2="91.44" width="0.1524" layer="91"/>
<pinref part="U$2" gate="_SIGNAL" pin="PRG_A3"/>
<label x="-60.96" y="78.74" size="1.778" layer="95" rot="R90"/>
</segment>
<segment>
<wire x1="-101.6" y1="78.74" x2="-101.6" y2="91.44" width="0.1524" layer="91"/>
<pinref part="U$2" gate="_SIGNAL" pin="CHR_A3"/>
<label x="-101.6" y="78.74" size="1.778" layer="95" rot="R90"/>
</segment>
</net>
<net name="A2" class="0">
<segment>
<wire x1="-180.34" y1="119.38" x2="-170.18" y2="119.38" width="0.1524" layer="91"/>
<pinref part="U$1" gate="G$1" pin="BA2"/>
<label x="-175.26" y="119.38" size="1.778" layer="95"/>
</segment>
<segment>
<wire x1="-63.5" y1="78.74" x2="-63.5" y2="91.44" width="0.1524" layer="91"/>
<pinref part="U$2" gate="_SIGNAL" pin="PRG_A2"/>
<label x="-63.5" y="78.74" size="1.778" layer="95" rot="R90"/>
</segment>
<segment>
<wire x1="-104.14" y1="78.74" x2="-104.14" y2="91.44" width="0.1524" layer="91"/>
<pinref part="U$2" gate="_SIGNAL" pin="CHR_A2"/>
<label x="-104.14" y="78.74" size="1.778" layer="95" rot="R90"/>
</segment>
</net>
<net name="A0" class="0">
<segment>
<wire x1="-180.34" y1="124.46" x2="-170.18" y2="124.46" width="0.1524" layer="91"/>
<pinref part="U$1" gate="G$1" pin="BA0"/>
<label x="-175.26" y="124.46" size="1.778" layer="95"/>
</segment>
<segment>
<wire x1="-68.58" y1="78.74" x2="-68.58" y2="91.44" width="0.1524" layer="91"/>
<pinref part="U$2" gate="_SIGNAL" pin="PRG_A0"/>
<label x="-68.58" y="78.74" size="1.778" layer="95" rot="R90"/>
</segment>
<segment>
<wire x1="-109.22" y1="78.74" x2="-109.22" y2="91.44" width="0.1524" layer="91"/>
<pinref part="U$2" gate="_SIGNAL" pin="CHR_A0"/>
<label x="-109.22" y="78.74" size="1.778" layer="95" rot="R90"/>
</segment>
</net>
<net name="D7" class="0">
<segment>
<wire x1="-180.34" y1="127" x2="-170.18" y2="127" width="0.1524" layer="91"/>
<pinref part="U$1" gate="G$1" pin="A15"/>
<label x="-175.26" y="127" size="1.778" layer="95"/>
</segment>
<segment>
<wire x1="-50.8" y1="132.08" x2="-50.8" y2="147.32" width="0.1524" layer="91"/>
<pinref part="U$2" gate="_SIGNAL" pin="PRG_D7"/>
<label x="-50.8" y="142.24" size="1.778" layer="95" rot="R90"/>
</segment>
<segment>
<wire x1="-111.76" y1="132.08" x2="-111.76" y2="147.32" width="0.1524" layer="91"/>
<pinref part="U$2" gate="_SIGNAL" pin="CHR_D7"/>
<label x="-111.76" y="142.24" size="1.778" layer="95" rot="R90"/>
</segment>
</net>
<net name="D5" class="0">
<segment>
<wire x1="-180.34" y1="132.08" x2="-170.18" y2="132.08" width="0.1524" layer="91"/>
<pinref part="U$1" gate="G$1" pin="A13"/>
<label x="-175.26" y="132.08" size="1.778" layer="95"/>
</segment>
<segment>
<wire x1="-55.88" y1="132.08" x2="-55.88" y2="147.32" width="0.1524" layer="91"/>
<pinref part="U$2" gate="_SIGNAL" pin="PRG_D5"/>
<label x="-55.88" y="142.24" size="1.778" layer="95" rot="R90"/>
</segment>
<segment>
<wire x1="-116.84" y1="132.08" x2="-116.84" y2="147.32" width="0.1524" layer="91"/>
<pinref part="U$2" gate="_SIGNAL" pin="CHR_D5"/>
<label x="-116.84" y="142.24" size="1.778" layer="95" rot="R90"/>
</segment>
</net>
<net name="A13" class="0">
<segment>
<wire x1="-180.34" y1="71.12" x2="-170.18" y2="71.12" width="0.1524" layer="91"/>
<pinref part="U$1" gate="G$1" pin="PA5"/>
<label x="-175.26" y="71.12" size="1.778" layer="95"/>
</segment>
<segment>
<wire x1="-45.72" y1="132.08" x2="-45.72" y2="147.32" width="0.1524" layer="91"/>
<pinref part="U$2" gate="_SIGNAL" pin="PRG_A13"/>
<label x="-45.72" y="142.24" size="1.778" layer="95" rot="R90"/>
</segment>
<segment>
<pinref part="U$2" gate="_SIGNAL" pin="CHR_!A13"/>
<wire x1="-109.22" y1="132.08" x2="-109.22" y2="147.32" width="0.1524" layer="91"/>
<label x="-109.22" y="142.24" size="1.778" layer="95" rot="R90"/>
</segment>
</net>
<net name="A11" class="0">
<segment>
<wire x1="-180.34" y1="73.66" x2="-170.18" y2="73.66" width="0.1524" layer="91"/>
<pinref part="U$1" gate="G$1" pin="PA3"/>
<label x="-175.26" y="73.66" size="1.778" layer="95"/>
</segment>
<segment>
<wire x1="-101.6" y1="132.08" x2="-101.6" y2="147.32" width="0.1524" layer="91"/>
<pinref part="U$2" gate="_SIGNAL" pin="CHR_A11"/>
<label x="-101.6" y="142.24" size="1.778" layer="95" rot="R90"/>
</segment>
<segment>
<wire x1="-40.64" y1="78.74" x2="-40.64" y2="91.44" width="0.1524" layer="91"/>
<pinref part="U$2" gate="_SIGNAL" pin="PRG_A11"/>
<label x="-40.64" y="78.74" size="1.778" layer="95" rot="R90"/>
</segment>
</net>
<net name="M2" class="0">
<segment>
<wire x1="-226.06" y1="106.68" x2="-215.9" y2="106.68" width="0.1524" layer="91"/>
<pinref part="U$1" gate="G$1" pin="A0"/>
<label x="-226.06" y="106.68" size="1.778" layer="95"/>
</segment>
<segment>
<wire x1="-40.64" y1="132.08" x2="-40.64" y2="147.32" width="0.1524" layer="91"/>
<pinref part="U$2" gate="_SIGNAL" pin="M2"/>
<label x="-40.64" y="142.24" size="1.778" layer="95" rot="R90"/>
</segment>
</net>
<net name="CHR_WR" class="0">
<segment>
<wire x1="-226.06" y1="111.76" x2="-215.9" y2="111.76" width="0.1524" layer="91"/>
<pinref part="U$1" gate="G$1" pin="A2"/>
<label x="-226.06" y="111.76" size="1.778" layer="95"/>
</segment>
<segment>
<wire x1="-86.36" y1="132.08" x2="-86.36" y2="147.32" width="0.1524" layer="91"/>
<pinref part="U$2" gate="_SIGNAL" pin="CHR_WR"/>
<label x="-86.36" y="142.24" size="1.778" layer="95" rot="R90"/>
</segment>
</net>
<net name="CIRAM_CE" class="0">
<segment>
<wire x1="-226.06" y1="114.3" x2="-215.9" y2="114.3" width="0.1524" layer="91"/>
<pinref part="U$1" gate="G$1" pin="A3"/>
<label x="-226.06" y="114.3" size="1.778" layer="95"/>
</segment>
<segment>
<wire x1="-88.9" y1="132.08" x2="-88.9" y2="147.32" width="0.1524" layer="91"/>
<pinref part="U$2" gate="_SIGNAL" pin="CIRAM_CE"/>
<label x="-88.9" y="142.24" size="1.778" layer="95" rot="R90"/>
</segment>
</net>
<net name="IRQ" class="0">
<segment>
<wire x1="-226.06" y1="121.92" x2="-215.9" y2="121.92" width="0.1524" layer="91"/>
<pinref part="U$1" gate="G$1" pin="A6"/>
<label x="-226.06" y="121.92" size="1.778" layer="95"/>
</segment>
<segment>
<wire x1="-73.66" y1="78.74" x2="-73.66" y2="91.44" width="0.1524" layer="91"/>
<pinref part="U$2" gate="_SIGNAL" pin="IRQ"/>
<label x="-73.66" y="78.74" size="1.778" layer="95" rot="R90"/>
</segment>
</net>
<net name="PRG_RW" class="0">
<segment>
<wire x1="-226.06" y1="124.46" x2="-215.9" y2="124.46" width="0.1524" layer="91"/>
<pinref part="U$1" gate="G$1" pin="A7"/>
<label x="-226.06" y="124.46" size="1.778" layer="95"/>
</segment>
<segment>
<wire x1="-71.12" y1="78.74" x2="-71.12" y2="91.44" width="0.1524" layer="91"/>
<pinref part="U$2" gate="_SIGNAL" pin="PRG_RW"/>
<label x="-71.12" y="78.74" size="1.778" layer="95" rot="R90"/>
</segment>
</net>
<net name="D1" class="0">
<segment>
<wire x1="-226.06" y1="129.54" x2="-215.9" y2="129.54" width="0.1524" layer="91"/>
<pinref part="U$1" gate="G$1" pin="A9"/>
<label x="-226.06" y="129.54" size="1.778" layer="95"/>
</segment>
<segment>
<wire x1="-66.04" y1="132.08" x2="-66.04" y2="147.32" width="0.1524" layer="91"/>
<pinref part="U$2" gate="_SIGNAL" pin="PRG_D1"/>
<label x="-66.04" y="142.24" size="1.778" layer="95" rot="R90"/>
</segment>
<segment>
<wire x1="-114.3" y1="78.74" x2="-114.3" y2="91.44" width="0.1524" layer="91"/>
<pinref part="U$2" gate="_SIGNAL" pin="CHR_D1"/>
<label x="-114.3" y="78.74" size="1.778" layer="95" rot="R90"/>
</segment>
</net>
<net name="D3" class="0">
<segment>
<wire x1="-226.06" y1="134.62" x2="-215.9" y2="134.62" width="0.1524" layer="91"/>
<pinref part="U$1" gate="G$1" pin="A11"/>
<label x="-226.06" y="134.62" size="1.778" layer="95"/>
</segment>
<segment>
<wire x1="-60.96" y1="132.08" x2="-60.96" y2="147.32" width="0.1524" layer="91"/>
<pinref part="U$2" gate="_SIGNAL" pin="PRG_D3"/>
<label x="-60.96" y="142.24" size="1.778" layer="95" rot="R90"/>
</segment>
<segment>
<wire x1="-119.38" y1="78.74" x2="-119.38" y2="91.44" width="0.1524" layer="91"/>
<pinref part="U$2" gate="_SIGNAL" pin="CHR_D3"/>
<label x="-119.38" y="78.74" size="1.778" layer="95" rot="R90"/>
</segment>
</net>
<net name="A14" class="0">
<segment>
<wire x1="-226.06" y1="144.78" x2="-215.9" y2="144.78" width="0.1524" layer="91"/>
<pinref part="U$1" gate="G$1" pin="PA6"/>
<label x="-226.06" y="144.78" size="1.778" layer="95"/>
</segment>
<segment>
<wire x1="-48.26" y1="132.08" x2="-48.26" y2="147.32" width="0.1524" layer="91"/>
<pinref part="U$2" gate="_SIGNAL" pin="PRG_A14"/>
<label x="-48.26" y="142.24" size="1.778" layer="95" rot="R90"/>
</segment>
</net>
<net name="A12" class="0">
<segment>
<wire x1="-226.06" y1="71.12" x2="-215.9" y2="71.12" width="0.1524" layer="91"/>
<pinref part="U$1" gate="G$1" pin="PA4"/>
<label x="-226.06" y="71.12" size="1.778" layer="95"/>
</segment>
<segment>
<wire x1="-43.18" y1="132.08" x2="-43.18" y2="147.32" width="0.1524" layer="91"/>
<pinref part="U$2" gate="_SIGNAL" pin="PRG_A12"/>
<label x="-43.18" y="142.24" size="1.778" layer="95" rot="R90"/>
</segment>
<segment>
<wire x1="-106.68" y1="132.08" x2="-106.68" y2="147.32" width="0.1524" layer="91"/>
<pinref part="U$2" gate="_SIGNAL" pin="CHR_A12"/>
<label x="-106.68" y="142.24" size="1.778" layer="95" rot="R90"/>
</segment>
</net>
<net name="A8" class="0">
<segment>
<wire x1="-226.06" y1="76.2" x2="-215.9" y2="76.2" width="0.1524" layer="91"/>
<pinref part="U$1" gate="G$1" pin="PA0"/>
<label x="-226.06" y="76.2" size="1.778" layer="95"/>
</segment>
<segment>
<wire x1="-96.52" y1="132.08" x2="-96.52" y2="147.32" width="0.1524" layer="91"/>
<pinref part="U$2" gate="_SIGNAL" pin="CHR_A8"/>
<label x="-96.52" y="142.24" size="1.778" layer="95" rot="R90"/>
</segment>
<segment>
<wire x1="-48.26" y1="78.74" x2="-48.26" y2="91.44" width="0.1524" layer="91"/>
<pinref part="U$2" gate="_SIGNAL" pin="PRG_A8"/>
<label x="-48.26" y="78.74" size="1.778" layer="95" rot="R90"/>
</segment>
</net>
<net name="D0" class="0">
<segment>
<wire x1="-226.06" y1="127" x2="-215.9" y2="127" width="0.1524" layer="91"/>
<pinref part="U$1" gate="G$1" pin="A8"/>
<label x="-226.06" y="127" size="1.778" layer="95"/>
</segment>
<segment>
<wire x1="-68.58" y1="132.08" x2="-68.58" y2="147.32" width="0.1524" layer="91"/>
<pinref part="U$2" gate="_SIGNAL" pin="PRG_D0"/>
<label x="-68.58" y="142.24" size="1.778" layer="95" rot="R90"/>
</segment>
<segment>
<wire x1="-111.76" y1="78.74" x2="-111.76" y2="91.44" width="0.1524" layer="91"/>
<pinref part="U$2" gate="_SIGNAL" pin="CHR_D0"/>
<label x="-111.76" y="78.74" size="1.778" layer="95" rot="R90"/>
</segment>
</net>
<net name="D2" class="0">
<segment>
<wire x1="-226.06" y1="132.08" x2="-215.9" y2="132.08" width="0.1524" layer="91"/>
<pinref part="U$1" gate="G$1" pin="A10"/>
<label x="-226.06" y="132.08" size="1.778" layer="95"/>
</segment>
<segment>
<wire x1="-63.5" y1="132.08" x2="-63.5" y2="147.32" width="0.1524" layer="91"/>
<pinref part="U$2" gate="_SIGNAL" pin="PRG_D2"/>
<label x="-63.5" y="142.24" size="1.778" layer="95" rot="R90"/>
</segment>
<segment>
<wire x1="-116.84" y1="78.74" x2="-116.84" y2="91.44" width="0.1524" layer="91"/>
<pinref part="U$2" gate="_SIGNAL" pin="CHR_D2"/>
<label x="-116.84" y="78.74" size="1.778" layer="95" rot="R90"/>
</segment>
</net>
<net name="D4" class="0">
<segment>
<wire x1="-180.34" y1="134.62" x2="-170.18" y2="134.62" width="0.1524" layer="91"/>
<pinref part="U$1" gate="G$1" pin="A12"/>
<label x="-175.26" y="134.62" size="1.778" layer="95"/>
</segment>
<segment>
<wire x1="-58.42" y1="132.08" x2="-58.42" y2="147.32" width="0.1524" layer="91"/>
<pinref part="U$2" gate="_SIGNAL" pin="PRG_D4"/>
<label x="-58.42" y="142.24" size="1.778" layer="95" rot="R90"/>
</segment>
<segment>
<wire x1="-119.38" y1="132.08" x2="-119.38" y2="147.32" width="0.1524" layer="91"/>
<pinref part="U$2" gate="_SIGNAL" pin="CHR_D4"/>
<label x="-119.38" y="142.24" size="1.778" layer="95" rot="R90"/>
</segment>
</net>
<net name="D6" class="0">
<segment>
<wire x1="-180.34" y1="129.54" x2="-170.18" y2="129.54" width="0.1524" layer="91"/>
<pinref part="U$1" gate="G$1" pin="A14"/>
<label x="-175.26" y="129.54" size="1.778" layer="95"/>
</segment>
<segment>
<wire x1="-53.34" y1="132.08" x2="-53.34" y2="147.32" width="0.1524" layer="91"/>
<pinref part="U$2" gate="_SIGNAL" pin="PRG_D6"/>
<label x="-53.34" y="142.24" size="1.778" layer="95" rot="R90"/>
</segment>
<segment>
<wire x1="-114.3" y1="132.08" x2="-114.3" y2="147.32" width="0.1524" layer="91"/>
<pinref part="U$2" gate="_SIGNAL" pin="CHR_D6"/>
<label x="-114.3" y="142.24" size="1.778" layer="95" rot="R90"/>
</segment>
</net>
<net name="A1" class="0">
<segment>
<wire x1="-180.34" y1="121.92" x2="-170.18" y2="121.92" width="0.1524" layer="91"/>
<pinref part="U$1" gate="G$1" pin="BA1"/>
<label x="-175.26" y="121.92" size="1.778" layer="95"/>
</segment>
<segment>
<wire x1="-66.04" y1="78.74" x2="-66.04" y2="91.44" width="0.1524" layer="91"/>
<pinref part="U$2" gate="_SIGNAL" pin="PRG_A1"/>
<label x="-66.04" y="78.74" size="1.778" layer="95" rot="R90"/>
</segment>
<segment>
<wire x1="-106.68" y1="78.74" x2="-106.68" y2="91.44" width="0.1524" layer="91"/>
<pinref part="U$2" gate="_SIGNAL" pin="CHR_A1"/>
<label x="-106.68" y="78.74" size="1.778" layer="95" rot="R90"/>
</segment>
</net>
<net name="A4" class="0">
<segment>
<wire x1="-180.34" y1="114.3" x2="-170.18" y2="114.3" width="0.1524" layer="91"/>
<pinref part="U$1" gate="G$1" pin="BA4"/>
<label x="-175.26" y="114.3" size="1.778" layer="95"/>
</segment>
<segment>
<wire x1="-58.42" y1="78.74" x2="-58.42" y2="91.44" width="0.1524" layer="91"/>
<pinref part="U$2" gate="_SIGNAL" pin="PRG_A4"/>
<label x="-58.42" y="78.74" size="1.778" layer="95" rot="R90"/>
</segment>
<segment>
<wire x1="-99.06" y1="78.74" x2="-99.06" y2="91.44" width="0.1524" layer="91"/>
<pinref part="U$2" gate="_SIGNAL" pin="CHR_A4"/>
<label x="-99.06" y="78.74" size="1.778" layer="95" rot="R90"/>
</segment>
</net>
<net name="A7" class="0">
<segment>
<wire x1="-180.34" y1="106.68" x2="-170.18" y2="106.68" width="0.1524" layer="91"/>
<pinref part="U$1" gate="G$1" pin="BA7"/>
<label x="-175.26" y="106.68" size="1.778" layer="95"/>
</segment>
<segment>
<wire x1="-93.98" y1="132.08" x2="-93.98" y2="147.32" width="0.1524" layer="91"/>
<pinref part="U$2" gate="_SIGNAL" pin="CHR_A7"/>
<label x="-93.98" y="142.24" size="1.778" layer="95" rot="R90"/>
</segment>
<segment>
<wire x1="-50.8" y1="78.74" x2="-50.8" y2="91.44" width="0.1524" layer="91"/>
<pinref part="U$2" gate="_SIGNAL" pin="PRG_A7"/>
<label x="-50.8" y="78.74" size="1.778" layer="95" rot="R90"/>
</segment>
</net>
<net name="A9" class="0">
<segment>
<wire x1="-180.34" y1="76.2" x2="-170.18" y2="76.2" width="0.1524" layer="91"/>
<pinref part="U$1" gate="G$1" pin="PA1"/>
<label x="-175.26" y="76.2" size="1.778" layer="95"/>
</segment>
<segment>
<wire x1="-99.06" y1="132.08" x2="-99.06" y2="147.32" width="0.1524" layer="91"/>
<pinref part="U$2" gate="_SIGNAL" pin="CHR_A9"/>
<label x="-99.06" y="142.24" size="1.778" layer="95" rot="R90"/>
</segment>
<segment>
<wire x1="-45.72" y1="78.74" x2="-45.72" y2="91.44" width="0.1524" layer="91"/>
<pinref part="U$2" gate="_SIGNAL" pin="PRG_A9"/>
<label x="-45.72" y="78.74" size="1.778" layer="95" rot="R90"/>
</segment>
</net>
<net name="A10" class="0">
<segment>
<wire x1="-226.06" y1="73.66" x2="-215.9" y2="73.66" width="0.1524" layer="91"/>
<pinref part="U$1" gate="G$1" pin="PA2"/>
<label x="-226.06" y="73.66" size="1.778" layer="95"/>
</segment>
<segment>
<wire x1="-104.14" y1="132.08" x2="-104.14" y2="147.32" width="0.1524" layer="91"/>
<pinref part="U$2" gate="_SIGNAL" pin="CHR_A10"/>
<label x="-104.14" y="142.24" size="1.778" layer="95" rot="R90"/>
</segment>
<segment>
<wire x1="-43.18" y1="78.74" x2="-43.18" y2="91.44" width="0.1524" layer="91"/>
<pinref part="U$2" gate="_SIGNAL" pin="PRG_A10"/>
<label x="-43.18" y="78.74" size="1.778" layer="95" rot="R90"/>
</segment>
</net>
<net name="CIRAM_A10" class="0">
<segment>
<wire x1="-226.06" y1="96.52" x2="-215.9" y2="96.52" width="0.1524" layer="91"/>
<pinref part="U$1" gate="G$1" pin="D2"/>
<label x="-228.6" y="96.52" size="1.778" layer="95"/>
</segment>
<segment>
<wire x1="-91.44" y1="78.74" x2="-91.44" y2="91.44" width="0.1524" layer="91"/>
<pinref part="U$2" gate="_SIGNAL" pin="CIRAM_A10"/>
<label x="-91.44" y="78.74" size="1.778" layer="95" rot="R90"/>
</segment>
</net>
<net name="PRG_CE" class="0">
<segment>
<wire x1="-226.06" y1="109.22" x2="-215.9" y2="109.22" width="0.1524" layer="91"/>
<pinref part="U$1" gate="G$1" pin="A1"/>
<label x="-226.06" y="109.22" size="1.778" layer="95"/>
</segment>
<segment>
<wire x1="-71.12" y1="132.08" x2="-71.12" y2="147.32" width="0.1524" layer="91"/>
<pinref part="U$2" gate="_SIGNAL" pin="PRG_CE"/>
<label x="-71.12" y="142.24" size="1.778" layer="95" rot="R90"/>
</segment>
</net>
</nets>
</sheet>
</sheets>
</schematic>
</drawing>
</eagle>