Genesis-Plus-GX/gx/gui
2022-10-29 13:51:34 +02:00
..
cheats.c [Gamecube/Wii][libretro] added Mega-CD PRG-RAM & Word-RAM cheat codes support 2022-10-15 16:12:51 +02:00
cheats.h [Gamecube/Wii][libretro] added Mega-CD PRG-RAM & Word-RAM cheat codes support 2022-10-15 16:12:51 +02:00
filesel.c use generated headers 2021-04-07 11:47:46 +01:00
filesel.h
font.c
font.h
gui.c [Gamecube/Wii] improved menu 2021-09-15 19:27:23 +02:00
gui.h use generated headers 2021-04-07 11:47:46 +01:00
legal.c use generated headers 2021-04-07 11:47:46 +01:00
menu.c [Core/SG] added SG-1000 II hardware with 8KB RAM extension adapter in selectable hardware models (fixes #437) 2022-10-29 13:51:34 +02:00
menu.h [Core/CD] added setting to enable/disable CD access time simulation 2022-05-01 15:47:18 +02:00
saveicon.h